TWI733707B - 用以由熱偶之信號過濾射頻及控制電漿腔室中之電極的溫度之系統及方法 - Google Patents

用以由熱偶之信號過濾射頻及控制電漿腔室中之電極的溫度之系統及方法 Download PDF

Info

Publication number
TWI733707B
TWI733707B TW105134213A TW105134213A TWI733707B TW I733707 B TWI733707 B TW I733707B TW 105134213 A TW105134213 A TW 105134213A TW 105134213 A TW105134213 A TW 105134213A TW I733707 B TWI733707 B TW I733707B
Authority
TW
Taiwan
Prior art keywords
filter
temperature
signal
electrode
output
Prior art date
Application number
TW105134213A
Other languages
English (en)
Other versions
TW201729650A (zh
Inventor
文斯 柏卡特
克利斯多福 拉姆賽爾
莫漢 提拉卡拉吉
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201729650A publication Critical patent/TW201729650A/zh
Application granted granted Critical
Publication of TWI733707B publication Critical patent/TWI733707B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/244Detectors; Associated components or circuits therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • H05B1/0227Applications
    • H05B1/023Industrial applications
    • H05B1/0233Industrial applications for semiconductors manufacturing
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/48Generating plasma using an arc
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

電路包含第一濾波器組件及控制器。第一濾波器組件實行在印刷電路板上。第一濾波器組件包含第一濾波器與第二濾波器。第一濾波器從第一感測器接收第一訊號、避免第一訊號之第一部份通過、並且輸出第二訊號。第一訊號之第一部份處於第一射頻。第一訊號之第二部份指示在電漿腔室中的第一電極的第一溫度。第二濾波器接收第二訊號並且避免第二訊號之一部份通過。第二訊號之部份處於第二射頻。第二射頻低於第一射頻。控制器基於第二濾波器之輸出量來調節第一電極的溫度。

Description

用以由熱偶之信號過濾射頻及控制電漿腔室中之電極的溫度之系統及方法
[相關申請案之交互參照]本申請案主張2015年10月29日申請之美國臨時專利申請案第62/247979號。前面引述的申請案以全文併入本案之參考資料。
本發明係關於基板處理系統,且更具體而言,係關於用以控制基板處理系統中電極之溫度的系統與方法。
提供於本文之先前技術的敘述,係為了整體地呈現本發明之背景。在先前技術部分中敘述的成果之範圍內,本案列名之發明人的成果,以及在申請期間不適格作為先前技術之敘述觀點,皆非直接或間接地被承認係對抗本發明之先前技術。
基板處理系統可用以執行基板(例如半導體晶圓)的蝕刻、沉積、及/或其他處理。例示性處理包括(但不限於)化學氣相沉積(CVD)、原子層沉積(ALD)、及/或其他蝕刻、沉積、及清潔處理。基板可設置在基板處理系統的處理腔室中的基板固持器(例如支座、靜電夾頭(ESC)等)上。可將包括一或更多前驅物的不同氣體混合物引入處理腔室中,並使用電漿來引起化學反應。
在基板的處理期間,基板與基板處理系統之元件的溫度可能有變化。這些溫度變化可能對產生的基板有不樂見的影響。因此,基板處理系統可實施用以控制基板與基板處理系統之元件的溫度的系統與方法。
提供一電路,且其包含第一濾波器組件及一控制器。該第一濾波器組件實行在一印刷電路板上。該第一濾波器組件包含第一濾波器與第二濾波器。該第一濾波器配置以進行下列動作:(i)從第一感測器接收第一訊號、(ii)避免該第一訊號之第一部份通過、並且(iii)輸出第二訊號。該第一訊號之第一部份處於第一射頻。該第一訊號之第二部份指示在一電漿腔室中的第一電極的第一溫度。第二濾波器,配置以進行下列動作:(i)接收該第二訊號、並且(ii)避免該第二訊號之一部份通過。該第二訊號之部份處於第二射頻。該第二射頻低於該第一射頻。該控制器配置以基於該第二濾波器之輸出來調節該第一電極的溫度。
在其他特徵中,提供一電路,且其包含第一濾波器組件及一控制器。該第一濾波器組件包含帶阻濾波器與低通濾波器。該帶阻濾波器配置以進行下列動作:(i)從第一感測器接收第一訊號、(ii)避免該第一訊號之第一部份通過、並且(iii)輸出第二訊號。該第一訊號之第一部份處於第一射頻。該第一訊號之第二部份指示在一電漿腔室中的第一電極的第一溫度。該低通濾波器配置以進行下列動作:(i)接收該第二訊號、並且(ii)避免該第二訊號之一部份通過。該第二訊號之部份處於第二射頻。該第二射頻低於該第一射頻。該控制器配置以基於該低通濾波器之輸出來調節該第一電極的溫度。
在其他特徵中,提供一方法,且其包括下列步驟:在第一濾波器從第一感測器接收第一訊號;經由該第一濾波器避免該第一訊號之第一部份通過,其中該第一訊號之第一部份處於第一射頻,且其中該第一訊號之第二部份指示在一電漿腔室中的第一電極的第一溫度;從該第一濾波器輸出第二訊號;並且在第二濾波器接收該第二訊號。該方法更包括下列步驟:經由該第二濾波器避免該第二訊號之一部份通過,其中該第二訊號之部份處於第二射頻,其中該第二射頻低於該第一射頻,且其中該第一濾波器與該第二濾波器係實行在一印刷電路板上;並且基於該第二濾波器之輸出來調節該第一電極的溫度。
從詳細的實施方式、申請專利範圍,以及圖式,本發明的實用性的更遠範圍將變得明顯。該詳細的實施方式與具體的例子僅是為了描述之目的,而非欲限制本發明之範圍。
為設法改善電漿腔室中的粒子效能與產量,在基板處理期間將噴淋頭的溫度維持在預設溫度。噴淋頭可包括用以加熱噴淋頭的嵌入式加熱器、以及用以感測噴淋頭之溫度的熱偶。改善溫度控制允許提高堆積水平(accumulation levels)並同時達到粒子標準。因此,在清潔事件之間可處理較大量的晶圓,這改善了產量。
從噴淋頭到熱偶的導體可能發生DC的間歇性耦合。DC係因高RF電位而產生,高RF電位導致「二極體效應」或非線性電氣響應,造成電氣週期的正半週與負半週之間不對稱。DC亦從DC電壓供應來源供應,且其被稱為「粒子排斥場」(particle repulsion field,PRF)。在高RF電位關閉後不久,PRF以DC電壓對噴淋頭充電而靜電地抑制(mitigate)粒子。
雖然可將熱偶與基板處理腔室及噴淋頭隔離,但當熱偶的隔離降解時,DC開始出現在熱偶上。隔離降解導致熱偶與噴淋頭之間DC漏電及/或耦合。此外,供應至噴淋頭的RF訊號會與嵌入噴淋頭中的熱偶強耦合。雖然可在站的RF訊號到達其對應之溫度控制器之前將之隔離,但RF訊號耦合至其他鄰近的站或耦合至接地參考面,此可能導致RF不平衡、電弧、及RF雜訊。RF功率在站與站之間可能不同,這導致不同程度的DC耦合。
由熱偶輸出的溫度量測值為相當小的DC電壓。當DC耦合產生時,這些溫度量測值被DC耦合訊號壓制(overcome)。根據本發明的溫度控制系統與方法包括實質上降低DC耦合效應之濾波器電路與組件。
現參考圖1,圖示用以使用RF電漿執行蝕刻的基板處理系統100。雖然圖示PECVD腔室,但本文所述之系統與方法可用於其他的處理中。基板處理系統100包括RF殼體101,其可在大氣壓力或其他壓力下。處理腔室102位在RF殼體101中。處理腔室102圍繞處理腔室102的其他元件並容納RF電漿。處理腔室102包括上電極104及基板固持器106。在操作期間,基板108被安置在基板固持器106上。
僅作為範例,上電極104可包括配送氣體的噴淋頭109。上電極104可包括桿部111,桿部111包括連接至處理腔室102的頂部表面的一末端。在與處理腔室102的頂部表面隔開一位置之處,噴淋頭109的一基底部大致上為圓柱狀,並且從桿部111的對側末端徑向地朝外延伸。噴淋頭109之面基板表面包括帶有孔洞的面板,而處理氣體或沖洗氣體流動通過該等孔洞。噴淋頭109包括加熱元件113。噴淋頭109亦包括使冷卻氣體或液體流動的冷卻通道(未圖示)。具有冷卻通道的噴淋頭109的範例呈現並記載於美國專利申請案第13/900,627號,其申請日為2013年5月23日,案名為「RF-Powered, Temperature-Controlled Gas Diffuser」,該申請案以全文併入本案之參考資料。
基板固持器106包括做為下電極的傳導性底板110。底板110將可至少局部由陶瓷材料製成的加熱板112支持住。底板110與加熱板112之間可安置阻熱層114。底板110可包括一或更多通道116,其用以使冷卻劑在底板110中流動。
RF產生系統120產生並輸出RF功率至上電極104。底板110可DC接地、AC接地、或浮接。僅作為範例,RF產生系統120可包括產生RF功率的RF產生器122,RF功率透過匹配及配送網路124饋送至上電極104。在一實施例中,在二或更多頻率之下提供RF功率至上電極104。僅作為範例,以第一頻率(例如13.56 兆赫 (MHz))供應RF功率,亦以第二頻率(例如400 千赫 (kHz)) 供應RF功率。第一頻率可高於離子截止頻率,以激發電漿中的電子(而不激發離子)。第二頻率可低於離子截止頻率,以激發電漿中的離子與電子兩者。
氣體輸送系統130包括一或更多氣體來源132-1、 132-2、…、及132-N(統稱為氣體來源132),其中N為大於零的整數。氣體來源132供應一或更多前驅物及其混合物。氣體來源132亦可供應沖洗氣體。亦可使用經汽化的前驅物。氣體來源132透過閥134-1、 134-2、 …、及134-N(統稱為閥134)及質流控制器136-1、 136-2、…、及 136-N(統稱為質流控制器136)連接至集流箱140。集流箱140之輸出被饋送至噴淋頭109。
溫度控制器142可被連接至安置在加熱板112中的溫度控制元件(TCEs)144。雖然圖示與系統控制器160分開,但溫度控制器可實施作為系統控制器160之一部分。溫度控制器142可控制加熱元件113及TCEs144之溫度,以分別地控制上電極104及基板固持器106的溫度。溫度控制器142可與冷卻劑組件146通訊,以控制底板110之通道116中的冷卻劑。例如,冷卻劑組件146可包括冷卻劑泵浦、貯存器、及流量控制裝置(例如閥及/或質流控制器)。
可使用閥150及泵浦152來控制處理腔室102中的壓力並選擇性地將反應物從處理腔室102中排空。系統控制器160可控制基板處理系統100的元件。
上電極104包括一或更多熱偶(圖示具有導體182的熱偶180)。熱偶180延伸通過桿部111而進入噴淋頭109中。桿部111經由密封件184被封接於電漿腔室102上。導體182被接收於第一濾波器組件186中,第一濾波器組件186可包括印刷電路板組件(PCBA)。第一濾波器組件186的輸出可提供至第二濾波器組件188。第二濾波器組件188可設置在RF殼體101之中,或位在RF殼體101之外,如圖所示。濾波器組件之範例圖示於圖2-8中。
第一濾波器組件186及(選擇性地)第二濾波器組件188可設置在RF殼體101之中。第一濾波器組件186可設置成緊鄰熱偶180與上電極104,以將導體182及其他導體上的射頻降至最低並阻擋之。其他導體之範例包括(i) 第一濾波器組件186及第二濾波器組件188之間的導體、及/或(ii)(a) 第二濾波器組件188及(b) 溫度控制器142或隔離裝置(圖示於圖2-5中)之間的導體。第一濾波器組件186可連接至桿部111、及/或在桿部111附近連接至電漿腔室102。第一濾波器組件186對於熱偶180、桿部111、及/或上電極104之緊鄰性使RF及/或DC異常現象降至最低。
一或更多風扇190可設置在RF殼體101之中,並用以將RF殼體101中之溫度維持在預設溫度(例如70°C)。這有助於將第一濾波器組件186及/或第二濾波器組件188之溫度維持在預設溫度。風扇190可由溫度控制器142開啟及關閉。溫度控制器142可將提供至各個風扇的控制訊號之工作週期加以控制並調節。可基於在RF殼體101中偵測到的溫度、在第一濾波器組件186上偵測到的溫度、及/或經由熱偶180偵測到的溫度而調節工作週期。例如,當所偵測的溫度增加時,可增加風扇之每一控制訊號周期的開啟時間,以提供另外的冷卻。一或更多風扇190可專門用以控制第一濾波器組件186之溫度。這允許第一濾波器組件186上之元件的對流性冷卻。透過將第一濾波器組件186上之元件維持在相同的預設溫度(例如70°C),可將跨於第一濾波器組件186上之溫度差異降至最低。這避免因跨於第一濾波器組件186之PCB上之溫度差異而引入DC,如下文將進一步描述。風扇190可設置在RF殼體101中的任何位置。
圖2圖示用於複數站之電極202的溫度控制系統200。在一些範例中,站可設置在圖1之電漿腔室102的旋轉料架上。電極202包括圖1之上電極104並接收RF訊號,如前述。可提供氣體至電極202以進行電漿處理。電極202具有個別的第一濾波器組件204、第二濾波器組件206、及隔離裝置208。第一濾波器組件204連接至電極202中的熱偶(例如,圖1之熱偶180圖示一範例)。熱偶180之輸出埠連接至第一濾波器組件204。第一濾波器組件204之輸出埠連接至第二濾波器組件206。第二濾波器組件206之輸出埠連接至隔離裝置208。隔離裝置208之輸出埠連接至溫度控制器142,溫度控制器142基於經由熱偶偵測到的溫度來控制供應至電極202中之加熱元件的電流。在一實施例中,不包含第二濾波器組件206,且第一濾波器組件之輸出直接地提供至隔離裝置208。
第一濾波器組件包括針對各個熱偶之各個導體的濾波器,如下進一步描述。每一熱偶可包括複數導體。各濾波器組件可包括串聯的帶阻濾波器以及低通濾波器,參考圖7與9進一步描述如下。 第二濾波器組件可包括個別地針對熱偶之導體的低通濾波器,參考圖8進一步描述如下。
隔離裝置208可與溫度控制器142分開或可整合為溫度控制器142之部分。隔離裝置208可包括放大器及/或隔離元件,用以將接收自熱偶導體的低電壓差動訊號與電漿的自偏壓DC電壓解耦。 隔離裝置208可放大所接收之訊號並移除殘餘的DC干擾。各個隔離裝置208量測所接收之電壓、將所接收之電壓與非線性熱偶曲線比對、基於所接收之訊號及非線性熱偶曲線來計算隔離裝置之內部溫度、並且將溫度編碼成其輸出的線性類比電壓。自偏壓DC電壓會耦合至噴淋頭中的嵌入式熱偶線路中。高RF電位(例如提供至噴淋頭者)會侵蝕熱偶的電氣隔離。這導致不正確的DC 電壓讀數與噴淋頭中加熱元件的不適當控制。隔離裝置208阻擋與電漿相關的DC與其他 DC電壓 (例如 PRF電壓)並允許正確的熱偶 DC電壓讀數以進行正確的加熱器元件控制。其他DC 電壓可與機殼接地相關。
圖3圖示用於單一電極232(可替代圖1-2之上電極104或電極202中任一者)的溫度控制系統230。溫度控制系統230包括溫度控制器142、濾波器電路233、以及開關234,且可包括AC來源236。濾波器電路233包括第一濾波器組件238、第二濾波器組件240、及隔離裝置242。雖然隔離裝置242圖示為與溫度控制器142分開,但隔離裝置242與溫度控制器142可實施為單一裝置。第一濾波器組件238可包括PCB及針對電極232中之熱偶的各個導體的複數濾波器。針對熱偶的各個導體的濾波器包括帶阻濾波器與低通濾波器。
熱偶可包括複數對導體,其中每一對導體有效地操作為個別的熱偶。第二濾波器組件240可包括熱偶(TC)濾波器244及可連接至一超溫偵測器的超溫(OT) 濾波器246。與第一對導體相關的第一濾波器組件238的輸出埠可連接至熱偶濾波器244。與第二對導體相關的第一濾波器組件238的輸出埠可連接至過電流濾波器246。濾波器244、246可為低通濾波器,且可包括纏繞個別的鐵軸芯的熱偶導體,其範例圖示於圖8。
溫度控制器142可包括固態繼電器250、噴淋頭控制器252、及限制控制器254。固態繼電器250可經由開關234從AC來源236接收RF功率。開關234可稱為安全接觸器。AC功率可經由AC濾波器256提供至電極232。限制控制器254可基於隔離裝置242之第一輸出(對應到過電流濾波器246之輸出)來控制開關234的操作。噴淋頭控制器252基於隔離裝置242之第二輸出(對應到熱偶濾波器244之輸出)來控制固態繼電器250的操作。可控制固態繼電器250以供應RF功率至電極232。AC濾波器256可包括帶通及/或高通濾波器以允許預射頻率之RF訊號通過並且避免DC及/或雜訊通過。
可使用開迴路控制或閉迴路控制來維持第一濾波器組件238之溫度。在一實施例中,第一濾波器組件238經由傳導性冷卻而被調節溫度及/或冷卻。這可包括風扇循環或使空氣經過第一濾波器組件238,類似於前文中參考圖1之風扇190所述。閉迴路控制之範例參考圖4-5描述如下。
圖4圖示用於電極232的溫度控制系統270。溫度控制系統270包括溫度控制器272、第一濾波器組件274、第二濾波器組件240、及隔離裝置242,且可包括開關234與AC來源236。溫度控制器272可包括固態繼電器250、噴淋頭控制器252、及限制控制器254。第二濾波器組件240包括熱偶濾波器244與過電流濾波器246。溫度控制器272亦包括濾波器控制器276,其執行第一濾波器組件274之閉迴路溫度控制。
第一濾波器組件274可包括溫度感測器280及一或更多冷卻裝置282。溫度感測器280可在PCB之熱偶訊號輸入埠與輸出埠附近連接至第一濾波器組件274的PCB。由溫度感測器280所產生的溫度訊號被提供至濾波器控制器,而濾波器控制器將第一濾波器組件274之溫度調節成相同溫度。冷卻裝置282可包括空氣或流體冷卻裝置,例如一或更多風扇、閥、開關、泵浦等。冷卻裝置282的一實施例圖示於圖5中。濾波器控制器276控制冷卻裝置282之操作以調節第一濾波器組件274之溫度。濾波器控制器276可控制一或更多站之一或更多濾波器組件的溫度。濾波器控制器276可與第一濾波器組件274的PCB上的控制器通訊、或可被包括在第一濾波器組件274的PCB上。第一濾波器組件274的例示性PCB圖示於圖7中。
圖5圖示用於電極232的另一溫度控制系統290。溫度控制系統290包括溫度控制器292、第一濾波器組件274、第二濾波器組件240、及隔離裝置242,且可包括開關234與AC來源236。溫度控制器292可包括固態繼電器250、噴淋頭控制器252、及限制控制器254。第二濾波器組件240包括熱偶濾波器244與過電流濾波器246。溫度控制器292亦包括濾波器控制器294,其執行第一濾波器組件274之閉迴路溫度控制。
第一濾波器組件274可包括溫度感測器280及一或更多冷卻裝置或其他溫度調節裝置(例如加熱器)。冷卻裝置可包括冷卻區塊296、閥298、泵浦300、以及冷卻劑貯存器302。冷卻區塊296可緊鄰、熱連接、直接連接、及/或間接連接至第一濾波器組件274及/或第一濾波器組件274的PCB。溫度感測器280可在PCB之熱偶訊號輸入埠與輸出埠附近連接至第一濾波器組件274的PCB。由溫度感測器280所產生的溫度訊號被提供至濾波器控制器294,而濾波器控制器294將第一濾波器組件274之溫度調節成相同溫度。濾波器控制器294控制閥298、泵浦300、及/或其他溫度調節裝置之操作以調節進出冷卻區塊296之通道306的冷卻劑流量,進而調節第一濾波器組件274之溫度。這可包括分區的冷卻,例如包括操作閥298以獨立地控制流體流率及/或通道306中的壓力。這允許PCB的不同區接收不同冷卻量。濾波器控制器294可控制個別屬於一或更多站之一或更多濾波器組件的溫度,其中各個站具有冷卻區塊及對應的第一濾波器組件。濾波器控制器294可與第一濾波器組件274的PCB上的控制器通訊、或可被包括在第一濾波器組件274的PCB上。第一濾波器組件274的例示性PCB圖示於圖7中。
圖6圖示熱偶的濾波器組件312及隔離裝置314的等效電路示意圖310。此等效電路示意圖310表現與熱偶的單一對導體相關之圖1-5的第一濾波器組件及隔離裝置的部分,而未表現第二濾波器組件(例如圖1-5的第二濾波器組件188、206、240中之一者)。第一濾波器組件312包括PCB316、輸入終端318、及輸出終端320。隔離裝置314包括:連接件313,其具有終端322;以及訊號調節電路324,其具有一輸入埠、熱偶電壓VTC、以及輸出電壓VOUT
熱偶導體對330、332圖示於(i)熱偶與第一濾波器組件312之間、以及(ii) 第一濾波器組件312與隔離裝置314的連接件313之間。熱偶導體330、332連接至對應的終端318、320、322。PCB導體或積體電路(IC)導體對(例如銅跡線)334、336圖示於(i) 第一對熱偶導體330與第二對熱偶導體332之間、以及(ii) 第二對熱偶導體332與訊號調節電路324的輸入埠之間。隔離裝置314及/或訊號調節電路324執行類比-數位-類比轉換,以提供數位尺度化類比輸出(digitally scaled analog output)(輸出電壓VOUT )。訊號調節電路324以高阻抗電路來量測熱偶開路電壓VTC,以將迴路電流降至最低。
各熱偶導體對330、332均具有由不同材料製成的導體,使得各熱偶導體對330、332的導體具有個別的席貝克係數(Seebeck coefficient)Sa或Sc,如圖所示。此外,導體334、336具有對應的席貝克係數Sz。席貝克係數Sa可針對包括鎳及鋁的導體。席貝克係數Sc可針對包括鎳及鉻的導體。席貝克係數Sz可針對包括銅的導體。溫度Tx、T1、T2、Tc、Tv分別圖示位在下列位置之溫度:(i)熱偶之輸出埠、(ii) 終端318、(iii) 終端320、(iv) 終端322、及(v) 訊號調節電路324上的一點或其輸出埠。
根據克希荷夫電壓定律(Kirchoff’s voltage law),繞一封閉迴路的所有電壓的總和為零。因此,繞等效電路示意圖310之迴路的電壓總和如方程式1所示。方程式1整理並簡化成方程式2。
Figure 02_image001
(1)
Figure 02_image003
(2)
Sa與Sc的值決定VTC的極性。若T2等於T1,則VTC的大小係取決於Tx與Tc間的差異值,其中Tx為由熱偶所偵測的未知溫度,而Tc為連接件313的溫度。若T2不等於T1,則電壓VTC的大小亦取決於T2與T1間的差異值。為此緣故且如本文中所揭露般,溫度T1與T2被維持在相同溫度。可使用感測器來偵測溫度T1與T2。例示性感測器圖示於圖7中。圖4及5的濾波器控制器276、294可將溫度T1與T2維持在相同溫度。在一實施例中,在不偵測溫度T1與T2的情況下將溫度維持在固定溫度(稱為開迴路控制)。例如,圖1之溫度控制器142可根據電流配方與針對一處理期間之複數時間的溫度T1及T2之預設估計值來控制風扇190之操作。溫度控制器142可儲存及/或存取T1及T2之估計值列表(針對執行之處理期間的不同操作條件與時間)。溫度控制器142可調整電流、電壓、功率、頻率、及/或一或更多風扇190之工作週期。閉迴路控制亦可包括基於T1與T2之量測值來控制電流、電壓、功率、頻率、及/或一或更多風扇190之工作週期。閉迴路控制可控制閥的開啟與關閉狀態、泵浦的開啟與關閉狀態、泵浦的速度、多少閥被開啟、閥開啟的頻率等。
本文中揭露的第一濾波器組件實施在PCBAs上並提供RF濾波,且其小型又可重製的設計易於製造。由於熱電效應(稱為席貝克效應),當熱偶導體與PCB之銅跡線介接時會產生小電壓,其影響溫度的量測。為避免此小電壓產生,將PCBAs架設在被維持於預設或周遭溫度(例如70°C)下的RF殼體中。可將對應的溫度控制器暴露到預設或周遭溫度。PCBAs之溫度可受控制,以避免產生任何溫度梯度。這係因為席貝克效應主要在相異金屬接面受影響。雖然按照克希荷夫電壓定律會產生熱電壓,但本文中揭露的相異金屬接面的效應連同PCBAs之溫度控制一起,促使接面彼此無效化(nullify),而僅留下原始偵測到的熱偶電壓。相較之下,用以緩和DC電壓的習知技術使用的差動放大器,(i)具有小範圍的DC電壓可被隔離;且(ii)表現有限的共模拒斥比,當存在高DC雜訊對訊號比時會造成較大的誤差。
圖7圖示第一濾波器組件350之範例,第一濾波器組件350可為具有PCB351的PCBA。第一濾波器組件350包括第一濾波器352、第二濾波器354、第一溫度感測器356、第二溫度感測器358、及功率控制器360。第一濾波器352包括第一濾波器362(圖示為帶阻濾波器)與第二濾波器364(圖示為低通濾波器)。第二濾波器354包括第一濾波器366(圖示為帶阻濾波器)與第二濾波器368(圖示為低通濾波器)。第一濾波器366及362避免頻率(可以第一頻率(例如13.56 MHz)為中心或在第一頻率左右)在第一頻帶內的RF功率通過。在第一頻率的RF功率可被供應至噴淋頭並被熱偶偵測。第一濾波器366及362允許頻率在第一頻帶之外的RF功率通過。第二濾波器364及368避免頻率高於截止頻率(例如100 kHz)的RF功率通過,並允許頻率低於截止頻率的RF功率通過。高於截止頻率的頻率可稱為第二頻帶。低於截止頻率的頻率可稱為第三頻帶。因此,在第二頻率(例如400kHz)的RF功率被供應至噴淋頭並被熱偶偵測,且可被第二濾波器364及368阻擋。在第一頻率與第二頻率的RF功率可被提供至電漿腔室中的電極,如上述。
第一濾波器366及362包括對應的電感器370、372、374、375、376、 377 ,以及電容器378、380。第二濾波器364及368包括個別的電感器382、384,以及電容器386、388。電感器370、374、375在輸入終端390與電感器382之間串聯。電感器372、376、 377在輸入終端392與電感器384之間串聯。在一實施例中,各個電感器370、372的值小於各個電感器374、375、376、 377的值。電感器374、375、376、 377的值可相同。輸入終端390及392可連接至熱偶的導體。電感器382、384連接至輸出終端394、396。電感器370、372與電容器378、380並聯。電容器386、388連接在電感器382、384與接地參考面398之間。
溫度感測器356、358圖示作為範例。僅為舉例之目的,溫度感測器356、358可包括第一電晶體與第二電晶體。第一電晶體可在狀態之間轉換,以供應電流至第二電晶體。這可開啟溫度感測器356、358。溫度感測器356、358及/或第二電晶體可配置以偵測輸入終端390、392及輸出終端394、396的溫度、及/或輸入終端390、392及輸出終端394、396附近的溫度。溫度感測器356、358可與終端390、392、394、396接觸。雖然圖示兩個溫度感測器,但可包括任何數量的溫度感測器。做為另一範例,可針對各個終端390、392、394、396設置溫度感測器。
溫度感測器356、358可連接至功率控制器360並從功率控制器360接收電流。溫度感測器356、358可連接至濾波器組件控制器410(或圖4、5的其中一個濾波器控制器)並從濾波器組件控制器410接收控制訊號。溫度感測器356、358之輸出埠可連接至類比-數位(A/D)轉換器。A/D轉換器之輸出埠可提供至濾波器組件控制器410或圖4及5的其中一個濾波器控制器。A/D轉換器可包括在濾波器組件控制器410中。
作為一範例,溫度感測器356、358之第一電晶體可為金氧半場效電晶體(MOSFETs),且包括汲極、閘極、與源極。溫度感測器356、358之第二電晶體可為雙載子接面電晶體,且包括集極、基極、與射極。汲極可連接至功率控制器360並從功率控制器360接收電流。閘極可連接至濾波器組件控制器410(或圖4、5的其中一個濾波器控制器)並從濾波器組件控制器410接收控制訊號。第一電晶體之源極可連接至集極與基極。射極可連接至接地參考面398。集極與射極可連接至A/D轉換器。A/D轉換器之輸出可提供至濾波器組件控制器410或圖4及5的其中一個濾波器控制器。
第二電晶體可連接成二極體的形構。第二電晶體的基極-射極電壓的溫度相依性可為溫度量測的基礎。(i)當功率來源416以不變的電流位準經由功率模組360並經過第一電晶體供應功率至集極時,以及(ii)當跨於基極與集極上的電壓為零時,第二電晶體的基極-射極電壓Vbes與溫度相依。跨於第二電晶體的基極(或集極)與射極上的電壓可透過A/D轉換器及/或濾波器組件控制器410來偵測。所偵測到的電壓可經由濾波器組件控制器410或圖4及5的其中一個濾波器控制器而轉換成溫度。濾波器組件控制器410或圖4及5的其中一個濾波器控制器可從A/D轉換器接收數位訊號並判定終端390、392、394、396之溫度(例如圖6之T2、T1)。濾波器組件控制器410圖示為連接至溫度控制器272並與濾波器控制器276通訊。雖然圖示並描述某些類型的溫度感測器,但可使用其他類型的溫度感測器。
圖8圖示第二濾波器組件450(以軸芯為基礎的組件)之範例。第二濾波器組件450可替代圖1-5之任何第二濾波器組件。第二濾波器組件450包括第一低通濾波器452與第二低通濾波器454。濾波器452、454包括個別的熱偶導線456、458,熱偶導線456、458纏繞對應的肥粒鐵軸芯460、462以設置電感器。雖然圖示兩個軸芯460、462,但可使用共模扼流圈,其中熱偶導線纏繞在單一軸芯(例如環狀軸芯)的個別部分。各個熱偶導線456、458包括外側護套與一對導體。熱偶導線456、458中導體的第一端可連接至第一濾波器組件(圖1-7之任何第一濾波器組件)的輸出終端。熱偶導線456、458中導體的第二端可連接至隔離裝置(例如圖1-6之任何隔離裝置)的輸入終端。電容器470、472在電感器的輸出埠連接至護套並連接至接地參考面398。濾波器452、454可補充第一濾波器組件(例如圖7之第一濾波器組件350)之濾波器並阻擋高於濾波器452、454之截止頻率的頻率。濾波器452、454之截止頻率可與第一濾波器組件之低通濾波器之截止頻率相同或不同。濾波器452、454之主要目的為濾除雜訊,雜訊透過RF腔室內部輻射而耦合至訊號線路,或反之,在第一濾波器組件之後被拾取。
進一步界定之圖1-8的控制器的結構請見下文所提供的圖9的方法以及下文所提供的「控制器」這個用語的定義。本文中揭露的系統可使用各種方法來操作,於圖9中說明一例示性方法。在圖9中,圖示操作一系統的溫度控制方法。雖然主要參考圖9的實施來描述以下作業,但可簡單地修改作業來應用於本發明的其他實施中。可重複地執行作業。可針對電漿腔室中的各個站執行作業。
方法開始於500。在502,溫度控制器(例如圖1-5之溫度控制器142、272、292中之一者)可針對一或更多冷卻或溫度調節裝置(例如圖1之風扇190、圖4之冷卻裝置282、及/或圖5之閥298與泵浦300)、電流配方、及/或被執行之處理判定預設的設定值。預設的設定值可包括預設的速度、頻率、工作週期、壓力、閥定位等,以將一或更多第一濾波器組件(FAs)(例如本文中揭露的任何第一FAs,例如圖1-5及圖7之第一FAs186、204、238、350中一或更多者)維持在預設溫度。預設的設定值經設定,以將跨於第一FAs上(介於第一FAs之輸入終端與輸出終端之間)的溫度差異(例如圖6中T2與T1間的差異及/或在圖7之(i)終端390、392與(ii)終端394、396之溫度間的差異)降至最低。設定值可經設定,使得溫度差異為預設值(例如零)或在預設值的預設範圍內。設定值可取決於表列值,表列值將某些製程參數、處理步驟、配方值等,與冷卻及/或溫度調節裝置的預設設定值建立聯繫。設定值可儲存於溫度控制器中及/或由溫度控制器存取。
在504,溫度控制器可在預設設定值之下操作一或更多冷卻及/或溫度調節裝置。在506,溫度控制器可判定是否執行閉迴路控制。若執行閉迴路控制,則執行作業508,反之則執行作業522。若不執行閉迴路控制並因而執行開迴路控制,可在執行作業522-534的同時執行作業504。若執行閉迴路控制,可在作業508-516期間調節預設設定值。可針對各個第一FAs執行作業508-516。可針對各個站執行作業522-534。在執行作業522-534的同時,可重複地及/或連續地執行作業508-516。
在508,可使用圖7之溫度感測器356、358或其他溫度感測器來偵測跨於第一FAs上之溫度。溫度感測器可產生指示在第一FAs之輸入埠與輸出埠之溫度的溫度訊號。溫度訊號可提供給濾波器控制器(圖5及7的濾波器控制器294或濾波器組件控制器410)。
在510,若(i)溫度非為預設溫度(例如70°C);(ii) 跨於一或更多第一FAs上之溫度的差異不為零或非為預設溫度;或(iii) 跨於一或更多第一FAs上之溫度的差異超出預設值的預設範圍,則相應地調節冷卻及/或溫度調節裝置的設定值。基於第一FA之偵測溫度以及針對第一FA之預設溫度來進行這些調節。這可包括區域的冷卻,包括調節在第一FA之輸入埠的冷卻量使其不同於在第一FA之輸出埠的冷卻量,反之亦然。例如,跨越第一FA之輸入埠而提供或通過冷卻區塊提供於第一FA之輸入埠附近的氣體/冷卻流體的壓力及/或速率可不同於跨越第一FA之輸出埠而提供或通過冷卻區塊提供於第一FA之輸出埠附近的氣體/冷卻流體的壓力及/或速率。例如,通過冷卻區塊之通道(位在第一FA之輸入埠下方)的冷卻流體,可以與通過冷卻區塊之第二通道(位在第一FA之輸出埠下方)的冷卻流體不同的速率及/或以與之不同的壓力流動。
在512,若溫度差異在預設值的預設範圍內,則執行作業522;反之則執行514。在514可開啟定時器。定時器可與溫度控制器設置在一起。在516,若定時器上的時間超過預設時期或定時器已暫停,則執行作業518,反之則執行作業508。
在518,可設定警示標誌及/或可產生警告訊號,以指示跨於第一FA上之溫度差異未被降低至預設值(或零)或未被降低至預設值的預設範圍內。替代地,或設定標誌及/或產生警告訊號之外另外加上地,可執行其他對策。例如,可停止晶圓之處理步驟或避免晶圓之處理步驟繼續進行到下一步驟。在作業518之後,方法可結束於520。
在522,噴淋頭中的熱偶可產生一或更多訊號。可針對所產生的各個訊號執行作業522-532。例如,如圖3-5中,若熱偶產生第一訊號(主要針對固態繼電器控制)以及第二訊號(針對過電流保護),則針對第一訊號執行作業522-532的第一方案,同時亦針對第二訊號執行作業522-532的第二方案。在執行第一方案的同時可執行第二方案。
在524,在522產生的訊號由第一FA之PCB上的第一濾波器(例如帶阻濾波器362、366)濾波。在526,第一濾波器的輸出由第一FA之PCB上的第二濾波器(例如低通濾波器364、368)濾波。在528,第二濾波器的輸出由第二FA(例如第二FA188、206、240中之一者)之第三濾波器(例如244、246)濾波。在530,第二濾波器或第三濾波器的輸出(視第二濾波器的輸出是否被第三濾波器濾波而定)經由隔離裝置而被調節。
在532,如上述,基於隔離裝置之輸出來控制噴淋頭的加熱及/或冷卻元件。這可包括(i)控制提供至固態繼電器(例如圖3-5之固態繼電器250)的AC電流量,及/或(ii)控制固態繼電器之狀態以及供應至加熱元件的AC電流量。
在534,若晶圓的處理步驟完成及/或晶圓的處理完成,則方法可結束於520;反之可在作業534之後執行作業502、506、508或522。
上述作業意圖作為說明性範例,視應用而定,可依次地、同步地、同時地、連續地、在重疊時期期間、或以不同順序執行作業。此外,取決於事件之實行及/或次序,可執行或省略任何作業。
圖10為描繪使用習知濾波器之站之溫度的作圖。圖示針對第一站的第一電極的第一溫度訊號600、針對第二站的第二電極的第二溫度訊號602 、以及RF狀態訊號604。隨著所執行之處理,第二溫度訊號602與第一溫度訊號600重合,除了某些時期(其中第二溫度訊號602高於或低於第一溫度訊號600)以外。使用習知濾波器提供溫度訊號600、602,習知濾波器包括以軸芯為基礎的低通濾波器,類似於圖8所圖示之低通濾波器。RF狀態訊號604指示RF電壓供應至電極的時間。
第二電極(或噴淋頭)的隔離降解(與第二溫度訊號602相關),使得第二電極之熱偶偵測到不正確的DC電壓訊號。因此,即使第二電極經歷與第一電極相同的冷卻或溫度調節,第二溫度訊號仍偏離第一溫度訊號,如圖所示。
圖11為描繪使用如本文所揭露之濾波器之站之溫度的作圖。如前文參考圖1-9所述,透過將從熱偶接收的訊號濾波,未隔離降解的電極與隔離降解的電極的DC電壓訊號在濾波之後匹配。此濾波包括由第一FAs所執行之濾波。以圖10之溫度訊號600、602圖示。溫度訊號610、612係針對與圖10之溫度訊號600、602相同的電極。亦圖示RF狀態訊號614。
前文揭露之範例包括經由PCBAs與熱偶訊號(從噴淋頭接收)之調節器的RF濾波。此將耦合至熱偶線路的RF能量濾除,並且將擾亂噴淋頭之閉迴路溫度控制與溫度量測的DC訊號加以隔離。RF濾波將傳送到對應站的RF能量加以隔離。PCBAs之溫度受到控制並解決溫度偏差,溫度偏差在來自熱偶的電壓(小DC電壓)傳送跨越導體時產生。設置隔離裝置以將由來源(而非熱偶)所產生的DC訊號與溫度控制器隔離。隔離裝置可使溫度控制器與機殼接地隔離。
又,本文中揭露各種實施例。雖然每一實施例具有特定的特徵,但與本發明之任一實施例相關的任一或更多特徵皆可與任何其他實施例的特徵一起實施及/或結合,即便文中未明確地指出此種結合。換言之,所述的複數實施例並非彼此互斥,一或多個實施例的互換排列亦落在本發明的範疇內。
本文中利用各種詞語說明複數元件之間(如複數模組之間、電路元件之間、半導體膜層之間等)的空間與功能關係,此些詞語包含「連接」、「銜合」、「耦合」、「鄰近(adjacent)」、「相鄰(next to)」、「在上部上(on top of)」、「在…上方」、「在…下方」、及「設置」。在上文中說明第一與第二元件間的關係時,除非特別限定「直接」,否則兩者之間的關係可以是直接關係即第一與第二元件之間不存在其他干擾元件或兩者之間的關係亦可以是間接關係即第一與第二元件之間尚存在(可以是空間上的存在或功能上的存在)一或多個干擾元件。當第一元件係鄰近第二元件時,第一元件可與第二元件接觸、或第一元件可與第二元件空間分離且在第一元件與第二元件之間並無任何干擾元件。當第一元件係介於第二元件與第三元件之間時,第一元件可直接連接至第二元件與第三元件(被稱為「直接介於」)、或干擾元件可連接(i)介於第一元件與第二元件之間及/或(ii)介於第一元件與第三元件之間。在文中所用之「A、B與C中至少一者」的表達方式應被解讀為使用非排他性邏輯OR的邏輯式(A OR B OR C),而不應被解讀為「A之至少一者、B之至少一者與C之至少一者」。
在某些實施例中,控制器為系統的一部分,其為上述實例的一部分。此類系統可包含半導體製程設備,半導體製程設備包含一製程工具或複數製程工具、一製程室或複數製程室、一製程平臺或複數製程平臺、及/或複數的特定製程元件(晶圓支座、氣體流動系統等)。此些系統係與一些電子設備整合,此些電子設備係用以在半導體晶圓或基板製程之前、期間及之後控制系統的操作。此些電子設備可被稱為「控制器」,其可控制一系統或複數系統的各種元件或子部件。取決於製程需求及/或系統類型,控制器可被程式化以控制文中所揭露的任何製程包含輸送製程氣體、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置與操作設定、進出工具及連接至特定系統或與特定系統介接的其他傳送工具及/或負載鎖室之晶圓傳送。。
廣泛而言,可將控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含儲存了程式指令之具有韌體形式的晶片、數位訊號處理器(DSPs)、被定義為特殊應用積體電路(ASICs)的晶片及/或能執行程式指令(如軟體)的一或多個微處理器或微控制器。程式指令可為與控制器通訊之具有各種獨立設定(或程式檔案)形式的指令,其定義為了在半導體晶圓上或針對半導體晶圓、或對一系統進行特定製程所用的操作參數。在某些實施例中,操作參數為製程工程師為了完成一或多膜層、材料、金屬、氧化物、矽、二氧化矽、表面、電路及/或晶圓之晶粒之製造期間的一或多個製程步驟所定義之配方的一部分。
在一些實施例中,控制器可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、或透過網路連接至系統、或上述之組合。舉例而言,控制器係可位於「雲端」(in the “cloud”)、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在一些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方至系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在一些範例中,控制器接收資料形式之指令,在一或更多的操作期間,其針對待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(控制器係配置成透過介面與該工具接合或控制該工具)的類型。因此,如上所述,控制器可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本文所述之製程及控制。用於此類用途的分開之控制器的範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
例示性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統,但不限於此。
如上所述,依據待由工具執行之製程步驟(或複數製程步驟),控制器可與下列一或多者通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、牽引工具、鄰近工具、遍及工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。
除非明確使用「裝置,用以(means for)」來表達一元件或在方法專利申請範圍內使用「操作,用以(operation for)」或「步驟,用以(step for)」來表達一步驟,否則專利申請範圍內所用的所有元件非為35 U.S.C. §112(f)內的手段功能用語(means-plus-function)元件。
100‧‧‧基板處理系統101‧‧‧RF殼體102‧‧‧腔室104‧‧‧上電極106‧‧‧基板固持器109‧‧‧噴淋頭110‧‧‧底板111‧‧‧桿部112‧‧‧加熱板113‧‧‧加熱元件114‧‧‧阻熱層116‧‧‧通道120‧‧‧RF產生系統122‧‧‧RF產生器124‧‧‧匹配及配送網路130‧‧‧氣體輸送系統132‧‧‧氣體來源134‧‧‧閥136‧‧‧質流控制器140‧‧‧集流箱142‧‧‧溫度控制器144‧‧‧TCEs146‧‧‧冷卻劑組件150‧‧‧閥152‧‧‧泵浦160‧‧‧系統控制器180‧‧‧熱偶182‧‧‧導體184‧‧‧密封件186‧‧‧濾波器組件188‧‧‧濾波器組件190‧‧‧風扇200‧‧‧溫度控制系統202‧‧‧電極204‧‧‧濾波器組件206‧‧‧濾波器組件208‧‧‧隔離裝置230‧‧‧溫度控制系統232‧‧‧電極233‧‧‧濾波器電路234‧‧‧開關236‧‧‧AC來源238‧‧‧濾波器組件240‧‧‧濾波器組件242‧‧‧隔離裝置244‧‧‧熱偶濾波器246‧‧‧超溫濾波器/過電流濾波器250‧‧‧固態繼電器252‧‧‧噴淋頭控制器254‧‧‧限制控制器256‧‧‧AC濾波器270‧‧‧溫度控制系統272‧‧‧溫度控制器274‧‧‧濾波器組件276‧‧‧濾波器控制器280‧‧‧溫度感測器282‧‧‧冷卻裝置290‧‧‧溫度控制系統292‧‧‧溫度控制器294‧‧‧濾波器控制器296‧‧‧冷卻區塊298‧‧‧閥300‧‧‧泵浦302‧‧‧冷卻劑貯存器306‧‧‧通道310‧‧‧等效電路示意圖312‧‧‧濾波器組件313‧‧‧連接件314‧‧‧隔離裝置316‧‧‧PCB318‧‧‧終端320‧‧‧終端322‧‧‧終端324‧‧‧訊號調節電路330‧‧‧熱偶導體(對)332‧‧‧熱偶導體(對)334‧‧‧導體336‧‧‧導體Sa、Sc、Sz‧‧‧席貝克係數Tx、T1、T2、Tc、Tv‧‧‧溫度350‧‧‧濾波器組件351‧‧‧PCB352‧‧‧濾波器(組件)354‧‧‧濾波器356‧‧‧溫度感測器358‧‧‧溫度感測器360‧‧‧功率控制器/功率模組362‧‧‧濾波器364‧‧‧濾波器366‧‧‧濾波器368‧‧‧濾波器370‧‧‧電感器372‧‧‧電感器374‧‧‧電感器375‧‧‧電感器376‧‧‧電感器377‧‧‧電感器378‧‧‧電容器380‧‧‧電容器382‧‧‧電感器384‧‧‧電感器386‧‧‧電容器388‧‧‧電容器390‧‧‧輸入終端392‧‧‧輸入終端394‧‧‧輸出終端396‧‧‧輸出終端398‧‧‧接地參考面410‧‧‧濾波器組件控制器416‧‧‧功率來源450‧‧‧濾波器組件452‧‧‧低通濾波器454‧‧‧低通濾波器456‧‧‧熱偶導線458‧‧‧熱偶導線460‧‧‧軸芯462‧‧‧軸芯500‧‧‧作業502‧‧‧作業504‧‧‧作業506‧‧‧作業508‧‧‧作業510‧‧‧作業512‧‧‧作業514‧‧‧作業516‧‧‧作業518‧‧‧作業520‧‧‧作業522‧‧‧作業524‧‧‧作業526‧‧‧作業528‧‧‧作業530‧‧‧作業532‧‧‧作業534‧‧‧作業600‧‧‧溫度訊號602‧‧‧溫度訊號604‧‧‧RF狀態訊號610‧‧‧溫度訊號612‧‧‧溫度訊號614‧‧‧RF狀態訊號
從詳細的實施方式與隨附圖式,將會更完整地了解本發明,在其中:
圖1根據本發明,為結合濾波器組件之基板處理系統的範例的功能性方塊圖;
圖2根據本發明,為用於複數站之電極的溫度控制系統的範例的功能性方塊圖;
圖3根據本發明,為用於單一電極的溫度控制系統的範例的功能性方塊圖;
圖4根據本發明,為用於單一電極的溫度控制系統的範例的功能性方塊圖,描繪濾波器組件之閉迴路溫度控制;
圖5根據本發明,為用於單一電極的溫度控制系統的另一範例的功能性方塊圖,描繪濾波器組件之閉迴路溫度控制;
圖6根據本發明,為濾波器組件及熱偶的隔離裝置的等效電路示意圖之範例;
圖7根據本發明,為濾波器組件之範例的功能性方塊圖與示意圖。
圖8根據本發明,為以軸芯為基礎的濾波器組件之範例的側視圖;
圖9根據本發明圖解溫度控制方法之範例;
圖10為描繪使用習知濾波器之站的溫度之範例的作圖;以及
圖11為描繪使用根據本發明之濾波器之站的溫度之範例的作圖。
在該等圖式中,參考數字可重複使用來註記相似及/或相同的元件。
142‧‧‧溫度控制器
200‧‧‧溫度控制系統
202‧‧‧電極
204‧‧‧濾波器組件
206‧‧‧濾波器組件
208‧‧‧隔離裝置

Claims (20)

  1. 一種用以控制電極之溫度的電路,該電路包含:第一濾波器組件,實行在一印刷電路板上,該第一濾波器組件包含:第一濾波器,配置以進行下列動作:(i)從第一感測器接收第一訊號、(ii)避免該第一訊號之第一部份通過、並且(iii)輸出第二訊號,其中該第一訊號之第一部份處於第一射頻,且其中該第一訊號之第二部份指示在一電漿腔室中的電極的溫度;以及第二濾波器,配置以進行下列動作:(i)接收該第二訊號、並且(ii)避免該第二訊號之一部份通過,其中該第二訊號之該部份處於第二射頻,且其中該第二射頻低於該第一射頻;以及一控制器,配置以基於該第二濾波器之輸出來調節該電極的溫度。
  2. 如申請專利範圍第1項之用以控制電極之溫度的電路,其中:該第一濾波器為一帶阻濾波器且允許該第二訊號之該部份通過;並且該第二濾波器為一低通濾波器。
  3. 如申請專利範圍第1項之用以控制電極之溫度的電路,其中該控制器配置以控制一冷卻裝置的操作,以將該第一濾波器組件之溫度維持在預設溫度。
  4. 如申請專利範圍第3項之用以控制電極之溫度的電路,其中該冷卻裝置為一風扇。
  5. 如申請專利範圍第3項之用以控制電極之溫度的電路,其中該冷卻裝置為一閥或一泵浦。
  6. 如申請專利範圍第3項之用以控制電極之溫度的電路,其中該控制器配置以控制一流體通過一區塊的流量,以將該第一濾波器組件之溫度維持在預設溫度,該區塊熱連接至該第一濾波器組件。
  7. 如申請專利範圍第3項之用以控制電極之溫度的電路,其中:該第一濾波器組件包含第二感測器與第三感測器;該第二感測器之輸出指示在該第一濾波器組件之一輸入埠的溫度;該第三感測器之輸出指示在該第一濾波器組件之一輸出埠的溫度;並且該控制器配置以基於該第二感測器之輸出與第三感測器之輸出來控制該冷卻裝置。
  8. 如申請專利範圍第1項之用以控制電極之溫度的電路,更包含第三濾波器,其與該第一濾波器組件分開且配置以接收該第二濾波器之輸出,其中:該控制器配置以基於該第三濾波器之輸出來調節該電極的溫度;並且該第三濾波器包括纏繞一軸芯的熱偶線路。
  9. 如申請專利範圍第1項之用以控制電極之溫度的電路,更包含第二濾波器組件,其包含:第三濾波器,配置以將該第二濾波器之輸出濾波;以及第四濾波器,配置以基於來自該第一感測器的第二訊號來產生輸出;並且其中該控制器配置以進行下列動作:(i)基於該第四濾波器之輸出來接收一交流電、並且(ii)基於該第三濾波器之輸出來調節該電極的溫度。
  10. 如申請專利範圍第1項之用以控制電極之溫度的電路,更包含一隔離裝置,配置以將直流電隔離於(i)與該第一感測器相關的熱偶線路、或(ii)該控制器。
  11. 一種電極溫度控制系統,包含:如申請專利範圍第1項之電路;以及該電極,配置以接收第一射頻訊號與第二射頻訊號;其中該第一射頻訊號處於第一射頻;第二射頻訊號處於第二射頻;該第二射頻低於該第一射頻,該第一濾波器避免該第一射頻通過並允許該第二射頻通過;並且該第二濾波器避免該第二射頻通過。
  12. 如申請專利範圍第11項之電極溫度控制系統,其中該第二濾波器避免頻率高於一截止頻率(包括該第一射頻)的訊號通過。
  13. 一種用以控制電極之溫度的電路,該電路包含:第一濾波器組件,包含:一帶阻濾波器,配置以進行下列動作:(i)從第一感測器接收第一訊號、(ii)避免該第一訊號之第一部份通過、並且(iii)輸出第二訊號,其中該第一訊號之第一部份處於第一射頻,且其中該第一訊號之第二部份指示在一電漿腔室中的電極的溫度;以及一低通濾波器,配置以進行下列動作:(i)接收該第二訊號、並且(ii)避免該第二訊號之一部份通過,其中該第二訊號之該部份處於第二射頻,且其中該第二射頻低於該第一射頻;以及 一控制器,配置以基於該低通濾波器之輸出來調節該電極的溫度。
  14. 如申請專利範圍第13項之用以控制電極之溫度的電路,其中:該控制器配置以控制一流體通過一區塊的流量,以將該第一濾波器組件之溫度維持在預設溫度,該區塊熱連接至該第一濾波器組件;該第一濾波器組件包含第二感測器與第三感測器;該第二感測器之輸出指示在該第一濾波器組件之一輸入埠的溫度;該第三感測器之輸出指示在該第一濾波器組件之一輸出埠的溫度;並且該控制器配置以基於該第二感測器之輸出與該第三感測器之輸出來控制一冷卻裝置,以將該第一濾波器組件維持在預設溫度。
  15. 如申請專利範圍第13項之用以控制電極之溫度的電路,更包含第二濾波器組件,其與該第一濾波器組件分開,其中:該第二濾波器組件包含:第三濾波器,配置以將該低通濾波器之輸出濾波;以及第四濾波器,配置以基於來自該第一感測器的第二訊號來產生輸出;並且該控制器配置以進行下列動作:(i)基於該第四濾波器之輸出來接收一交流電、並且(ii)基於該第三濾波器之輸出來調節該電極的溫度。
  16. 一種用以控制電極之溫度的方法,該方法包含下列步驟:在第一濾波器從第一感測器接收第一訊號; 經由該第一濾波器避免該第一訊號之第一部份通過,其中該第一訊號之第一部份處於第一射頻,且其中該第一訊號之第二部份指示在一電漿腔室中的電極的溫度;從該第一濾波器輸出第二訊號;在第二濾波器接收該第二訊號;經由該第二濾波器避免該第二訊號之一部份通過,其中該第二訊號之該部份處於第二射頻,其中該第二射頻低於該第一射頻,且其中該第一濾波器與該第二濾波器係實行在一印刷電路板上;並且基於該第二濾波器之輸出來調節該電極的溫度。
  17. 如申請專利範圍第16項之用以控制電極之溫度的方法,其中:該第一濾波器為一帶阻濾波器且允許該第二訊號之該部份通過;並且該第二濾波器為一低通濾波器。
  18. 如申請專利範圍第16項之用以控制電極之溫度的方法,更包括控制一或更多溫度調節裝置之操作,以將一濾波器組件之溫度維持在預設溫度,其中該濾波器組件包含該第一濾波器與該第二濾波器。
  19. 如申請專利範圍第18項之用以控制電極之溫度的方法,更包含:經由第二感測器產生第三訊號,其中該第三訊號指示在該濾波器組件之一輸入埠的溫度;經由第三感測器產生第四訊號,其中該第四訊號指示在該濾波器組件之一輸出埠的溫度;並且基於該第三訊號與該第四訊號來控制一冷卻裝置。
  20. 如申請專利範圍第18項之用以控制電極之溫度的方法,更包含:在第三濾波器接收該第二濾波器之輸出;並且基於該第三濾波器之輸出來調節該電極的溫度;其中該第三濾波器包括纏繞一軸芯的熱偶線路。
TW105134213A 2015-10-29 2016-10-24 用以由熱偶之信號過濾射頻及控制電漿腔室中之電極的溫度之系統及方法 TWI733707B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562247979P 2015-10-29 2015-10-29
US62/247,979 2015-10-29
US14/965,030 2015-12-10
US14/965,030 US10373794B2 (en) 2015-10-29 2015-12-10 Systems and methods for filtering radio frequencies from a signal of a thermocouple and controlling a temperature of an electrode in a plasma chamber

Publications (2)

Publication Number Publication Date
TW201729650A TW201729650A (zh) 2017-08-16
TWI733707B true TWI733707B (zh) 2021-07-21

Family

ID=58637884

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105134213A TWI733707B (zh) 2015-10-29 2016-10-24 用以由熱偶之信號過濾射頻及控制電漿腔室中之電極的溫度之系統及方法

Country Status (3)

Country Link
US (3) US10373794B2 (zh)
KR (2) KR102539157B1 (zh)
TW (1) TWI733707B (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10373794B2 (en) * 2015-10-29 2019-08-06 Lam Research Corporation Systems and methods for filtering radio frequencies from a signal of a thermocouple and controlling a temperature of an electrode in a plasma chamber
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10306776B1 (en) * 2017-11-29 2019-05-28 Lam Research Corporation Substrate processing system printed-circuit control board assembly with one or more heater layers
US11209878B2 (en) * 2018-07-31 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Discrete time loop based thermal control
KR102135110B1 (ko) * 2018-11-30 2020-07-17 세메스 주식회사 기판 처리 장치 및 가스 분산판 온도 제어 방법
TW202104656A (zh) * 2019-03-28 2021-02-01 美商蘭姆研究公司 噴淋頭護罩
CN114556542A (zh) * 2019-10-08 2022-05-27 朗姆研究公司 用于衬底处理***的衬底支撑件的加热器元件的电源隔离电路
CN113820531B (zh) * 2020-06-19 2024-07-12 拓荆科技股份有限公司 一种射频***状态受控的半导体设备
TW202226897A (zh) * 2020-11-06 2022-07-01 日商東京威力科創股份有限公司 濾波器電路
CN112653419A (zh) * 2020-12-11 2021-04-13 北京北方华创微电子装备有限公司 一种滤波方法、滤波电路
JP7511501B2 (ja) * 2021-02-10 2024-07-05 東京エレクトロン株式会社 プラズマ処理装置及び監視装置
CN118028980B (zh) * 2024-04-12 2024-06-21 浙江康鹏半导体有限公司 一种用于砷化镓半导体生长的智能化温度监测方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6524432B1 (en) * 1996-02-02 2003-02-25 Applied Materials Inc. Parallel-plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US20040113705A1 (en) * 2002-12-10 2004-06-17 Irf Semiconductor, Inc. Integrated self-tuning L-C filter
CN1619970A (zh) * 2003-11-19 2005-05-25 华为技术有限公司 一种接收机及与所述接收机配套用的发射机
TW200731441A (en) * 2005-09-30 2007-08-16 Onwafer Technologies Inc Methods of and apparatuses for measuring electrical parameters of a plasma process
CN102576923A (zh) * 2009-11-02 2012-07-11 株式会社Kmw 射频滤波器

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US6068784A (en) 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
US6518195B1 (en) 1991-06-27 2003-02-11 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US5175472A (en) 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
KR100296692B1 (ko) 1996-09-10 2001-10-24 사토 도리 플라즈마cvd장치
US5806980A (en) 1996-09-11 1998-09-15 Novellus Systems, Inc. Methods and apparatus for measuring temperatures at high potential
EP0962048B1 (en) 1997-02-24 2003-06-11 Advanced Energy Industries, Inc. System for high power RF plasma processing
US6133543A (en) * 1998-11-06 2000-10-17 Hypertherm, Inc. System and method for dual threshold sensing in a plasma ARC torch
US6222718B1 (en) 1998-11-12 2001-04-24 Lam Research Corporation Integrated power modules for plasma processing systems
US6849154B2 (en) * 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
US6447655B2 (en) 2000-05-30 2002-09-10 Alexander D. Lantsman DC plasma power supply for a sputter deposition
US6737939B2 (en) 2001-03-30 2004-05-18 California Institute Of Technology Carbon nanotube array RF filter
US7867905B2 (en) * 2001-04-21 2011-01-11 Tegal Corporation System and method for semiconductor processing
US6920312B1 (en) 2001-05-31 2005-07-19 Lam Research Corporation RF generating system with fast loop control
JP2003068710A (ja) 2001-08-22 2003-03-07 Tokyo Electron Ltd 部材冷却システムおよびプラズマ処理装置
US6727655B2 (en) * 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
TWI320951B (en) * 2002-08-13 2010-02-21 Lam Res Corp Methods for in situ substrate temperature monitoring by electromagnetic radiation emission
US20070051471A1 (en) * 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
JP3846881B2 (ja) * 2003-04-04 2006-11-15 日本エー・エス・エム株式会社 プラズマ処理装置及びシリコン酸化膜を形成する方法
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
EP1759402B1 (en) 2004-05-21 2015-07-08 Craig M. Whitehouse Rf surfaces and rf ion guides
US7276135B2 (en) 2004-05-28 2007-10-02 Lam Research Corporation Vacuum plasma processor including control in response to DC bias voltage
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7403764B2 (en) 2004-11-30 2008-07-22 Turner Terry R RF power delivery diagnostic system
JP4593381B2 (ja) 2005-06-20 2010-12-08 東京エレクトロン株式会社 上部電極、プラズマ処理装置およびプラズマ処理方法
US7713430B2 (en) 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
US7746677B2 (en) 2006-03-09 2010-06-29 Avago Technologies Wireless Ip (Singapore) Pte. Ltd. AC-DC converter circuit and power supply
US8932430B2 (en) 2011-05-06 2015-01-13 Axcelis Technologies, Inc. RF coupled plasma abatement system comprising an integrated power oscillator
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US7718120B2 (en) 2006-12-11 2010-05-18 George Paskalov RF plasma system for medical waste treatment
CN101287327B (zh) 2007-04-13 2011-07-20 中微半导体设备(上海)有限公司 射频功率源***及使用该射频功率源***的等离子体反应腔室
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
KR100968132B1 (ko) 2008-02-29 2010-07-06 (주)얼라이드 테크 파인더즈 안테나 및 이를 구비한 반도체 장치
US20090325340A1 (en) 2008-06-30 2009-12-31 Mohd Aslami Plasma vapor deposition system and method for making multi-junction silicon thin film solar cell modules and panels
CN102197159B (zh) 2008-11-05 2013-07-10 株式会社爱发科 卷绕式真空处理装置
US8262287B2 (en) 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
JP5221421B2 (ja) 2009-03-10 2013-06-26 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
US8382370B2 (en) 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
KR101609319B1 (ko) * 2009-05-31 2016-04-06 위순임 복합형 플라즈마 반응기
US8755204B2 (en) 2009-10-21 2014-06-17 Lam Research Corporation RF isolation for power circuitry
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US20120097104A1 (en) 2010-10-20 2012-04-26 COMET Technologies USA, Inc. Rf impedance matching network with secondary dc input
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8803424B2 (en) 2010-10-20 2014-08-12 COMET Technologies USA, Inc. RF/VHF impedance matching, 4 quadrant, dual directional coupler with V RMS/IRMS responding detector circuitry
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US9041365B2 (en) 2011-12-01 2015-05-26 Rf Micro Devices, Inc. Multiple mode RF power converter
US20130316094A1 (en) 2012-05-25 2013-11-28 Novellus Systems, Inc. Rf-powered, temperature-controlled gas diffuser
CN104662792B (zh) 2012-07-26 2017-08-08 Qorvo美国公司 用于包络跟踪的可编程rf陷波滤波器
US9670588B2 (en) 2013-05-01 2017-06-06 Lam Research Corporation Anisotropic high resistance ionic current source (AHRICS)
JP2015180768A (ja) 2014-03-06 2015-10-15 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法並びに記録媒体
US10081869B2 (en) 2014-06-10 2018-09-25 Lam Research Corporation Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US20160049279A1 (en) 2014-08-14 2016-02-18 Allied Techfinders Co., Ltd. Plasma device
US9752248B2 (en) 2014-12-19 2017-09-05 Lam Research Corporation Methods and apparatuses for dynamically tunable wafer-edge electroplating
US10373794B2 (en) * 2015-10-29 2019-08-06 Lam Research Corporation Systems and methods for filtering radio frequencies from a signal of a thermocouple and controlling a temperature of an electrode in a plasma chamber

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6524432B1 (en) * 1996-02-02 2003-02-25 Applied Materials Inc. Parallel-plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US20040113705A1 (en) * 2002-12-10 2004-06-17 Irf Semiconductor, Inc. Integrated self-tuning L-C filter
CN1619970A (zh) * 2003-11-19 2005-05-25 华为技术有限公司 一种接收机及与所述接收机配套用的发射机
TW200731441A (en) * 2005-09-30 2007-08-16 Onwafer Technologies Inc Methods of and apparatuses for measuring electrical parameters of a plasma process
CN102576923A (zh) * 2009-11-02 2012-07-11 株式会社Kmw 射频滤波器

Also Published As

Publication number Publication date
KR102539157B1 (ko) 2023-05-31
KR20170054267A (ko) 2017-05-17
US20190348250A1 (en) 2019-11-14
US20170125200A1 (en) 2017-05-04
US20220084776A1 (en) 2022-03-17
TW201729650A (zh) 2017-08-16
KR20230079341A (ko) 2023-06-07
US10373794B2 (en) 2019-08-06
US11189452B2 (en) 2021-11-30

Similar Documents

Publication Publication Date Title
TWI733707B (zh) 用以由熱偶之信號過濾射頻及控制電漿腔室中之電極的溫度之系統及方法
US10971389B2 (en) Multi-zone pedestal for plasma processing
TWI738803B (zh) 基板處理系統及溫度控制方法
US10544508B2 (en) Controlling temperature in substrate processing systems
US11692732B2 (en) Air cooled faraday shield and methods for using the same
JP7479344B2 (ja) 抵抗熱測定を介するシャワーヘッド加熱の制御
JP7158131B2 (ja) ステージ及びプラズマ処理装置
TWI772200B (zh) 溫度控制裝置及溫度控制方法
CN107393847A (zh) 具有不同加热器迹线材料的层压加热器
JP2019530209A (ja) ヒータ素子アレイを使用する、基板キャリアの温度測定
CN104302084B (zh) 空气冷却的法拉第屏蔽罩和使用该屏蔽罩的方法
TW202133687A (zh) 電漿處理裝置及測定方法
US20230113683A1 (en) Rf reference measuring circuit for a direct drive system supplying power to generate plasma in a substrate processing system
WO2018112192A1 (en) System and method for calculating substrate support temperature