TWI727049B - 半導體裝置的形成方法 - Google Patents

半導體裝置的形成方法 Download PDF

Info

Publication number
TWI727049B
TWI727049B TW106117013A TW106117013A TWI727049B TW I727049 B TWI727049 B TW I727049B TW 106117013 A TW106117013 A TW 106117013A TW 106117013 A TW106117013 A TW 106117013A TW I727049 B TWI727049 B TW I727049B
Authority
TW
Taiwan
Prior art keywords
etching process
photoresist
width
etching
dummy
Prior art date
Application number
TW106117013A
Other languages
English (en)
Other versions
TW201830489A (zh
Inventor
劉季康
吳松勳
林志忠
葉明熙
林煥哲
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201830489A publication Critical patent/TW201830489A/zh
Application granted granted Critical
Publication of TWI727049B publication Critical patent/TWI727049B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

為了圖案化閘極,先沉積芯材並圖案化芯材。在一實施例中,上述圖案化芯材的方法為進行第一蝕刻製程以得到粗略的目標,接著進行蝕刻參數不同的第二蝕刻製程以得到精確的目標。芯之後可用於形成間隔物,且間隔物接著可作為圖案化閘極的遮罩。

Description

半導體裝置的形成方法
本發明實施例關於半導體裝置的形成方法,更特別關於以參數不同的兩道蝕刻製程進行圖案化。
半導體裝置已用於多種電子應用中,比如個人電腦、手機、數位相機、與其他電子設備。半導體裝置的製作方法通常為依序沉積絕緣或介電層、導電層、與半導體層的材料於半導體基板上,以及以微影及蝕刻製程圖案化多種材料層,即形成電路構件與單元於半導體基板上。
半導體產業持續縮小最小結構尺寸,以持續改良多種電子構件如電晶體、二極體、電阻、電容、與類似物的積體密度,使固定面積得以整合更多構件。然而在縮小最小結構尺寸時,每一製程中的額外問題也隨之產生,因此需解決這些額外問題。
本發明一實施例提供之半導體裝置的形成方法,包括:形成虛置材料於硬遮罩上;以第一蝕刻製程蝕刻虛置材料;以及依據第一蝕刻製程的結果,以第二蝕刻製程蝕刻虛置材料,其中第二蝕刻製程與第一蝕刻製程具有至少一不同的製程參數。
D1‧‧‧第一距離
D2‧‧‧第二距離
D3‧‧‧第三距離
D4‧‧‧第四距離
MS‧‧‧芯間隔
SS‧‧‧間隔物間隔
W1‧‧‧第一寬度
W2‧‧‧第二寬度
W3‧‧‧第三寬度
W4‧‧‧第四寬度
W5‧‧‧第五寬度
101‧‧‧基板
103‧‧‧第一溝槽
105‧‧‧第一隔離區
107‧‧‧鰭狀物
109‧‧‧閘極介電材料
111‧‧‧閘極材料
113‧‧‧第一硬遮罩
115‧‧‧第二硬遮罩
117‧‧‧芯材
119‧‧‧第一光阻
200‧‧‧第一蝕刻製程
201‧‧‧芯
300‧‧‧第二蝕刻製程
401‧‧‧間隔物
501‧‧‧第二光阻
600‧‧‧第三蝕刻製程
701‧‧‧第三光阻
800‧‧‧第四蝕刻製程
801‧‧‧閘極
901‧‧‧第二隔離區
903‧‧‧第二溝槽
第1A與1B圖係一些實施例中,位於閘極上的芯材的圖式。
第2圖係一些實施例中,進行第一蝕刻製程以蝕刻芯材的圖式。
第3圖係一些實施例中,進行第二蝕刻製程以蝕刻芯材的圖式。
第4圖係一些實施例中,形成間隔物與移除芯材的圖式。
第5圖係一些實施例中,放置光阻的圖式。
第6圖係一些實施例中,圖案化硬遮罩的圖式。
第7圖係一些實施例中,放置光阻的圖式。
第8A與8B圖係一些實施例中,圖案化閘極的圖式。
第9圖係另一實施例中,採用不同深度之多重隔離區的圖式。
下述揭露內容提供許多不同實施例或實例以實施本發明的不同結構。下述特定構件與排列的實施例係用以簡化本發明而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本發明的多個實例可採用重複標號及/或符號使說明簡化及明確,但這些重複不代表多種實施例中相同標號的元件之間具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對 用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
下述實施例對應特定實施例,比如鰭狀場效電晶體。然而這些實施例亦可用於其他方面,比如平面電晶體。
如第1A與1B圖所示,基板101具有第一溝槽103與鰭狀物107。第1B圖係沿著第1A圖中線段B-B’的剖面,且第1B圖顯示鰭狀物107其每一側的額外材料(在第1A圖中被擋住而未顯示)。基板101可為矽基板,但亦可為其他基板如絕緣層上半導體、具有應力的絕緣層上半導體、或絕緣層上矽鍺。基板101可為p型基板,但在其他實施例中亦可為n型半導體。
第一溝槽103可先形成以用於最後形成之第一隔離區105。第一溝槽103的形成方法可採用遮罩層(未另外圖示於第1A與1B圖中)搭配合適的蝕刻製程。舉例來說,遮罩層可為硬遮罩,其包含化學氣相沉積形成的氮化矽,但亦可為其他製程如電漿增強化學氣相沉積、低壓化學氣相沉積、或形成一致的氧化矽層後進行氮化等製程所形成其他材料如氧化物、氮氧化物、碳化矽、上述之組合、或類似物。在形成遮罩層後,可採用合適的光微影製程圖案化遮罩層以露出部份的基板101,接著蝕刻露出的部份基板101以形成第一溝槽103。
然而本技術領域中具有通常知識者應理解,上述用以形成遮罩層的製程與材料,並非在蝕刻部份露出的基板101以形成第一溝槽103時,用以保護其他部份之基板101的唯一方法。任何合適製程如圖案化與顯影光阻,亦可用以露出需 移除的部份基板101,以形成第一溝槽103。這些方法均屬本發明實施例的範疇。
在形成並圖案化遮罩層後,形成第一溝槽103於基板101中。移除露出的基板101以形成第一溝槽103於基板101中的方法,可為合適製程如反應性離子蝕刻,但亦可為任何合適製程。在一實施例中,第一溝槽103自基板101的表面向下之第一距離D1小於約5000Å,比如約2500Å。
然而本技術領域中具有通常知識者應理解,上述形成第一溝槽103的製程僅為一可能的製程而非唯一的實施例。任何合適製程均可用於形成第一溝槽。上述方法可採用任何合適製程,其包含任何數目的遮罩與移除步驟。
除了形成第一溝槽103外,遮罩與蝕刻製程亦自基板101其未移除的部份另外形成鰭狀物107。為方便說明,鰭狀物107在圖式中與基板101之間隔有虛線,但在物理上不一定存在此界線。鰭狀物107可用以形成多閘鰭狀場效電晶體的通道區如下述。第1A圖僅顯示三個自基板101形成的鰭狀物107,但可採用任何數目的鰭狀物107。
鰭狀物107在基板101其表面處的寬度介於約1nm至約50nm之間,比如約10nm。此外,鰭狀物107彼此之間相隔的距離可介於約1nm至約50nm之間,比如約20nm。以此種方式分隔的鰭狀物107,可各自具有分開的通道區,且相距的距離夠近以共用共同閘極,如下詳述。
當形成第一溝槽103與鰭狀物107後,可將介電材料填入第一溝槽103,再使第一溝槽103中的介電材料凹陷以形 成第一隔離區105。介電材料可為氧化物材料、高密度電漿氧化物、或類似物。在視情況(非必要)進行清潔與襯墊第一溝槽103之後,可採用化學氣相沉積法(高深寬比製程)、高密度電漿化學氣相沉積法、或其他合適方法以形成介電材料。
將介電材料填入第一溝槽103的步驟可如下:將介電材料超填第一溝槽103與基板101後,接著移除超出第一溝槽103與鰭狀物107的多餘介電材料,且移除方法可為合適製程如化學機械研磨、蝕刻、上述之組合、或類似方法。在一實施例中,移除製程可移除鰭狀物107上的任何介電材料,並露出鰭狀物107以進行後續製程步驟。
在介電材料填入第一溝槽103後,接著使介電材料凹陷以遠離鰭狀物107的表面。凹陷製程可露出與鰭狀物107的上表面相鄰之鰭狀物107的至少部份側壁。使介電材料凹陷的方法可採用濕蝕刻,比如將鰭狀物107的上表面浸入蝕刻品如HF,但亦可採用其他蝕刻品如H2或其他方法如反應性離子蝕刻、採用化學品如NH3/NF3的乾蝕刻、化學氧化物移除、或乾式化學清潔等方法。介電材料自鰭狀物107的表面凹陷之第二距離D2可介於約40Å至約500Å之間,比如約42Å。此外,凹陷製程亦可移除任何殘留於鰭狀物107上的介電材料,以確保鰭狀物107露出於後續製程中。
然而本技術領域中具有通常知識者應理解,上述步驟僅為填入介電材料並使其凹陷的完整製程之一部份。舉例來說,襯墊步驟、清潔步驟、回火步驟、填隙步驟、上述之組合、與類似步驟亦可用於將介電材料填入第一溝槽103中。所 有的可能製程均屬本發明實施例的範疇。
在形成第一隔離區105後,可形成閘極介電材料109與閘極材料111於每一鰭狀物107上。在一實施例中,閘極介電材料109的形成方法可為熱氧化、化學氣相沉積、濺鍍、或任何其他可能方法。鰭狀物107之頂部與側壁上的閘極介電材料109之厚度可不同,端視閘極介電材料109的形成技術而定。
閘極介電材料109可包含氧化矽或氮氧化矽,其厚度可介於約3Å至約100Å之間(比如約10Å)。在另一實施例中,閘極介電材料109可為高介電常數材料(其介電常數可大於約5),比如氧化鑭、氧化鋁、氧化鉿、氮氧化鉿、氧化鋯、或上述之組合,其等效氧化物厚度介於約0.5Å至約100Å之間(比如約10Å或更薄)。此外,氧化矽、氮氧化矽、及/或高介電常數材料的任何組合亦可用於閘極介電材料109。
閘極材料111可包含導電材料,其可包括多晶矽、多晶矽鍺、金屬氮化物、金屬矽化物、金屬氧化物、金屬、上述之組合、或類似物。舉例來說,金屬氮化物可包含氮化鎢、氮化鉬、氮化鈦、氮化鉭、或上述之組合。舉例來說,金屬矽化物包括鎢矽化物、鈦矽化物、鈷矽化物、鎳矽化物、鉑矽化物、鉺矽化物、或上述之組合。舉例來說,金屬氧化物可包括氧化釕、銦錫氧化物、或上述之組合。舉例來說,金屬包括鎢、鈦、鋁、銅、鉬、鎳、鉑、或類似物。
閘極111的沉積方法可為化學氣相沉積、濺鍍沉積、或用以沉積導電材料的其他合適技術。閘極材料111的厚 度可介於約100nm至約200nm之間(比如約160nm)。閘極材料111的上表面可具有不平坦的上表面,且可在圖案化閘極材料111或蝕刻閘極前先平坦化閘極材料111。此時可視情況將離子導入閘極材料111中。舉例來說,導入離子的方法可為離子佈植技術。
當形成閘極介電材料109與閘極材料111時,可形成額外材料於其上,以作為圖案化閘極介電材料109與閘極材料之製程的一部份。在圖案化後,閘極介電材料109與閘極材料111將形成多重通道區,於閘極介電材料109下的鰭狀物107的每一側上。在一實施例中,上述圖案化步驟可先沉積第一硬遮罩113與第二硬遮罩115於閘極材料111上。在一實施例中,第一硬遮罩113包含介電材料如氮化矽、氮化鈦、氮氧化矽、矽、氧化矽、氮化鉭、鎢、上述之組合、或類似物。第一硬遮罩113的形成製程可為化學氣相沉積、電漿增強化學氣相沉積、原子層沉積、或類似方法,且其厚度可介於約10Å至約1000Å之間(比如約200Å)。然而任何合適材料與方法均可用以形成第一硬遮罩113。
在形成第一硬遮罩113後,形成第二硬遮罩115於第一硬遮罩113上。在一實施例中,第二硬遮罩115的材料不同於第一硬遮罩113,且可為氧化矽、矽、氮化矽、氮化鈦、氮化鉭、上述之組合、或類似物,且其沉積製程可為化學氣相沉積、物理氣相沉積、或其他沉積製程。第二硬遮罩115的厚度可介於約100Å至約5000Å之間(比如約900Å)。然而任何合適材料、形成製程、與厚度亦可用於第二硬遮罩115。
第1A與1B圖亦顯示放置與圖案化芯材117及第一光阻119於第二硬遮罩115上。在一實施例中,芯材117可為虛置材料如虛置非晶矽、虛置多晶矽、或可圖案化的其他材料。芯材117的沉積製程可為化學氣相沉積、物理氣相沉積、上述之組合、或類似製程,且其厚度可介於約100Å至約5000Å之間(比如約1000Å)。然而任何合適材料、沉積方法、或厚度亦可用於形成芯材117。
在形成芯材117後,將第一光阻119置於芯材117上。在一實施例中,第一光阻119為三層光阻,其具有底抗反射塗層、中間遮罩層、與頂光阻層(未分開圖式於第1A與1B圖中)。然而,任何合適種類的光敏材料或其組合亦可用於第一光阻119。
在放置第一光阻119於芯材117與第二硬遮罩115上後,圖案化第一光阻119。一實施例中的第一光阻119其圖案化方法,可為以經由光罩圖案化的能量源(如光)照射第一光阻119中的光敏材料(如三層光阻中的頂光阻層)。能量撞擊光敏材料的部份將產生化學反應,並改質第一光阻119之曝光部份的物理性質。因此第一光阻119其曝光部份的物理性質不同於未曝光部份的物理性質。接著以顯影劑(未分別圖示)顯影第一光阻119,以自第一光阻119的未曝光部份分離第一光阻119的曝光部份。
在一實施例中,可圖案化第一光阻119,以用於圖案化芯材117的製程。如此一來,第一光阻119之第一寬度W1可介於約1nm至約100nm之間(比如約59nm)。此外,第一光阻 119其分開的部份之間所隔的第三距離D3介於約1nm至約50nm之間(比如約10nm)。然而圖案化的第一光阻119可具有任何合適的尺寸。
在圖案化第一光阻119後,可進行顯影後偵測以得知第一光阻119的關鍵尺寸資訊。在一實施例中,顯影後偵測的方法可採用缺陷掃描工具如掃描式電子顯微鏡(可為線上或離線),光學的關鍵尺寸偵測方法、上述之組合、或類似方法。然而任何合適的方法均可用以得到第一光阻119於顯影後的關鍵尺寸資訊。
第2圖係開始圖案化製程,以將第一光阻119的圖案轉移至芯材117並形成芯201的圖式。在一實施例中,此圖案化製程可由第一蝕刻製程200開始,其具有所需的第一蝕科特性。舉例來說,一實施例中的第一蝕刻製程200可為非等向乾蝕刻如反應性離子蝕刻,其可用以將第一光阻119的圖案轉移至芯材117以以形成芯201。然而,可採用任何合適的蝕刻製程作為上述蝕刻製程。
此外,為了達到所需尺寸,在第一蝕刻製程200中可採用進階製程控制。舉例來說,一系列的模型與回饋系統可由前述的顯影後偵測接收第一光阻關鍵尺寸資訊。當顯影後偵測接收到第一光阻的關鍵尺寸資訊,進階製程控制可採用第一光阻的關鍵尺寸資訊搭配其他製程參數(如先前製程執行時所確認的參數),以確認並設定用於第一蝕刻製程200的多種製程參數(比如功率、流速、溫度、壓力、或類似參數)。蝕刻工具(未分開顯示於第2圖中)接著可接收製程參數(已對應第一光阻的 關鍵尺寸資訊調整),並依據調整後的製程參數進行第一蝕刻製程200。
然而採用進階製程控制(即使已量測並採用第一光阻的關鍵尺寸資訊)搭配第一光阻119仍不完美。舉例來說,第一蝕刻製程200(採用進階製程控制與顯影後偵測)之控制單元為1.0秒、修整曲線(R2)為0.9386、且乾製程時間(秒)與關鍵尺寸(nm)之間的修整曲線其線性式約為y=0.304x+31.612時,其控制敏感度可達0.30nm/秒。這樣的控制敏感度會在尺寸進一步縮小時,造成整個製程的控制較低且缺陷較多。
基於上述理由,除了芯201具有相同寬度與間隔如其上之第一光阻119之外,芯201可具有第二寬度W2(介於約1nm至約100nm之間,比如約35nm)。然而芯201可具有任何合適的尺寸。
如第3圖所示,在形成芯201後,自芯201移除第一光阻119。在一實施例中,電漿灰化製程可用以移除第一光阻119,其中第一光阻119的溫度升溫至熱分解使其移除。然而任何其他合適製程如濕式剝除,亦可用以移除第一光阻119。
當移除第一光阻119後,可進行蝕刻後偵測以得移除第一光阻119後的芯201其關鍵尺寸資訊。在一實施例中,蝕刻後偵測可用以確認第一蝕刻製程200,是否可讓芯201達到所需的關鍵尺寸。舉例來說,蝕刻後偵測可測量第一蝕刻製程200之後的芯201其實際寬度,並確認芯201之實際寬度與所需寬度之間的第一偏差。接著將這些資訊輸送至用於第二蝕刻製程300的第二蝕刻單元,其將搭配第3圖說明如下。
在一實施例中,蝕刻後偵測可採用電子束偵測工具(如掃描式電子顯微鏡工具)。在其他實施例中,可用用光學偵測工具(如光學繞射工具、光學反射工具、光學散射工具、或類似工具)、電子束與光學工具的組合、或類似工具。然而任何合適工具或製程亦可用於進行蝕刻後偵測。在一實施例中,芯材117為多晶矽且第一蝕刻製程200為乾蝕刻,且上述第一偏差可介於約1nm至約100nm之間(比如約24nm)。
第3圖亦顯示自蝕刻後偵測得到第一偏差後,可進行第二蝕刻製程300調整芯201的圖案以修正第一偏差,使芯201達到精準的目標關鍵尺寸。在一實施例中,第二蝕刻製程300與第一蝕刻製程200具有一或多個不同的控制參數。舉例來說,一實施例中的第一蝕刻製程200具有第一控制敏感度,第二蝕刻製程300具有第二控制敏感度,且第一控制敏感度與第二控制敏感度不同。
舉例來說,一實施例中的芯為多晶矽,第一蝕刻製程200為控制敏感度為0.30nm/秒的乾蝕刻,而第二蝕刻製程300為控制敏感度較小的蝕刻製程。在一特定實施例中,第二蝕刻製程300可為濕蝕刻製程(亦具有進階製程控制)且採用第一蝕刻品,其控制單元為0.1秒、修整曲線(R2)為0.9929、且製程時間(秒)與關鍵尺寸(nm)之間的修整曲線其線性式約為y=0.0861x-0.1193時,其控制敏感度小於0.1nm/秒(如0.09nm/秒)。舉例來說,一實施例中的芯201為多晶矽,第二蝕刻製程300可為濕蝕刻(採用濕蝕刻工具,其可與第一蝕刻製程200之乾蝕刻工具整合或分開),其中第一蝕刻品HF(0.01%至100%之 間)、O3(0.1ppm至70ppm之間)、NH4OH(0.01%至100%之間)、HCl(0.01%至100%之間)、H2O2(0.01%至100%之間)、H2SO4(0.01%至100%之間)、上述之組合、或類似物。此外,濕蝕刻製程的溫度可介於約0℃至約100℃之間(比如約70℃)。然而第二蝕刻製程300可採用任何合適的蝕刻品或製程溫度。
藉由不同控制敏感度的第二蝕刻製程300,可依據第一偏差修正第一蝕刻製程200的結果。舉例來說,一實施例中的第二蝕刻製程300具有較低的控制敏感度,比如控制敏感度為0.09nm/秒的濕蝕刻,此控制敏感度其降低的蝕刻速率可搭配第一偏差,以調整芯201的關鍵尺寸。舉例來說,第一偏差可用於設定第二蝕刻製程300(具有良好控制的敏感度)之時間,以修正第一偏差並使芯201完全具有所需的關鍵尺寸。在一特定實施例中,第二蝕刻製程300可用以得到最終關鍵尺寸,其第三寬度W3介於約1nm至約100nm之間(比如約34nm)。然而最終關鍵尺寸可採用任何合適尺寸。
藉由兩種系統、進行第一蝕刻製程200、量測結果、以及接著採用第二蝕刻製程300調整結果,可讓芯201的所需尺寸中具有較小變異,比如奇偶值小於約1nm。舉例來說,採用第一蝕刻製程以達27.60nm的目標尺寸時,其平均尺寸為27.94nm,且標準差為0.38(範圍為1.27nm)。然而採用第一蝕刻製程200搭配第二蝕刻製程300可達26.60nm的目標尺寸,其平均尺寸為26.60nm,且標準差為0.27(範圍為0.4nm)。如此一來,雙重蝕刻可改善約70%的範圍(自1.27nm降低至0.4nm)及約30%的標準差(自0.38降低至0.27)。上述製程控制的改善可讓製 程中的裝置更小且缺陷更少。
此外,雖然上述的第一蝕刻製程200與第二蝕刻製程300為乾蝕刻製程與濕蝕刻製程,但這些敘述僅用以說明而非侷限本發明實施例。第一蝕刻製程200與第二蝕刻製程300僅為不同參數及/或控制敏感度的製程。舉例來說,第一蝕刻製程200與第二蝕刻製程300均可為乾蝕刻製程(但製程參數不同),或者均可為濕蝕刻製程(但製程參數不同)。不同參數製程的任何合適組合,均屬本發明實施例的範疇。
最後,在進行第二蝕刻製程300後可進行薄化後偵測,以在後續製程前測量芯201的關鍵尺寸。在一實施例中,薄化後偵測可用以確認第一蝕刻製程200與第二蝕刻製程300之組合,是否可達芯201所需的關鍵尺寸。舉例來說,薄化後偵測可測量芯201於第二蝕刻製程300後的實際寬度,並確認芯201的實際寬度與所需寬度之間的第一偏差。
如第4圖所示,當芯201形成且進行第2至3圖所示之調整後,形成間隔物401於芯201的側壁上,接著移除芯201。在一實施例中,間隔物401沿著芯201的側壁形成,其形成方法可為先毯覆性地形成間隔物層(未圖示)於先前形成的結構上。間隔物層可包含氮化矽、氮氧化物、碳化矽、氮氧化矽、氧化物、或類似物,且其形成方法可為一般方法如化學氣相沉積、電漿增強化學氣相沉積、濺鍍、或類似方法。間隔物層的厚度可介於約10Å至約700Å之間(比如約30Å)。接著圖案化間隔物層以形成間隔物401,比如以非等向蝕刻自結構的水平表面移除間隔物層。
在形成間隔物401後,可自間隔物401之間移除芯201。在一實施例中,芯201的移除方法可為濕蝕刻,但亦可採用任何合適的蝕刻技術。舉例來說,若芯201採用矽,則蝕刻品如HF可用以移除芯201且實質上不移除任何間隔物401。
採用芯201形成間隔物401,接著移除芯201,可得比芯201之尺寸(受微影製程限制)更小的遮罩。舉例來說,一實施例之間隔物401其第三寬度W3介於約1nm至約100nm之間(比如約34nm)。此外,芯間隔MS可介於約1nm至約100nm之間(比如36nm),而間隔物間隔SS可介於約1nm至約100nm之間(比如約36nm)。然而上述單元的尺寸可採用任何合適的尺寸。
如第5圖所示,在形成間隔物401後,可形成第二光阻501以用於圖案化第二硬遮罩115與第一硬遮罩113。在一實施例中,第二光阻501可與上述第1A與1B圖中的第一光阻119類似。舉例來說,第二光阻501可為三層光阻,其具有底抗反射塗層、中間遮罩層、與頂光阻層。然而亦可採用任何合適的光敏材料或其他可圖案化的遮罩。
在放置第二光阻501後,可圖案化第二光阻501以露出鰭狀物107上的第一硬遮罩113與第二硬遮罩115。一實施例中的第二光阻501其圖案化方法,可為以經由光罩圖案化的能量源(如光)照射第二光阻501中的光敏材料(如三層光阻中的頂光阻層)。能量撞擊光敏材料的部份將產生化學反應,並改質第二光阻501之曝光部份的物理性質。因此第二光阻501其曝光部份的物理性質不同於未曝光部份的物理性質。接著以第二顯影劑(未分別圖示)顯影第二光阻501,以自第二光阻501的未 曝光部份分離第二光阻501的曝光部份。
如第6圖所示,當露出第一硬遮罩113與第二硬遮罩115後,進行第三蝕刻製程600以將間隔物401的圖案轉移至第一硬遮罩113與第二硬遮罩115。在一實施例中,第三蝕刻製程可為一或多道的非等向蝕刻製程如反應性離子蝕刻,其採用之蝕刻品適於圖案化第一硬遮罩113與第二硬遮罩115。然而上述蝕刻方法亦可採用任何合適的蝕刻製程。
在一實施例中,第一硬遮罩113與第二硬遮罩115形成的遮罩,其第四寬度W4介於約1nm至約100nm之間(比如約36nm)。此外,第6圖所示之第一硬遮罩113與第二硬遮罩115的輪廓為方形或矩形,但此僅用於說明而非侷限本發明實施例。第一硬遮罩113與第二硬遮罩115可具有任何合適輪廓,比如錐形輪廓或三角形輪廓,且可具有任何合適寬度。
此外,若第三蝕刻製程600未消耗第二光阻501,則可在完成第三蝕刻製程600後移除第二光阻501。在一實施例中,電漿灰化製程可用以移除第二光阻501,其中第二光阻501的溫度升溫至熱分解使其移除。然而任何其他合適製程如濕式剝除,亦可用以移除第二光阻501。
如第7圖所示,可在未直接位於鰭狀物107上的第一硬遮罩113與第二硬遮罩115上放置第三光阻701,並圖案化第三光阻701。在一實施例中,第三光阻701可與上述第1A與1B圖中的第一光阻119類似。舉例來說,第三光阻701可為三層光阻,其具有底抗反射塗層、中間遮罩層、與頂光阻層。然而亦可採用任何合適的光敏材料或其他可圖案化的遮罩。
在放置第三光阻701後,可圖案化第三光阻701以露出鰭狀物107上的第一硬遮罩113與第二硬遮罩115。一實施例中的第三光阻701其圖案化方法,可為以經由光罩圖案化的能量源(如光)照射第三光阻701中的光敏材料(如三層光阻中的頂光阻層)。能量撞擊光敏材料的部份將產生化學反應,並改質第三光阻701之曝光部份的物理性質。因此第三光阻701其曝光部份的物理性質不同於未曝光部份的物理性質。接著以第三顯影劑(未分別圖示)顯影第三光阻701,以自第三光阻701的未曝光部份分離第三光阻701的曝光部份。
如第8A與8B圖所示,在露出第一硬遮罩113與第二硬遮罩115後,進行第四蝕刻製程800將第一硬遮罩113與第二硬遮罩115的圖案轉移至閘極材料111,以形成閘極801(沿著鰭狀物107延伸的部份將以虛線表示)。在一實施例中,第三蝕刻製程600為非等向蝕刻製程如反應性離子蝕刻,但亦可採用任何合適的蝕刻製程。
藉由雙蝕刻製程,可在形成芯201時穩定蝕刻速率,以更準確的控制芯201其關鍵尺寸,進而在形成更小的芯201時不會產生缺陷,使採用芯201形成的結構具有更小尺寸。舉例來說,閘極801的第五寬度W5可介於約1nm至約100nm之間(比如約24nm),但亦可為任何合適尺寸。
此外,在圖案化閘極801後,可進行額外製程以完成並連接採用閘極801的鰭狀場效電晶體。舉例來說,可採用閘極801作為遮罩以圖案化閘極介電材料109,可形成或成長源極/汲極區、可形成層間介電物於閘極801上、且可形成閘極801 與鰭狀物107中的源極/汲極區之接點。任何合適製程或結構可用以內連線鰭狀場效電晶體裝置,且這些製程與結構均屬本發明實施例範疇。
採用上述的雙重蝕刻製程,對關鍵尺寸可具有更多控制。此改良可用於多種技術節點,比如10nm的技術結點、7nm的技術結點、或更高或更低的其他技術節點。此外,上述實施例可用於其他圖案化製程,比如形成芯的製程可用於圖案化基板101並定義主動區。這些製程可用於任何合適的圖案化製程。
如第9圖所示之另一實施例,第一隔離區105用以隔離鰭狀物107,而第二隔離區901用以隔離鰭狀物107與基板101之另一區的鰭狀物(未圖示)。在此實施例中,第二隔離區901的形成方法可先形成第二溝槽903。可在形成第一溝槽103之前或之後形成第二溝槽903,或甚至部份地沿著第一溝槽103形成第二溝槽903。第二溝槽903的形成方法採用之光微影遮罩與蝕刻製程,可與前述形成第一溝槽103類似。舉例來說,一實施例中可採用第一遮罩與蝕刻製程,以形成用於第二溝槽903的開口於基板101中。接著以第二遮罩與蝕刻製程形成第一溝槽103時,可同時延伸上述開口。然而第二溝槽903自基板101與鰭狀物107之頂部延伸的第四距離D4,可比第一溝槽103之第一距離D1深。在特定實施例中,第一溝槽103的第一距離D1可介於約100Å至約1500Å之間(比如1000Å),而第二溝槽903的第四距離D4可介於約200Å至約7000Å之間(比如介於約32nm至約36nm,或約3190Å)。然而第一溝槽103與第二溝槽903可具有任 何合適的深度。
在沿著第一溝槽103形成第二溝槽903之後,可將介電材料填入第一溝槽103與第二溝槽903以形成第一隔離區105與第二隔離區901。在一實施例中,將介電材料填入第一溝槽103與第二溝槽903的步驟可與前述第1A與1B圖之對應步驟類似。舉例來說,可沉積介電材料使介電材料填入第一溝槽103與第二溝槽903,接著可使介電材料凹陷以露出鰭狀物107的上表面,並形成第一隔離區105與第二隔離區901。在形成第一隔離區105與第二隔離區901之後,可繼續進行製程如前述。
沿著第一隔離區105形成第二隔離區901,可較佳地調整隔離區。舉例來說,第一隔離區105可調整為符合鰭狀物內的隔離(如相同閘極覆蓋的鰭狀物107之間)其特殊需求,而第二隔離區901可調整為符合鰭狀物之間的隔離(如分開的閘極堆疊覆蓋的鰭狀物107之間)其特殊需求。隔離區的調整能力可讓製程具有較大彈性。
在一實施例中,半導體裝置的形成方法包括:形成虛置材料於硬遮罩上;以第一蝕刻製程蝕刻虛置材料。依據第一蝕刻製程的結果,以第二蝕刻製程蝕刻虛置材料,其中第二蝕刻製程與第一蝕刻製程具有至少一不同的製程參數。
在一實施例中,上述方法之虛置材料包括矽。
在一實施例中,上述方法在以第二蝕刻製程蝕刻虛置材料後,更包括形成間隔物以與虛置材料相鄰。
在一實施例中,上述方法在形成間隔物後,更包括移除虛置材料。
在一實施例中,上述方法在移除虛置材料後,更包括蝕刻硬遮罩。
在一實施例中,上述方法在蝕刻硬遮罩後,更包括採用硬遮罩並蝕刻閘極材料。
在一些實施例中,上述方法中的閘極材料位於鰭狀物上,且鰭狀物包括半導體材料。
在另一實施例中,半導體裝置的形成方法包括:形成鰭狀物於半導體基板上。沉積閘極材料於鰭狀物上,並沉積硬遮罩於閘極材料上。沉積虛置材料於硬遮罩上,並圖案化虛置材料,包括:以第一蝕刻製程蝕刻虛置材料,且第一蝕刻製程具有第一控制敏感度;以及以第二蝕刻製程蝕刻虛置材料以形成芯,第二蝕刻製程具有第二控制敏感度,且第一控制敏感度與第二控制敏感度不同。
在一實施例中,上述方法之第一蝕刻製程為乾蝕刻製程。
在一實施例中,上述方法之第二蝕刻製程為濕蝕刻製程。
在一實施例中,上述方法更包括在第一蝕刻製程與第二蝕刻製程之間得到虛置材料的測量值,且第二蝕刻製程至少部份地依據測量值以蝕刻虛置材料。
在一些實施例中,上述方法之第一蝕刻製程為第一濕蝕刻製程,而第二蝕刻製程為第二濕蝕刻製程。
在一些實施例中,上述方法更包括形成間隔物於芯的相反兩側上;以及移除芯。
在一些實施例中,上述方法更包括以間隔物作為第一遮罩並蝕刻硬遮罩,以及以硬遮罩作為第二遮罩並蝕刻閘極材料。
在又一實施例中,半導體裝置的形成方法包括:以第一蝕刻製程蝕刻虛置矽材料,其中虛置矽材料位於硬遮罩與閘極材料上,且閘極材料位於鰭狀物上。在第一蝕刻製程完成後量測虛置矽材料的尺寸,以產生量測值;以及依據至少部份的量測值,進行第二蝕刻製程以蝕刻虛置矽材料,其中第二蝕刻製程的控制敏感度小於第一蝕刻製程的控制敏感度。
在一實施例中,上述方法在以第二蝕刻製程蝕刻虛置矽材料後,更包括沉積間隔物材料於虛置矽材料上;自間隔物材料形成間隔物;以及移除虛置矽材料。
在一實施例中,上述方法更包括沉積第一光阻於硬遮罩的第一部份上;以及採用間隔物與第一光阻作為遮罩並蝕刻硬遮罩。
在一實施例中,上述方法更包括移除第一光阻;沉積第二光阻於硬遮罩的第一部份上;以及以硬遮罩及第二光阻作為遮罩並蝕刻閘極材料。
在一實施例中,上述方法之第一蝕刻製程為乾蝕刻製程,且第二蝕刻製程為濕蝕刻製程。
在一實施例中,上述方法之硬遮罩更包括氮化矽層與氧化矽層。
本發明已以數個實施例揭露如上,以利本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識 者可採用本發明為基礎,設計或調整其他製程與結構,用以實施實施例的相同目的,及/或達到實施例的相同優點。本技術領域中具有通常知識者應理解上述等效置換並未偏離本發明之精神與範疇,並可在未偏離本發明之精神與範疇下進行這些不同的改變、置換、與調整。
W3‧‧‧第三寬度
101‧‧‧基板
103‧‧‧第一溝槽
105‧‧‧第一隔離區
107‧‧‧鰭狀物
109‧‧‧閘極介電材料
111‧‧‧閘極材料
113‧‧‧第一硬遮罩
115‧‧‧第二硬遮罩
201‧‧‧芯
300‧‧‧第二蝕刻製程

Claims (10)

  1. 一種半導體裝置的形成方法,包括:形成一虛置材料於一硬遮罩上;放置一第一光阻於該虛置材料上;圖案化該第一光阻以形成一第一圖案化的光阻,其中該第一圖案化的光阻具有一第一寬度;測量該第一圖案化的光阻的該第一寬度,以產生一第一測量值;依據該第一圖案化的光阻的該第一寬度的該第一測量值,設定一第一蝕刻製程的製程參數;採用該第一蝕刻製程與該第一圖案化的光阻蝕刻該虛置材料以形成一第一芯,其中該第一蝕刻製程為非等向蝕刻製程,其中該第一蝕刻製程形成的該第一芯具有一第二寬度,且該第二寬度小於該第一寬度;在採用該第一蝕刻製程蝕刻該虛置材料之後,移除該第一圖案化的光阻;測量該第一芯的該第二寬度以產生一第二測量值;依據該第一芯的該第二寬度的測量值,設定一第二蝕刻製程的製程參數;其中該第二蝕刻製程與該第一蝕刻製程具有至少一不同的製程參數,其中該第二蝕刻製程與該第一蝕刻製程的蝕刻速率不同,其中該第二蝕刻製程形成的該第一芯具有一第三寬度,其中該第二蝕刻製程為非等向蝕刻製程,其中該第一圖案化的光阻的移除製程不同於該第一蝕刻製程與該第 二蝕刻製程;以及測量該第一芯的該第三寬度。
  2. 如申請專利範圍第1項所述之半導體裝置的形成方法,更包括採用氫氟酸作為蝕刻劑以移除該虛置材料,其中該虛置材料包括矽。
  3. 如申請專利範圍第1項所述之半導體裝置的形成方法,更包括:在以該第二蝕刻製程蝕刻該虛置材料後,形成一間隔物以與該虛置材料相鄰,該間隔物具有一第四寬度,且該第四寬度與該第三寬度相同;在形成間隔物後,移除該虛置材料;在移除該虛置材料後,蝕刻該硬遮罩;以及在蝕刻該硬遮罩後,採用該硬遮罩並蝕刻一閘極材料。
  4. 如申請專利範圍第1項所述之半導體裝置的形成方法,更包括:在蝕刻該硬遮罩之前,形成一第二光阻於該硬遮罩上;在蝕刻該硬遮罩之後與蝕刻該閘極材料之前,移除該第二光阻;以及在蝕刻該閘極材料之前,形成一第三光阻於該硬遮罩上,其中該閘極材料位於一鰭狀物上,且該鰭狀物包括半導體材料。
  5. 一種半導體裝置的形成方法,包括:形成一第一組鳍狀物與一第二組鰭狀物於一半導體基板上; 形成多個第一隔離區於該第一組鰭狀物的多個第一鰭狀物之間,且該些第一隔離區具有一第一高度;形成多個第二隔離區於該第一組鰭狀物與該第二組鰭狀物之間,該些第二隔離區具有一第二高度,且該第二高度大於該第一高度;沉積一閘極材料於該第一組鳍狀物的該些第一鰭狀物之一上,沉積一硬遮罩於該閘極材料上;沉積一虛置材料於該硬遮罩上,沉積一光阻於該虛置材料上;圖案化該光阻以形成具有一第一寬度的一圖案化的光阻;以及圖案化該虛置材料,其中圖案化該虛置材料的步驟包括:採用該圖案化的光阻與一第一蝕刻製程蝕刻該虛置材料以形成一芯,該芯在垂直於該半導體基板的主要表面的第一方向中具有一厚度,其中以該第一蝕刻製程蝕刻該虛置材料所形成的該芯在垂直於該第一方向的一第二方向中具有一第二寬度,該第二寬度小於該第一寬度,且該第一蝕刻製程具有一第一控制敏感度;在蝕刻該虛置材料之後,移除該圖案化的光阻;以及以一第二蝕刻製程蝕刻該芯,該第二蝕刻製程具有一第二控制敏感度,該第一控制敏感度與該第二控制敏感度不同,且第二蝕刻製程的製程參數依據該第二寬度的測量值。
  6. 如申請專利範圍第5項所述之半導體裝置的形成方法,其中 該第一蝕刻置程為乾蝕刻製程。
  7. 如申請專利範圍第6項所述之半導體裝置的形成方法,其中該第二蝕刻製程為濕蝕刻製程。
  8. 一種半導體裝置的形成方法,包括:採用一第一光阻作為一遮罩並以一第一蝕刻製程蝕刻一硬遮罩與一閘極材料上的一虛置矽材料,使該虛置矽材料的一第一寬度平行於該硬遮罩的主要表面,其中該第一蝕刻製程包括反應性離子蝕刻製程,該虛置矽材料的厚度垂直於硬遮罩的主要表面,該閘極材料位於一鳍狀物上,且蝕刻該虛置矽材料之前的該第一光阻的一第二寬度平行於該硬遮罩的主要表面,且該第一寬度小於該第二寬度;在蝕刻該虛置矽材料之後,採用電漿灰化製程移除該第一光阻;在該第一蝕刻製程完成後測量該虛置矽材料的該第一寬度,以產生多個測量值;以及在移除該第一光阻之後,依據一第二組參數進行一第二蝕刻製程以蝕刻該虛置矽材料,使該虛置矽材料的第三寬度平行於該硬遮罩的主要表面,該第二組參數至少部份依據該些測量值,其中該第二蝕刻製程的控制敏感度小於該第一蝕刻製程的控制敏感度。
  9. 如申請專利範圍第8項所述之半導體裝置的形成方法,更包括在以該第二蝕刻製程蝕刻該虛置矽材料後,沉積一間隔物材料於該虛置矽材料上;自該間隔物材料形成多個間隔物;以及 移除該虛置矽材料。
  10. 如申請專利範圍第9項所述之半導體裝置的形成方法,更包括:沉積一第二光阻於該硬遮罩的一第一部份上;以及採用該些間隔物與該第二光阻作为一遮罩並蝕刻該硬遮罩。
TW106117013A 2016-11-29 2017-05-23 半導體裝置的形成方法 TWI727049B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662427411P 2016-11-29 2016-11-29
US62/427,411 2016-11-29
US15/418,416 2017-01-27
US15/418,416 US10515818B2 (en) 2016-11-29 2017-01-27 Semiconductor methods and devices

Publications (2)

Publication Number Publication Date
TW201830489A TW201830489A (zh) 2018-08-16
TWI727049B true TWI727049B (zh) 2021-05-11

Family

ID=62191014

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106117013A TWI727049B (zh) 2016-11-29 2017-05-23 半導體裝置的形成方法

Country Status (3)

Country Link
US (1) US10515818B2 (zh)
CN (1) CN108122753B (zh)
TW (1) TWI727049B (zh)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100009470A1 (en) * 2008-07-11 2010-01-14 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6391788B1 (en) * 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
KR100955927B1 (ko) * 2003-06-30 2010-05-03 주식회사 하이닉스반도체 반도체소자의 미세패턴 형성방법
US7534725B2 (en) 2007-03-21 2009-05-19 Taiwan Semiconductor Manufacturing Company Advanced process control for semiconductor processing
US20080290380A1 (en) * 2007-05-24 2008-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with raised spacers
KR100966976B1 (ko) * 2007-12-28 2010-06-30 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US8222132B2 (en) * 2008-11-14 2012-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Fabricating high-K/metal gate devices in a gate last process
US20100267237A1 (en) * 2009-04-20 2010-10-21 Advanced Micro Devices, Inc. Methods for fabricating finfet semiconductor devices using ashable sacrificial mandrels
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
US8852673B2 (en) 2011-11-01 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Defect monitoring for resist layer
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US8860148B2 (en) * 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8691655B2 (en) * 2012-05-15 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US9087793B2 (en) * 2013-12-11 2015-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method for etching target layer of semiconductor device in etching apparatus
US9029263B1 (en) * 2013-12-12 2015-05-12 Texas Instruments Incorporated Method of printing multiple structure widths using spacer double patterning
US9437445B1 (en) * 2015-02-24 2016-09-06 International Business Machines Corporation Dual fin integration for electron and hole mobility enhancement

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100009470A1 (en) * 2008-07-11 2010-01-14 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning

Also Published As

Publication number Publication date
CN108122753A (zh) 2018-06-05
US20180151382A1 (en) 2018-05-31
CN108122753B (zh) 2022-08-09
US10515818B2 (en) 2019-12-24
TW201830489A (zh) 2018-08-16

Similar Documents

Publication Publication Date Title
TWI556384B (zh) 用於製造後段製程(beol)互連之改良覆蓋的對角線硬遮罩
US9601346B2 (en) Spacer-damage-free etching
US11211255B2 (en) Semiconductor structure
US8951918B2 (en) Method for fabricating patterned structure of semiconductor device
US9034762B2 (en) Triple patterning method
CN108231663A (zh) 制作半导体装置的方法
US10199265B2 (en) Variable space mandrel cut for self aligned double patterning
US9564371B2 (en) Method for forming semiconductor device
TWI726370B (zh) 具有縮減臨界尺寸的半導體元件及其製備方法
US9324577B2 (en) Modified self-aligned contact process and semiconductor device
US10685871B2 (en) Method for forming semiconductor structure
CN102437089B (zh) 一种铜后道互连工艺
US10460939B1 (en) Patterning method
US9349639B2 (en) Method for manufacturing a contact structure used to electrically connect a semiconductor device
TWI567785B (zh) 半導體裝置圖案化結構之製作方法
TWI727049B (zh) 半導體裝置的形成方法
TWI532123B (zh) 記憶裝置及記憶裝置結構的製備方法
KR100832015B1 (ko) 반도체 소자의 콘택홀 형성 방법
US10170310B1 (en) Method of forming patterned structure
CN111403269B (zh) 图案化结构的制作方法
TWI697032B (zh) 半導體元件的製程
KR100944344B1 (ko) 반도체소자의 제조방법
TW201608673A (zh) 一種製作半導體元件的方法
KR20110070317A (ko) 반도체 소자의 패턴 형성방법
TW201344747A (zh) 半導體裝置圖案化結構之製作方法