TWI721061B - 用於積體電路中之小及大特徵的鈷或鎳及銅整合 - Google Patents

用於積體電路中之小及大特徵的鈷或鎳及銅整合 Download PDF

Info

Publication number
TWI721061B
TWI721061B TW105140350A TW105140350A TWI721061B TW I721061 B TWI721061 B TW I721061B TW 105140350 A TW105140350 A TW 105140350A TW 105140350 A TW105140350 A TW 105140350A TW I721061 B TWI721061 B TW I721061B
Authority
TW
Taiwan
Prior art keywords
layer
metal layer
feature
workpiece
micro
Prior art date
Application number
TW105140350A
Other languages
English (en)
Other versions
TW201806078A (zh
Inventor
羅伊 夏維
伊斯梅爾T 艾密許
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201806078A publication Critical patent/TW201806078A/zh
Application granted granted Critical
Publication of TWI721061B publication Critical patent/TWI721061B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

在本發明的一實施例中提供一種用於在工件上的特徵中沈積金屬的方法。該方法包括在介電層內具有至少兩種不同尺寸之特徵的工件上的第一金屬層上電化學沈積第二金屬層,其中該第二金屬層為銅層,及其中該第一金屬層包括選自於由鈷及鎳所組成之群組中的金屬,其中該第一金屬層完全填滿該最小特徵但不完全填滿該最大特徵。

Description

用於積體電路中之小及大特徵的鈷或鎳及銅整合
一種在工件上之特徵中沈積金屬的方法,該方法可用於積體電路中之小特徵及大特徵的鈷(Co)或鎳(Ni)及銅(Cu)整合。
積體電路(IC)包含覆蓋著基板的介電材料層及形成在該等介電材料層中或在該等介電材料層上的各種半導體元件。形成在該等介電材料層中或在該等介電材料層上的該等元件可包括MRS電晶體、雙極電晶體、二極體及擴散電阻器。形成在介電材料中或形成在介電材料上的其他元件可包括薄膜電阻器及電容器。金屬線使該等半導體元件互相連接以供電給該等元件且能使該等元件分享及交換資訊。互連線(interconnect)可水平地延伸在介電層內的元件之間及垂直地延伸在數個介電層之間。該等金屬線藉由一系列的互連線而彼此連接。首先在該等介電層中圖案化出該等電性互連線或金屬線以形成垂直及水平的凹陷特徵(介層窗及溝槽),隨後用金屬填充該等凹陷特徵。得到在介電質中含有金屬填充線的層稱為金屬化層。
IC技術進展的長期目標是縮小IC尺寸。縮小IC尺寸是獲得更高速之IC效能的關鍵。提升IC效能通常伴隨元件面積減小及/或元件密度提高。提高元件密度會導致用來形成互連線的介層窗及溝槽尺寸(寬度)減小。然而,當晶圓上的特徵尺寸縮小時,可能會發生一些負面的結果。例如,縮小尺寸的特徵可能得到較不可靠的互連線。
積體電路(IC)中通常使用銅互連線。然而在小特徵中,銅互連線的缺點包括表現出諸如在沈積期間形成空隙、銅的電遷移傾向、線路電阻及介層孔電阻(via resistance)的結果。因此需要用來整合銅及非銅互連線的方法、依據特徵尺寸選擇用於該特徵的金屬。本發明實施例提出Cu及其他金屬互連線的整合方案以解決此等及其他問題。
所提供的此發明內容以簡要形式來介紹本發明概念的選擇,且於以下實施方式中進一步描述該等發明概念的選擇。此發明內容不欲用來鑑別所請發明標的的關鍵特徵,亦不欲用來決定所請發明標的的範圍。
根據本發明一實施例提供一種用於在工件上的特徵中沈積金屬的方法。該方法包括在介電層中具有至少兩個不同尺寸之特徵的工件上,於該工件的第一金屬層上電化學沈積第二金屬層,其中該第二金屬層是銅層及其中該第一金屬層包含選自於由鈷及鎳所構成之群組中的 金屬,其中該第一金屬層完全填滿該最小特徵但不完全填滿該最大特徵。
根據本發明另一實施例,微特徵工件包含具有至少兩特徵的介電質,其中該第一特徵的臨界尺寸(critical dimension)小於或等於17奈米且填充有鈷或鎳,及其中該第二特徵的臨界尺寸大於20奈米且填充有由鈷或鎳及銅所形成的堆疊層。
在文中的任一實施例中,該第一特徵可具有小於或等於17奈米的臨界尺寸。
在文中所述的任一實施例中,該第二特徵可具有大於20奈米的臨界尺寸。
在文中所述的任一實施例中,該方法可進一步包括在沈積該第二金屬層之後,對該工件進行熱處理。
在文中所述的任一實施例中,用於對該工件進行熱處理的溫度可在150℃至400℃的溫度範圍內。
在文中所述的任一實施例中,對該工件進行熱處理的步驟可使該第一金屬層及該第二金屬層退火。
在文中所述的任一實施例中,對該工件進行熱處理的步驟可使該第二金屬層再流動(reflow)而至少部分地填充該最大特徵。
在文中所述的任一實施例中,方法可進一步包括在電化學沈積該第二金屬層之前,先使用氫電漿或氫自由基(H*)對該第一金屬層進行電漿處理。
在文中所述的任一實施例中,方法可進一步包括在沈積該第二金屬層之前,先對該第一金屬層進行熱處理。
在文中所述的任一實施例中,對該工件進行熱處理的步驟可在200℃至400℃的溫度範圍內進行。
在文中所述的任一實施例中,該第二金屬層可為共形(conformal)、超共形(superconformal)或由下向上(bottom-up)的填充層。
在文中所述的任一實施例中,該第二金屬層包括超覆層(overburden)。
在文中所述的任一實施例中,該第二金屬層可至少部分填充該最大特徵,但未在該工件上沈積超覆層。
在文中所述的任一實施例中,方法可進一步包括在該第二金屬層上電化學沈積第三金屬層。
在文中所述的任一實施例中,該第三金屬層可為超覆層、蓋層(cap)、填充層、共形導電層或超共形導電層。
在文中所述的任一實施例中,方法可進一步包括化學機械平坦化製程(CMP)。
在文中所述的任一實施例中,方法可進一步包括在CMP之後,對該工件進行熱處理。
在文中所述的任一實施例中,該第一金屬層可為第一晶種層。
在文中所述的任一實施例中,該第一晶種層可藉由選自於由物理氣相沈積、化學氣相沈積、原子層沈積及無電沈積所構成之群組中的製程所沈積而成。
在文中所述的任一實施例中,方法可進一步包括在沈積該第二金屬層之前的在該第一晶種層上之第二晶種層。
在文中所述的任一實施例中,該第二晶種層的金屬組成可與該第一晶種層的金屬組成不相同。
在文中所述的任一實施例中,該第二晶種層可為銅晶種層。
在文中所述的任一實施例中,該第二晶種層可藉由選自於由物理氣相沈積、化學氣相沈積、原子層沈積及無電沈積所構成之群組中的製程所沈積而成。
在文中所述的任一實施例中,在沈積該晶種層之前,該工件包括沈積在該特徵中的黏著層或阻障層。
在文中所述的任一實施例中,該第二金屬層可沈積在該晶種層的整個表面上。
其中在沈積該鈷或鎳層之前,該工件包括沈積在該等特徵中的黏著層或阻障層。
20:工件
22:介電層
28:黏著層/阻障層
30:晶種層
32:第二晶種層/第二金屬層
40:氧化物
42:銅金屬層
52:銅晶種層
54:銅金屬層
56:銅層
60:小特徵
62:大特徵/較大特徵
64:隙縫
120:工件
122:基板
130:第一金屬層
132:第二金屬層
150:窄線路
152:寬線路
320:系統
322:外殼
324:容器
326:裝載/卸載站
328:前端介面
330:退火模組
332:沖洗/乾燥模組
340:環模組
342:電鍍腔室
當配合附圖參閱以下詳細說明內容,將更容易領會且能更佳地瞭解本發明之上述態樣及諸多隨附優點,其中: 第1A圖至第1F圖為根據本發明一實施例示出形成互連線之方法的一系列概要圖;第2A圖至第2C圖為根據本發明另一實施例示出形成互連線之方法的一系列概要圖;第3A圖至第3D圖為根據本發明另一實施例示出形成互連線之方法的一系列概要圖;第4圖及第5圖為根據本發明實施例所示之示例性製程的流程圖;第6圖為示出根據本發明一實施例使用鈷填充小特徵及使用銅填充大特徵的SEM影像;第7圖為根據本發明一實施例所示之示例性工件的立體概要圖;第8A圖至第8C圖為根據本發明實施例示出去除晶種層上之氧化物及/或其他污染物之方法的一系列概要圖;第9圖概要圖示出可與根據本發明實施例所做之方法併用的氫離子電漿腔室;及第10圖概要圖示出可與根據本發明實施例所做之方法併用的電化學沈積電鍍工具。
本發明有關用於微電子工件之某些較大特徵(例如溝槽,尤其是鑲嵌應用中的溝槽)中之混合式非銅(例如鈷及鎳)金屬層和銅金屬層以及用於微電子工件之 其他較小特徵(例如介層窗,尤其是鑲嵌應用中的介層窗)中之非銅金屬層的方法與整合(integration)。
於一示例性實施例中,使用鈷或鎳金屬層(metallization)來填充在工件上之臨界尺寸小於或等於17奈米的一小特徵,及混合使用鈷或鎳與銅的金屬層來填充在工件上之臨界尺寸大於20奈米的另一特徵。在另一示例性實施例中,使用鈷或鎳金屬層來填充在工件上之臨界尺寸小於或等於15奈米的一小特徵,及混合使用鈷或鎳與銅的金屬層來填充在工件上之臨界尺寸大於20奈米的另一特徵。
本發明實施例是關於工件(例如,半導體晶圓)、用於處理工件的裝置或處理組件及處理工件的方法。「工件(workpiece)」、「晶圓(wafer)」及「半導體晶圓」該等術語意指任何平坦的介質或物品,包括半導體晶圓及其他基板或晶圓、玻璃、遮罩及光學或記憶介質、MEMS基板或任何具有微電子元件、微機械元件或微電機元件的其他工件。
本文中所述的方法可用於在工件的特徵(包括溝槽及介層窗)中進行金屬或金屬合金沈積。在本發明一實施例中,該製程可用於「小」特徵中,例如具有小於20奈米之特徵臨界尺寸的特徵。本申請案中所論述的尺寸大小可為在該特徵之頂部開口處的蝕刻後特徵尺寸。在本發明的一實施例中,小鑲嵌特徵可具有小於或等於17 奈米的最小尺寸。在另一實施例中,小鑲嵌特徵可具有小於或等於15奈米的最小尺寸。
在其他實施例中,如本發明中所描述的「大」鑲嵌特徵可具有大於20奈米的最小尺寸。
在其他實施例中,如本發明中所描述的「大」鑲嵌特徵可具有大於20奈米的最小尺寸。
本文中所描述的該等製程可應用於例如鑲嵌應用中之各種形式的鈷、鎳、銅及合金。
文中所使用的描述性用語「微特徵工件(micro-feature workpiece)」及「工件(workpiece)」可包括在處理過程中之指定點處所沈積和形成的所有結構和膜層,且不僅限於圖式中所示出的該等結構和膜層。例如,可依照標準半導體程序及製法在工件上設置較大特徵。
儘管在本申請案中通常描述金屬沈積製程,但「金屬」一詞亦可預期是金屬合金及共沈積金屬。此種金屬、金屬合金及共沈積金屬可用於形成晶種層或用來完全填滿或部分填充該特徵。作為共沈積金屬及金屬合金的非限制性實例,該合金組成比例可在約0.5%至約6%之次要合金金屬(secondary alloy metal)的範圍間。
參閱第1A圖至第1F圖,現將描述使用鈷及銅填充一或更多個特徵以形成示例性互連線的整合方案。作為非限制性的實例,工件20中的一系列層通常包括介電層22(見第1A圖)、選用性的黏著層28(見第1B圖)、第 一金屬層30(例如,晶種層,見第1C圖)及第二金屬層32(例如,共形電化學沈積金屬層,見第1D圖)。
所示出的整合方案是用來在介電層22中形成兩種不同尺寸的兩個特徵,圖中示出第一小特徵60及第二較大特徵62(見第1A圖)。儘管僅示出包含兩個特徵,但本發明範圍內亦涵蓋具有任意數目特徵的工件,只要具有至少一個小特徵60及至少一個大特徵62即可。
參閱第1B圖,該介電材料上的選用性黏著(或阻障)層28可包括例如鈦(Ti)、鉭(Ta)、氮化鈦(TiN)、氮化鉭(TaN),等等。作為非限制性的實例,該黏著層可為利用CVD或ALD製程所形成的TiN層。在某些應用中可能不需要黏著層。
參閱第1C圖,第一金屬層30是沈積在黏著層28上或直接位在介電層22上(若無黏著層時)的晶種層。根據本發明實施例,該晶種層是例如利用CVD製程以Co或Ni所形成而得。儘管通常是使用CVD製程形成晶種層,但亦可使用其他沈積技術(例如ALD、PVD或無電沈積)來形成該晶種層。第一金屬層30亦可為包含晶種層及襯層(圖中未圖示)的堆疊膜。
在圖示的實施例中,第一金屬層30填充該較小特徵60,但未填滿該較大特徵62。如第1C圖中所見,第一金屬層30的厚度可能等於或大於在工件20上之小特徵60中沈積阻障層或襯層28後之開口的½。在圖示的實施例中,小特徵60中形成隙縫64,在該隙縫處,共形之 第一金屬層30的兩側合攏在一起。第一金屬層30可具有該特徵60一半寬度的膜厚,以用來填充具有例如範圍在約5奈米至約50奈米間之臨界尺寸的開口。
在本發明的另一實施例中,第一金屬層30可能夠薄而使該工件上的所有特徵保持開口打開狀態且甚至不填滿小特徵60。在本發明的另一實施例中,第一金屬層30可填滿該工件上的所有特徵(大特徵及小特徵)。
在第1A圖至第1F圖所示的實施例中,第一金屬層30並未在沈積之後接著立刻進行退火。然而在其他實施例中,視情況需要可在沈積之後使第一金屬層30進行退火,將於以下做更詳細的描述。此退火處理可能有利於使隙縫64閉合(見第1C圖)、密封微孔洞、穩定該膜、使該膜緻密、降低該膜的電阻率及促進結晶成長。
在某些情況中,可在適當的溫度下進行第一金屬層30的退火處理以造成該第一金屬層30再流動。在其他情況中,可在較低溫度下進行該退火處理又不會造成該第一金屬層30再流動。
在某些情況中,在進行第二金屬層32的ECD沈積製程之前,可先使第一金屬層30退火以降低薄層電阻(sheet resistance)。
於退火後,第一金屬層30的厚度可能減小,例如厚度在約2奈米至約35奈米的範圍間。
用於第一金屬層30的退火條件可在200℃至400℃的溫度範圍間及1毫托耳(mTorr)至1大氣壓 (atm)間的壓力。此外,真空退火亦在本發明範圍內。該退火環境可為氫氣、氫/氦混合物(例如,4%的氫氣、96%的氦氣)或氫/氮混合物(例如,4%的氫氣、96%的氮氣)。
在沈積(及選用性的退火)第一金屬層30之後,電化學沈積第二金屬層32,見第1D圖。在本發明一實施例中,第二金屬層32為ECD銅(Cu)層。該ECD銅(Cu)層可具有範圍在約50奈米至約500奈米間的膜厚。
由除了銅以外的金屬所製成的薄晶種層或晶種層傾向於具有高薄層電阻,高的薄層電阻可能在電化學沈積製程期間完成電性連接時導致工件過熱。因此,可使用浸沒在鍍液中的接觸頭(contact)來鍍上第二金屬層32(例如,ECD銅(Cu)層),且從而在該工件整個表面上的第一金屬層30(或晶種層)上沈積第二金屬層32。
在第1D圖中所示的實施例中,第二金屬層32為共形層。然而,該ECD第二金屬層32可為例如使用習知酸性化學品所沈積而成的共形層、超共形層或由下向上填充層。
在一非限制性實例中,第二金屬層32是使用含有極稀乙二胺(EDA)銅錯合物之鹼性化學品所沈積而成的ECD銅(Cu)層。亦可使用其他的銅錯合物(例如,檸檬酸鹽、酒石酸鹽、甘胺酸、乙二胺四乙酸(EDTA)、尿素等等的銅錯合物)來沈積ECD銅,且可在約2至約11、約3至約10、約4至約10的pH範圍中或在約6至約10的pH範圍中沈積該ECD銅。在本發明一實施例中,該 ECD銅鹼性化學品可具有溫和的酸性、中性或鹼性pH值,例如在約6.5至9.3範圍中的pH值。此外,該銅電解質包含銅離子來源(例如,氯化銅或硫酸銅)且可包含錯合劑,例如甘胺酸或EDA。
在另一非限制性實例中,該電解質可包含一或更多個成分,例如有機添加劑及/或錯合劑及約6至約13的pH範圍以達到超共形填充。
習知的ECD銅酸性化學品可包含例如硫酸銅、硫酸、甲基磺酸(methane sulfonic acid)、氫氯酸及有機添加劑,例如加速劑、抑制劑及整平劑(leveler)。ECD沈積製程提供實質由下向上(例如,非共形)的金屬填充作用。酸性化學品可溶解一些鈷晶種層(最高達40Å)。因此,使用習知的ECD銅酸性化學品要考慮到潛在的溶解作用。
在本發明的某些示例性實施例中,用於ECD的沈積電流密度就稀化學品而言範圍可為1毫安培/平方公分(mA/cm2)至6毫安培/平方公分或就較濃化學品而言範圍可為1毫安培/平方公分至30毫安培/平方公分。於沈積期間所施加的電流波形可為直流電流或脈衝電流。ECD期間的溫度範圍可介於15℃至40℃的範圍間。
如比較第1D圖及第1E圖可看到,在沈積第二金屬層32之後,可視情況需要對工件20進行熱處理或退火。如以上所提到,退火處理可提供一或更多個有利作用:使小特徵60中之第一金屬層30的隙縫64閉合、密封 微孔洞、穩定該膜、使該膜緻密化、降低該膜的電阻率及促進結晶成長。
作為非限制性實例,作為第一金屬層30的鈷晶種層之電阻率在經退火後可在約8微歐姆.公分(μΩ.cm)至約12微歐姆.公分的範圍間。相較之下,作為第二金屬層32的銅層之體電阻率可約為鈷電阻率的25%。然而,在窄特徵中,銅電阻率可能超過鈷的電阻率。退火處理可幫助降低第一金屬層30及/或第二金屬層32的電阻率。在某些情況中,退火處理可造成第一金屬層30及/或第二金屬層32再流動,例如,如第1E圖之較大特徵62中所見情形。第二金屬層32再流動可造成部分填充或完全填滿該較大特徵62。
工件20在沈積完第二金屬層32之後的退火條件可在150℃至400℃的溫度範圍間及介於1毫托耳至1大氣壓間的壓力。此外,真空退火亦屬本發明範圍。該退火環境可為氫氣、氫/氦混合物(例如,4%的氫、96%的氦)或氫/氮混合物(例如,4%的氫、96%的氮)。該退火處理的時間可在約1分鐘至約60分鐘的範圍內。
若線路很寬,鈷及鎳因電阻之故而為不夠有效的金屬導體。在寬線路中需要改用銅導體來承載電流。若該線路或介層窗既窄且短,例如具有小於或等於17奈米的寬度及小於3微米的長度時,則鈷或鎳將會是有效的低電阻金屬導體。因此,本案發明人發現臨界尺寸小於17奈米或更窄的特徵若用Co或Ni(而不是用Cu)製成該導 體會具有較佳效能。再者,寬度大於20奈米的特徵若用Cu製成該導體則效能表現較佳。因此,本發明實施例提出用於在單個工件上形成Co及/或Ni及銅特徵的整合方案。
在第1A圖至第1F圖所示的實施例中,該ECD銅(Cu)製程完全填滿所有特徵(小特徵及大特徵)並在該區域(field)上留下超覆層。因此,第1E圖中的鍍後(post-plating)退火處理除了填充該特徵的金屬退火之外,還會使該超覆層退火而可促使結晶成長、穩定並降低該等膜的電阻率及封住任何殘留的微孔洞及隙縫。
根據本發明實施例,可在一或兩個沈積步驟或更多個沈積步驟(如有需要)中,使用用來進行共形或超共形填充的ECD電解質或用來進行由下向上填充的習知酸性ECD電解質來完全填滿或部分填充該等特徵的其中一部分特徵或用來進行超覆沈積(或兩者兼具)。例如,可沈積第三金屬層(圖中未圖示)來填充大特徵62或在工件上沈積超覆層。
作為非限制性實例,在示例性第一金屬化步驟中之該ECD銅(Cu)金屬層的厚度可在約10奈米至約50奈米的範圍間。在又一非限制性實例中,在示例性第二金屬化及/或超覆步驟中之該ECD銅(Cu)金屬層的厚度可在約100奈米至約500奈米的範圍間。
參閱第1F圖,隨後可使該工件進行化學機械平坦化(CMP)製程以製成該元件。
根據本發明實施例,文中所述製程可包括CMP後的退火以促進結晶成長、穩定並降低該等膜的電阻率及密封住任何殘留的微孔洞及隙縫。
在第1A圖至第1F圖的一示例性實施例中CVD鈷或鎳完全填滿該小特徵,及該較大特徵中含有CVD鈷或鎳晶種,但用ECD銅來填滿該較大特徵。參照以下實施例中的示例性製程,第6圖中的SEM影像顯示出在工件上具有數個不同尺寸的特徵,鈷或鎳填充該等較小特徵(例如小於或等於17奈米),及鈷/鎳及銅的堆疊填充該等較大特徵(例如,大於20奈米)。
參閱第4圖,根據本發明一實施例所做的示例性製程包括獲得具有小特徵(臨界尺寸小於或等於17奈米)及大特徵(臨界尺寸大於20奈米)的工件。該製程包括視情況需要在該等特徵中沈積黏著層。該製程包括在該等特徵中沈積Co或Ni曾,其中該Co或Ni層完全填滿該小特徵但不填充該大特徵。該製程包括視情況需要使該Co或Ni層退火。該製程包括在該Co或Ni層上電化學沈積Cu,及在ECD沈積之後,視情況需要對該工件進行退火。在該大特徵填滿之後,該製程包括CMP處理。
在根據本發明一實施例所做的另一示例性製程中,該製程包括在介電層中具有兩種不同尺寸之至少兩個特徵的工件上,於該工件的第一金屬層(Co或Ni)上電化學沈積第二金屬層(Cu),其中該第一金屬層完全填滿該最小特徵但不完全填滿該最大特徵。
相較於在較小特徵中是Cu互連線的情況而言,含有混合式Co或Ni與銅金屬層之工件的其中一有利作用是可降低Co/Ni互連線的電阻,而Co/Ni互連線的電阻降低可提升IC效能。當IC尺寸持續縮減時,混合使用Co或Ni與Cu的金屬層亦提供了一種可擴大Cu金屬層用途的方法。
另一個有利作用是,在較大特徵中使用Co/Ni與Cu堆疊可減小僅使用Co或Ni金屬來填充既大又長之線路時所預期會產生的高電阻。
另一個有利的作用是,使用Co/Ni與銅堆疊可降低介層窗的電阻,而有利於得到高速元件。此外,相較於銅而言,鈷可使用較薄的襯層,從而可減小介層窗及溝槽中的TaN襯層(或包層)厚度。參閱第6圖中的示例性工件120,該圖圖示出在窄線路150中之CVD鈷(Co)及在寬線路152中之ECD銅(Cu)的整合情形。
參考第2A圖至第2C圖中根據本發明實施例所做的替代方法,取代如第1D圖中所示的共形填充法,而改用由下向上式銅金屬層42來填充較大特徵(見第2A圖)。例如使用酸性沈積化學品利用習知的ECD填充法及蓋層技術可在該特徵中達成由下向上填充。習知的ECD銅酸性化學品可包含例如硫酸銅、硫酸、甲基磺酸、氫氯酸及有機添加劑(例如,加速劑、抑制劑及整平劑)。發現以電化學方式來沈積銅來沈積銅金屬層是最具成本效益的方法。除了在經濟上可行之外,ECD沈積技術提供實 質由下向上(例如,非共形)的金屬填充,而由下向上的金屬填充方式在機械及電性方面皆適合用於互連線結構。
參閱第2B圖,可根據以上描述的方法使工件20進行退火。此退火處理可閉合隙縫64。使用由下向上或超共形的填充方式,便可能不需要使該第二金屬層42在可讓該層42再流動的溫度下進行退火以部分填充或完全填充該第二較大特徵62的步驟。
參閱第2C圖,對該工件20進行化學機械平坦化(CMP)製程以製成該元件。
參閱第3A圖至第3C圖,提供根據本發明實施例所做的另一替代方法。參閱第3A圖,在鈷或鎳晶種層30上沈積銅晶種層52。可利用選自於由物理氣相沈積、化學氣相沈積、原子層沈積及無電沈積法所構成之群組中的製程來沈積該銅晶種層。
參閱第3B圖,使用由下向上的銅金屬層54填充該較大特徵62。然而,根據本發明其他實施例,可利用電化學沈積製程以共形或超共形的銅金屬層來完全填滿或部分填充該較大特徵62。
參閱第3C圖,可根據上述方法使該工件20退火。此退火處理可閉合隙縫64並使該第一銅晶種層52與第二銅金屬層54融合成單個銅層56。
參閱第3D圖,隨後使該工件20進行化學機械平坦化(CMP)製程以製造該元件。
參閱第3A圖至第3C圖及第5圖的流程圖,根據本發明一實施例所做的示例性製程包括取得具有小特徵(臨界尺寸小於或等於17奈米)及大特徵(臨界尺寸大於20奈米)的工件。該製程包括視情況需要在該等特徵中沈積黏著層。該製程包括在該等特徵中沈積Co或Ni晶種層,其中該Co或Ni層完全填滿該小特徵但不填充該大特徵。該製程包括在該Co或Ni晶種層上沈積Cu晶種層。該製程包括視情況需要對該等晶種層進行退火。該製程包括在該Cu晶種層上電化學沈積Cu,及於ECD沈積之後可視情況需要對該工件進行退火。在填充該大特徵之後,該製程包括CMP。
在根據本發明一實施例所做的另一示例性製程中,該製程包括在於介電層中具有兩種不同尺寸之至少兩個特徵的工件上,於第一晶種層(Co或Ni)與第二晶種層(Cu)的晶種層堆疊上,電化學沈積第二金屬層(Cu),其中該第一晶種層完全填滿該最小特徵但不完全填滿該最大特徵。
參閱第7圖,該圖示出根據本發明實施例的工件120之立體概要圖。工件120包括基板122。在介電層中具有兩種不同尺寸之至少兩個特徵的工件上,在該工件上的第一金屬層130(Co或Ni)上沈積第二金屬層132(Cu),其中該第一晶種層完全填滿該最小特徵160但不完全填滿該最大特徵162。
實施例
取得兩個晶圓。在該晶圓上沈積30Å的物理氣相沈積(PVD)TaN及100Å的化學氣相沈積(CVD)Co。該等晶圓於400℃下退火5分鐘。將該等晶圓移送至經氮氣淨化的FOUP中以減輕該Co層的氧化作用。使用pH值9.3的ECD銅(Cu)晶種化學品電鍍該等晶圓。以2安培分鐘(Amin)進行兩步驟式電鍍製程,並在250℃退火1分鐘。第4圖是此實施例中所描述之製程的流程圖。第6圖中的SEM剖面影像顯示出小特徵中的Co填充物及大特徵中的Co/Cu堆疊。
使用氫電漿進行處理
晶種層具有趨於氧化的傾向,且此氧化作用可能削減後續再該晶種層上的金屬沈積作用。此外,已氧化的表面傾向於會增加缺陷且可能降低互連線的可靠度。在還原性氛圍中進行晶種層的高溫退火處理可減少此種氧化物。在進行金屬沈積之前,可於高溫退火之前、退火期間或退火之後利用電漿處理來進一步減少該等氧化物。根據本發明實施例,退火步驟及電漿處理步驟可在不同腔室或同一個腔室中進行,且可同時進行或先後進行。
根據本發明實施例,可使用低溫表面處理方法來達成表面處理,以便於維持所沈積之晶種層的完整性及連貫性並使對該晶種層造成的損傷減至最小。參閱第8A圖至第8C圖,根據本發明一實施例,使用氫自由基H*處理該晶種層30。氫自由基H*是用來將金屬氧化還原成金 屬並將氧化物轉化成水。氫自由基H*亦可用來清除該晶種層表面上的污染物,例如碳。
根據本發明實施例,可使用電漿腔室、使用熱絲自由基來源或上述兩者之組合來產生氫自由基H*。氫自由基H*可用來均勻地減少氧化物40及清潔該特徵中的晶種層表面30。
以前所開發之製程中的高溫處理通常會造成導體層的聚集(agglomeration)及/或晶種層之固有性質改變,而根據本發明實施例進行氫自由基H*表面處理的有利作用包括減少該導體層的聚集及/或減少對於該晶種層之固有性質的改變。由於表面處理可減少氧氣及其他污染物,因此表面處理的另一有利作用包括增進該電鍍導體的成核作用。
在利用氫自由基H*進行表面處理之後,在介於表面處理與電化學沈積之間的一段短處理時間(processing window),該晶種層表面30的再次氧化作用會顯著降低。根據本發明某些實施例,介於晶種層表面處理與金屬層沈積製程之間的時間範圍少於60秒。在其他實施例中,該時間範圍可少於30秒。於某些實施例中,在進行電漿表面處理之前、電漿表面處理之後或在工件處理過程中的其他間隔期間內,可藉著將該工件存放在氮氣氛圍中(或另一種惰性環境中)來減緩該晶種層的再次氧化作用。
在本發明的某些實施例中,在電鍍之前先使用濕式製程來減少該氧化物層及進一步清潔該晶種的表面。在晶圓浸沒在電鍍浴中與開始電鍍之間,通常會在電鍍浴中進行該濕式製程。該濕式製程可與上述的電漿處理併用,或在不使用該電漿處理的情況下使用該濕式製程。在某些實施例中,在無前述電漿處理的情況下,進行該濕式清潔製程,及在該等實施例中,於該濕式製程期間去除所有的氧化物及表面污染物。在其他實施例中,電漿處理先於該濕式清潔。在其他非限制性的實施例中,僅使用電漿處理,且在浸沒期間進行電鍍或隨後立刻進行電鍍。
相較之下,在晶種沈積製程之後,一般的電鍍時間(plating window)在約6小時至24小時的範圍間,這是工業上通常認為用來在晶種層上鍍覆金屬的可接受的時間長度。此外,根據文中所述處理方法進行鈷晶種層表面處理可具有增進黏著力、減少缺陷、增進互連線的可靠度及後續鈷金屬層之其他性質的效果。
參閱第9圖,圖中示出可與根據本發明實施例之方法併用的氫離子電漿腔室。
為能達成短的處理時間(processing window),針對該電鍍工具做出數項改進。參閱第10圖,該圖示出可與文中所述方法併用的示例性電鍍工具,如廣為所知由應用材料公司製造的MUSTANG®工具。第10圖的工具包含位於外殼322內部的模組或子系統。晶圓或基板容器324(例如,前開式晶圓盒(FOUP)容器) 可停放在該外殼322前端處的裝載/卸載站326處。所使用的該等子系統可能隨著系統320所執行的具體製造製程而改變。在所示實施例中,系統320包括前端介面328,該前端介面328可用來暫時存放將要送入或移出系統320的晶圓且視情況需要可提供其他功能。在非限制性的實施例中,該系統320可包括退火模組330、氫自由基H*產生腔室、沖洗/乾燥模組332、環模組340及電鍍腔室342,該等模組及腔室可依序地配置在該外殼322內且位在該前端介面328後方。機器人在該等子系統之間移動晶圓。
在本發明的某些實施例中,該工具在腔室之間可能具有外界空氣環境(ambient air environment)。在其他實施例中,該工具可能在該外殼內的腔室之間的具有氮氣環境而可在進行電漿表面處理之前、電漿表面處理之後或在工件處理過程中的其他間隔期間內用來減緩該晶種層的氧化作用。
在本發明的某些實施例中,該工具可包括單獨的退火腔室及氫自由基H*產生腔室。在本發明的其他實施例中,該氫自由基H*生成可在與用來進行退火處理的同一個腔室中進行。儘管同一個腔室可用來進行兩種處理,但該等處理在該工件製造製程中是分開進行並且不是同一時間進行該等處理。為了能適用於兩種處理,該腔室將兼具氫自由基H*產生能力及退火能力。在一實施例 中,該腔室可調適的溫度範圍從室溫至300℃間或從室溫至400℃間。
將氫自由基H*生成及退火處理結合在單個處理腔室中可減少該工具的生產現場佔地面積及可用來在高溫及高真空下進行退火,證明對於晶種層是有利的。
以上說明該等晶種層及金屬層的金屬選項。本發明實施例包括例如鈷或鎳的晶種層及銅金屬層。
儘管已圖示及描述了數個示範說明用的實施例,但應明白,在不偏離本發明範圍及精神下,當可在該等實施例中做出各種變化。
主張特有性質或優勢的本發明實施例係界定如下。
20:工件
22:介電層
28:黏著層/阻障層
30:晶種層
32:第二晶種層/第二金屬層
60:小特徵
62:大特徵/較大特徵

Claims (48)

  1. 一種用於在一工件上的一特徵中沈積金屬的方法,該方法包括以下步驟:在一於一介電層中具有兩種不同尺寸之至少兩個特徵的工件上,於該工件的一第一金屬層上電化學沈積一第二金屬層,其中該第二金屬層是一銅層及其中該第一金屬層包含選自於由鈷及鎳所構成之群組中的一金屬,其中該第一金屬層完全填滿該至少兩個特徵中的最小特徵但不完全填滿該至少兩個特徵中的最大特徵,該第二金屬層與該第一金屬層堆疊以填充該至少兩個特徵中的該最大特徵。
  2. 如請求項1所述之方法,其中該至少兩個特徵中的該最小特徵具有一小於或等於17奈米的臨界尺寸。
  3. 如請求項1所述之方法,其中該至少兩個特徵中的該最大特徵具有一大於20奈米的臨界尺寸。
  4. 如請求項1所述之方法,進一步包括以下步驟:在沈積該第二金屬層之後,對該工件進行熱處理。
  5. 如請求項4所述之方法,其中用於對該工件進行熱處理的溫度在150℃至400℃的溫度範圍間。
  6. 如請求項4所述之方法,其中對該工件進行 熱處理的步驟使該第一金屬層及該第二金屬層退火。
  7. 如請求項4所述之方法,其中對該工件進行熱處理的步驟使該第二金屬層再流動,藉以至少部分填充該最大特徵。
  8. 如請求項4所述之方法,進一步包括以下步驟:在電化學沈積該第二金屬層之前,先使用氫電漿或氫自由基(H*)對該第一金屬層進行電漿處理。
  9. 如請求項1所述之方法,進一步包括以下步驟:在沈積該第二金屬層之前,先對該第一金屬層進行熱處理。
  10. 如請求項9所述之方法,其中對該第一金屬層進行熱處理的步驟是在200℃至400℃的溫度範圍內進行。
  11. 如請求項1所述之方法,其中該第二金屬層是一共形、超共形或由下向上的填充層。
  12. 如請求項1所述之方法,其中該第二金屬層包括一超覆層。
  13. 如請求項1所述之方法,其中該第二金屬層至少部分填充最大特徵,但未在該工件上沈積一超覆層。
  14. 如請求項1所述之方法,進一步包括以下步驟:在該第二金屬層上電化學沈積一第三金屬層。
  15. 如請求項14所述之方法,其中該第三金屬層是一超覆層、一蓋層、一填充層、一共形導電層或一超共形導電層。
  16. 如請求項1所述之方法,進一步包括CMP步驟。
  17. 如請求項16所述之方法,進一步包括以下步驟:在CMP之後,對該工件進行熱處理。
  18. 如請求項1所述之方法,其中該第一金屬層是一第一晶種層。
  19. 如請求項18所述之方法,其中該第一晶種層是藉由選自於以下群組中的一製程所沈積而成:物理氣相沈積、化學氣相沈積、原子層沈積及無電沈積。
  20. 如請求項18所述之方法,進一步包括:在沈積該第二金屬層之前的在該第一晶種層上之一第二晶種層。
  21. 如請求項20所述之方法,其中該第二晶種層的金屬組成與該第一晶種層的金屬組成不相同。
  22. 如請求項20所述之方法,其中該第二晶種層是一銅晶種層。
  23. 如請求項20所述之方法,其中該第二晶種層是藉由選自於以下群組中的一製程所沈積而成:物理氣相沈積、化學氣相沈積、原子層沈積及無電沈積。
  24. 如請求項1所述之方法,其中在沈積該第一金屬層之前,該工件包括沈積在該至少兩個特徵中的一黏著層或一阻障層。
  25. 如請求項1所述之方法,其中該第二金屬層沈積在該第一金屬層的整個表面上。
  26. 一種微特徵工件,包括:兩種不同尺寸的至少兩個特徵,設置在一介電質中,其中該至少兩個特徵中的一第一特徵的寬度小於或等於17nm,及其中該至少兩個特徵中的一第二特徵的寬度大於20nm;一第一金屬層,設置在該微特徵工件上,其中該第一金屬層包含選自於由鈷及鎳所構成的群組中的一金屬,且該第一金屬層完全填滿該至少兩個特徵中的該第一特徵但不完全填充該至少兩個特徵中的該第二特徵;以及一第二金屬層,電化學沈積在該微特徵工件上,其中該第二金屬層是一銅層,且該第二金屬層與該第一金屬層堆疊以填充該第二特徵。
  27. 如請求項26所述之微特徵工件,進一步包括:在沈積該第二金屬層之後,對該微特徵工件進行熱處理。
  28. 如請求項27所述之微特徵工件,其中用於 對該微特徵工件進行熱處理的溫度在150℃至400°C的溫度範圍間。
  29. 如請求項27所述之微特徵工件,其中對該微特徵工件進行熱處理的步驟使該第一金屬層及該第二金屬層退火。
  30. 如請求項27所述之微特徵工件,其中對該微特徵工件進行熱處理的步驟使該第二金屬層再流動,藉以至少部分填充該至少兩個特徵中的最大特徵。
  31. 如請求項27所述之微特徵工件,進一步包括:在電化學沈積該第二金屬層之前,先使用氫電漿或氫自由基(H*)對該第一金屬層進行電漿處理。
  32. 如請求項26所述之微特徵工件,進一步包括:在沈積該第二金屬層之前,先對該第一金屬層進行熱處理。
  33. 如請求項32所述之微特徵工件,其中對該第一金屬層進行熱處理的步驟是在200℃至400℃的溫度範圍內進行。
  34. 如請求項26所述之微特徵工件,其中該第二金屬層是一共形、超共形或由下向上的填充層。
  35. 如請求項26所述之微特徵工件,其中該第二金屬層包括一超覆層。
  36. 如請求項26所述之微特徵工件,其中該第 二金屬層至少部分填充該至少兩個特徵中的最大特徵,但未在該微特徵工件上沈積一超覆層。
  37. 如請求項26所述之微特徵工件,進一步包括:在該第二金屬層上電化學沈積一第三金屬層。
  38. 如請求項37所述之微特徵工件,其中該第三金屬層是一超覆層、一蓋層、一填充層、一共形導電層或一超共形導電層。
  39. 如請求項26所述之微特徵工件,進一步包括CMP步驟。
  40. 如請求項39所述之微特徵工件,進一步包括:在CMP之後,對該微特徵工件進行熱處理。
  41. 如請求項26所述的微特徵工件,其中該第一金屬層是一第一晶種層。
  42. 如請求項41所述之微特徵工件,其中該第一晶種層是藉由選自於以下群組中的一製程所沈積而成:物理氣相沈積、化學氣相沈積、原子層沈積及無電沈積。
  43. 如請求項41所述之微特徵工件,進一步包括:在沈積該第二金屬層之前的在該第一晶種層上之一第二晶種層。
  44. 如請求項43所述之微特徵工件,其中該第二晶種層的金屬組成與該第一晶種層的金屬組成不相 同。
  45. 如請求項43所述之微特徵工件,其中該第二晶種層是銅晶種層。
  46. 如請求項43所述之微特徵工件,其中該第二晶種層是藉由選自於以下群組中的一製程所沈積而成:物理氣相沈積、化學氣相沈積、原子層沈積及無電沈積。
  47. 如請求項26所述之微特徵工件,其中在沈積該第一金屬層之前,該微特徵工件包括沈積在該至少兩個特徵中的一黏著層或一阻障層。
  48. 如請求項26所述之微特徵工件,其中該第二金屬層沈積在該第一金屬層的整個表面上。
TW105140350A 2016-01-08 2016-12-07 用於積體電路中之小及大特徵的鈷或鎳及銅整合 TWI721061B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/991,712 2016-01-08
US14/991,712 US9805976B2 (en) 2016-01-08 2016-01-08 Co or Ni and Cu integration for small and large features in integrated circuits

Publications (2)

Publication Number Publication Date
TW201806078A TW201806078A (zh) 2018-02-16
TWI721061B true TWI721061B (zh) 2021-03-11

Family

ID=59274248

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105140350A TWI721061B (zh) 2016-01-08 2016-12-07 用於積體電路中之小及大特徵的鈷或鎳及銅整合

Country Status (5)

Country Link
US (2) US9805976B2 (zh)
KR (1) KR20180093093A (zh)
CN (1) CN108475625B (zh)
TW (1) TWI721061B (zh)
WO (1) WO2017119955A1 (zh)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10049927B2 (en) * 2016-06-10 2018-08-14 Applied Materials, Inc. Seam-healing method upon supra-atmospheric process in diffusion promoting ambient
JP6723128B2 (ja) * 2016-09-27 2020-07-15 東京エレクトロン株式会社 ニッケル配線の製造方法
US10283404B2 (en) * 2017-03-30 2019-05-07 Lam Research Corporation Selective deposition of WCN barrier/adhesion layer for interconnect
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
JP2018207110A (ja) * 2017-06-06 2018-12-27 東京エレクトロン株式会社 二重金属電力レールを有する集積回路の製造方法
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送***
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
US10269698B1 (en) 2017-12-20 2019-04-23 International Business Machines Corporation Binary metallization structure for nanoscale dual damascene interconnects
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
WO2020060837A1 (en) * 2018-09-20 2020-03-26 Applied Materials, Inc. Systems and methods for improving within die co-planarity uniformity
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11075165B2 (en) 2019-07-19 2021-07-27 Applied Materials, Inc. Methods and apparatus for forming dual metal interconnects
US11024537B2 (en) 2019-08-09 2021-06-01 Applied Materials, Inc. Methods and apparatus for hybrid feature metallization
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US20210285102A1 (en) * 2020-03-11 2021-09-16 Applied Materials, Inc. Gap fill methods using catalyzed deposition
CN113629076A (zh) * 2021-08-04 2021-11-09 武汉华星光电技术有限公司 阵列基板及显示面板
US11908738B2 (en) 2021-10-18 2024-02-20 International Business Machines Corporation Interconnect including integrally formed capacitor
US20230197510A1 (en) * 2021-12-20 2023-06-22 International Business Machines Corporation Hybrid metal interconnects

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130127055A1 (en) * 2011-11-22 2013-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of forming damascene interconnect structures
US20130140698A1 (en) * 2011-12-01 2013-06-06 Annamalai Lakshmanan Doped Tantalum Nitride for Copper Barrier Applications
US20140183738A1 (en) * 2012-12-28 2014-07-03 Christopher J. Jezewski Cobalt based interconnects and methods of fabrication thereof

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5227041A (en) 1992-06-12 1993-07-13 Digital Equipment Corporation Dry contact electroplating apparatus
US7645366B2 (en) 1999-07-12 2010-01-12 Semitool, Inc. Microelectronic workpiece holders and contact assemblies for use therewith
US6727175B2 (en) * 2002-08-02 2004-04-27 Micron Technology, Inc. Method of controlling metal formation processes using ion implantation, and system for performing same
US6821879B2 (en) 2002-10-30 2004-11-23 Xerox Corporation Copper interconnect by immersion/electroless plating in dual damascene process
US20060228934A1 (en) * 2005-04-12 2006-10-12 Basol Bulent M Conductive materials for low resistance interconnects and methods of forming the same
EP1909320A1 (en) 2006-10-05 2008-04-09 ST Microelectronics Crolles 2 SAS Copper diffusion barrier
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
JP5193913B2 (ja) 2009-03-12 2013-05-08 東京エレクトロン株式会社 CVD−Ru膜の形成方法および半導体装置の製造方法
US8492241B2 (en) 2010-10-14 2013-07-23 International Business Machines Corporation Method for simultaneously forming a through silicon via and a deep trench structure
US8900425B2 (en) 2011-11-29 2014-12-02 Applied Materials, Inc. Contact ring for an electrochemical processor
US9425092B2 (en) * 2013-03-15 2016-08-23 Applied Materials, Inc. Methods for producing interconnects in semiconductor devices
JP5820416B2 (ja) * 2013-03-22 2015-11-24 株式会社東芝 半導体装置及びその製造方法
US8877633B2 (en) * 2013-03-28 2014-11-04 Globalfoundries Inc. Methods of forming a barrier system containing an alloy of metals introduced into the barrier system, and an integrated circuit product containing such a barrier system
US9496145B2 (en) 2014-03-19 2016-11-15 Applied Materials, Inc. Electrochemical plating methods

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130127055A1 (en) * 2011-11-22 2013-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of forming damascene interconnect structures
US20130140698A1 (en) * 2011-12-01 2013-06-06 Annamalai Lakshmanan Doped Tantalum Nitride for Copper Barrier Applications
US20140183738A1 (en) * 2012-12-28 2014-07-03 Christopher J. Jezewski Cobalt based interconnects and methods of fabrication thereof

Also Published As

Publication number Publication date
US20180122696A1 (en) 2018-05-03
US9805976B2 (en) 2017-10-31
CN108475625B (zh) 2023-08-11
KR20180093093A (ko) 2018-08-20
TW201806078A (zh) 2018-02-16
WO2017119955A1 (en) 2017-07-13
US20170200642A1 (en) 2017-07-13
CN108475625A (zh) 2018-08-31
US10622252B2 (en) 2020-04-14

Similar Documents

Publication Publication Date Title
TWI721061B (zh) 用於積體電路中之小及大特徵的鈷或鎳及銅整合
US20220336271A1 (en) Doped selective metal caps to improve copper electromigration with ruthenium liner
US20160309596A1 (en) Methods for forming cobalt interconnects
KR101820002B1 (ko) 전기화학적 도금 방법들
US20070071888A1 (en) Method and apparatus for forming device features in an integrated electroless deposition system
US20150325477A1 (en) Super conformal metal plating from complexed electrolytes
US20070298607A1 (en) Method for copper damascence fill for forming an interconnect
US9840788B2 (en) Method for electrochemically depositing metal on a reactive metal film
US7585760B2 (en) Method for forming planarizing copper in a low-k dielectric
US9828687B2 (en) Method for electrochemically depositing metal on a reactive metal film
TWI653367B (zh) 具有高薄片電阻之工件上的電化學沉積
US20150322587A1 (en) Super conformal plating

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees