TWI703618B - 用於圖案化具有所需尺度的材料層的方法 - Google Patents

用於圖案化具有所需尺度的材料層的方法 Download PDF

Info

Publication number
TWI703618B
TWI703618B TW108115985A TW108115985A TWI703618B TW I703618 B TWI703618 B TW I703618B TW 108115985 A TW108115985 A TW 108115985A TW 108115985 A TW108115985 A TW 108115985A TW I703618 B TWI703618 B TW I703618B
Authority
TW
Taiwan
Prior art keywords
layer
etching process
film stack
substrate
pitch
Prior art date
Application number
TW108115985A
Other languages
English (en)
Other versions
TW202011452A (zh
Inventor
郢 張
林 周
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202011452A publication Critical patent/TW202011452A/zh
Application granted granted Critical
Publication of TWI703618B publication Critical patent/TWI703618B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供了用於圖案化膜堆疊的方法。在一個實施例中,一種用於圖案化設置在基板上的膜堆疊的方法包括以下步驟:執行第一蝕刻過程以蝕刻設置在基板上的膜堆疊,其中該膜堆疊包括設置在上層上的圖案化的光致抗蝕層,該上層在設置在該基板上的下層上,其中該圖案化的光致抗蝕層包括界定在特徵之間的開口,且該等特徵具有第一間距,其中該第一蝕刻過程從該膜堆疊移除由該圖案化的光致抗蝕層所暴露的該下層的約40%與約95%之間;在該膜堆疊上執行第二蝕刻過程;及在該第二蝕刻過程完成之後,該等特徵就被轉移到該膜堆疊中的該上層或該下層中而具有第二間距,其中該第二間距比該第一間距短。

Description

用於圖案化具有所需尺度的材料層的方法
本揭示內容的示例大致與圖案化材料層中的特徵及/或開口相關。詳細而言,本揭示內容的實施例提供了用於用精確的尺度控制來圖案化材料層中的特徵及/或開口的方法。
在製造積體電路(IC)或晶片時,晶片設計者創造表示不同晶片層的圖案。從這些圖案產生一系列可重複使用的掩模或光掩模以在製造過程期間將每個晶片層的設計轉移到半導體基板上。掩膜圖案產生系統使用精確的雷射或電子束來將每個晶片層的設計成像到各別的掩膜上。接著很像照相底片地將掩模用來將每個層的電路圖案轉移到半導體基板上。這些層是使用一連串的過程來建立的,且被轉換成包括每個完成的晶片的微小電晶體及電路。因此,掩模中的任何缺陷可能轉移到晶片,從而可能不利地影響效能。足夠嚴重的缺陷可能使得掩模完全無用。一般而言,15個到100個掩模的組被用來構造晶片,且可以重複使用。
隨著臨界尺度(CD)的縮小,目前的光刻術接近45奈米(nm)技術節點的技術極限。預期下一代光刻術(NGL)例如在20 nm及更為超越的技術節點中替換常規的光刻法。通過高精確度光學系統將圖案化的掩模的影像投射到基板表面上,該基板表面塗覆有一層光致抗蝕層。接著在複雜的化學反應及隨後發生的製造步驟(例如顯影、後暴露烘烤、及濕式或乾式蝕刻)之後將圖案形成於基板表面上。
複合圖案化技術是一種針對光刻術所發展以增強特徵密度及準確度的技術。此技術常用於相同層中的圖案,該等圖案看起來是不同的或具有不相容的密度或間距。然而,在相同的材料層中進行圖案化時,在材料層中的不同位置處形成多種特徵密度時,有時候會發生微負載效應。微負載效應是高特徵密度區域與低特徵密度之間的蝕刻尺度的變異度量。由於密集區域中較大的總表面面積暴露,與高特徵密度區域(例如密集區域)相比,低特徵密度區域(例如隔離區域)每單位表面面積接收更多反應蝕刻劑,因此在低密度區域中造成了較高的蝕刻速率。因此,由於高及低特徵密度區域中不同的蝕刻速率,通常觀察到,在低特徵密度區域已用某些所需且受控的垂直尺度蝕刻且界定的同時,由於側壁鈍化或設置在膜堆疊中的相鄰層的蝕刻選擇性不足以維持膜堆疊到蝕刻過程完成,高特徵密度區域因為側向腐蝕而是彎曲及/或底切的。在許多情況下,低特徵密度區域通常用比高特徵密度區域快的速率蝕刻,從而造成低特徵密度區域中的蝕刻的層的變形、蘑菇形狀、或錐形底部。設置在高特徵密度區域與低特徵密度區域中的膜堆疊中的材料層之間的不充足選擇性或保護通常使得不能夠保持蝕刻特徵的臨界尺度及造成不良的圖案化轉移。
因此,在圖案化過程期間需要具有精確尺度控制的圖案化特徵。
本揭示內容的實施例提供了用於用所需的尺度控制圖案化膜堆疊中的特徵的方法。在一個實施例中,一種用於圖案化設置在基板上的膜堆疊的方法包括以下步驟:執行第一蝕刻過程以蝕刻設置在基板上的膜堆疊,其中該膜堆疊包括設置在上層上的圖案化的光致抗蝕層,該上層在設置在該基板上的下層上,其中該圖案化的光致抗蝕層包括界定在特徵之間的開口,且該等特徵具有第一間距,其中該第一蝕刻過程從該膜堆疊移除由該圖案化的光致抗蝕層所暴露的該下層的約40%與約95%之間;在該膜堆疊上執行第二蝕刻過程;及在該第二蝕刻過程完成之後,該等特徵就被轉移到該膜堆疊中的該上層或該下層中而具有第二間距,其中該第二間距比該第一間距短。
在另一個示例中,一種用於圖案化膜堆疊的方法包括以下步驟:藉由供應包括惰性氣體的氣體混合物,執行指向性蝕刻過程,以蝕刻基板上的膜堆疊;及將形成於該膜堆疊中的特徵的尺度從第一間距側向減少到第二間距。
在又另一個示例中,一種用於圖案化膜堆疊的方法包括以下步驟:向設置在基板上的膜堆疊的側壁水平地提供蝕刻劑,其中該等蝕刻劑包括惰性氣體,其中該膜堆疊包括形成於設置在下層上的上層中的特徵;及將該膜堆疊中的該上層中的該等特徵的尺度從第一間距減少到第二間距,同時將該下層的該尺度維持在該第一間距。
提供了用於在膜堆疊中用所需的小尺度圖案化特徵及製造奈米結構的方法。該方法利用指向性蝕刻過程來用所需的角度圖案化膜堆積層中的材料層,以便依需要側向或指向地蝕刻材料層。藉由這樣做,可以在用不同的特徵密度在膜堆疊中的材料層中蝕刻特徵的同時變更或更改蝕刻速率,以便改善蝕刻選擇性及增強特徵轉移尺度及輪廓控制。
如本文中所使用的用語「基板」指的是充當用於後續處理操作的基底的材料層,且包括待清潔的表面。例如,基板可以包括一或更多種材料,包含含矽材料、含IV族或III-V族的化合物,例如Si、多晶矽、非晶矽、Ge、SiGe、GaAs、InP、InAs、GaAs、GaP、InGaAs、InGaAsP、GaSb、InSb等等,或上述項目的組合。並且,基板也可以包括介電材料,例如二氧化矽、有機矽酸鹽、及摻碳氧化矽。基板也可以包括一或更多種導電金屬,例如鎳、鈦、鉑、鉬、錸、鋨、鉻、鐵、鋁、銅、鎢、或上述項目的組合。進一步地,取決於應用,基板可以包括任何其他的材料,例如金屬氮化物、金屬氧化物、及金屬合金。在一或更多個實施例中,基板可以形成接觸結構、金屬矽化物層、或包括閘極介電層及閘極電極層的閘極結構以促進與隨後形成在該基板上的互連特徵(例如插頭、通孔、接點、線、及導線)連接,或半導體設備中所利用的合適結構。
並且,基板不限於任何特定的尺寸或形狀。基板可以是具有200 mm直徑、300 mm直徑、450 mm直徑、或其他直徑的圓形晶圓。基板也可以是任何多邊形、方形、矩形、彎曲的或其他非圓形的工件,例如用於製造平坦的平板顯示器的多邊形的玻璃、塑膠基板。
圖1是適於在處理腔室100中圖案化設置在基板301上的材料層的示例性處理腔室100的簡化剖視圖。示例性處理腔室100適於執行圖案化過程。可以調適為受益於本揭示內容的處理腔室100的一個示例是可從位於加州聖克拉拉市的應用材料有限公司取得的CENTRIS® Sym3 蝕刻處理腔室。預期的是,可以將其他的過程腔室(包括來自其他製造商的彼等過程腔室)調適為實行本揭示內容的實施例。
電漿處理腔室100包括腔室主體105,該腔室主體具有界定在該腔室主體中的腔室容積101。腔室主體105具有耦接到接地126的側壁112及底部118。側壁112具有襯墊115以保護側壁112及延長電漿處理腔室100的維修週期之間的時間。腔室主體105以及電漿處理腔室100的相關元件的尺度是不受限制的,且一般在比例上大於要在其中處理的基板301的尺寸。基板尺寸的示例包括200 mm直徑、250 mm直徑、300 mm直徑、及450 mm直徑等等。
腔室主體105支撐腔室蓋組件110以包封腔室容積101。可以由鋁或其他合適的材料製造腔室主體105。基板進出端口113形成通過腔室主體105的側壁112,從而促進將基板301傳輸進出電漿處理腔室100。可以將進出端口113耦接到基板處理系統(未示出)的傳輸腔室及/或其他腔室。
泵送端口145形成通過腔室主體105的側壁112且連接到腔室容積101。泵送設備(未示出)通過泵送端口145耦接到腔室容積101以排氣及控制其中的壓力。泵送設備可以包括一或更多個泵及節流閥。
藉由氣體管線167將氣體面板160耦接到腔室主體105以將過程氣體供應到腔室容積101中。氣體面板160可以包括一或更多個過程氣體源161、162、163、164,且可以依需要額外包括惰性氣體、非反應性氣體、及反應性氣體。可以由氣體面板160提供的過程氣體的示例包括但不限於含烴氣體(包括甲烷(CH4 ))、六氟化硫(SF6 )、四氟化碳(CF4 ),溴化氫(HBr)、含烴氣體、氬氣(Ar)、氯(Cl2 )、氮(N2 )、氦(He)、及氧氣(O2 )。此外,過程氣體可以包括氯、氟、及含氫氣體,例如BCl3 、C2 F4 、C4 F8 、C4 F6 、CHF3 、CH2 F2 、CH3 F、NF3 、CO2 、SO2 、CO、及H2 等等。
閥門166控制來自氣體面板160且來自來源161、162、163、164的過程氣體的流量,且由控制器165所管理。從氣體面板160供應到腔室主體105的氣體流可以包括氣體組合。
腔室蓋組件110可以包括噴嘴114。噴嘴114具有一或更多個端口以供將過程氣體從氣體面板160的來源161、162、164、163引入到腔室容積101中。在將過程氣體引入到電漿處理腔室100中之後,將氣體通電以形成電漿。可以將天線148(例如一或更多個電感器線圈)提供在電漿處理腔室100附近。天線電源142可以通過匹配電路141向天線148供電以將能量(例如RF能量)感應耦合到過程氣體以維持由電漿處理腔室100的腔室容積101中的過程氣體所形成的電漿。替代於或附加於天線電源142,可以使用基板301下方及/或基板301上方的過程電極來將RF電力電容耦合到過程氣體以維持腔室容積101內的電漿。可以由控制器(例如控制器165)控制電源142的操作,該控制器也控制電漿處理腔室100中的其他元件的操作。
基板支撐托座135被設置在腔室容積101中以在處理期間支撐基板301。基板支撐托座135可以包括靜電吸盤122以供在處理期間固持基板301。靜電吸盤(ESC)122使用靜電引力來將基板301固持到基板支撐托座135。由與匹配電路124整合在一起的RF電源125向ESC 122供電。ESC 122包括嵌入在介電主體內的電極121。電極121被耦接到RF電源125且提供偏壓,該偏壓將由腔室容積101中的過程氣體所形成的電漿離子吸引到ESC 122及定位在該ESC上的基板301。RF電源125可以在基板301的處理期間循環打開及關掉、或脈衝。為了使得ESC 122的側壁對於電漿較不具吸引力以延長ESC 122的維修壽命週期的目的,ESC 122具有絕緣體128。此外,基板支撐托座135可以具有陰極襯墊136以保護基板支撐托座135的側壁免受電漿氣體及延長電漿處理腔室100的維修之間的時間。
並且,電極121被耦接到電源150。電源150向電極121提供約200伏特到約2000伏特的夾持電壓。電源150也可以包括系統控制器以供藉由將DC電流引導到電極121來控制電極121的操作以供夾持及去夾持基板301。
ESC 122可以包括設置在該ESC中且連接到電源(未示出)的加熱器以供加熱基板,而支撐ESC 122的冷卻基部129可以包括導管以供循環導熱流體以維持ESC 122及設置在該ESC上的基板301的溫度。ESC 122被配置為在由正在基板301上製造的設備的熱預算所需求的溫度範圍中執行。例如,可以將ESC 122配置為針對某些實施例將基板301維持在約-攝氏25度到約攝氏500度的溫度下。
提供冷卻基部129以幫助控制基板301的溫度。為了減輕過程的漂移及時間,可以在基板301在清潔腔室中的整個時間內藉由冷卻基部129將基板301的溫度維持實質恆定。在一個實施例中,在整個後續的清潔過程內將基板301的溫度維持在約攝氏30到120度下。
覆蓋環130被設置在ESC 122上且沿著基板支撐托座135的周邊設置。覆蓋環130被配置為將蝕刻氣體約束於基板301的受暴頂面的所需部分,同時將基板支撐托座135的頂面與電漿處理腔室100的內部的電漿環境屏蔽。升降銷(未示出)選擇性地移動通過基板支撐托座135以將基板301上升到基板支撐托座135上方,以促進由傳輸機器人(未示出)或其他合適的傳輸機構接取基板301。
可以利用控制器165來控制過程序列,從而調節從氣體面板160進入電漿處理腔室100的氣體流量及其他的過程參數。軟體常式在由CPU執行時,將CPU轉換成特定用途電腦(控制器),該特定用途電腦控制電漿處理腔室100,使得依據本揭示內容來執行過程。也可以由與電漿處理腔室100並置的第二控制器(未示出)儲存及/或執行軟體常式。
圖2A是適於將離子、摻雜物、或蝕刻劑提供到基板中的處理腔室200的一個實施例的截面圖。可以調適為與本文中所揭露的教示一起使用的合適處理腔室包括例如可從加州聖克拉拉市的應用材料有限公司取得的處理腔室。雖然處理腔室200被示為具有允許指向性蝕刻/圖案化效能的複數個特徵,但預期的是,也可以將來自其他製造商的其他處理腔室調適為受益於本文中所揭露的發明性特徵中的一或更多者。可以將如本文中所述的處理腔室200利用作指向性蝕刻/圖案化裝置。然而,處理腔室200也可以包括但不限於蝕刻及沉積系統。並且,指向性蝕刻/圖案化裝置可以在基板上執行許多不同材料的蝕刻或圖案化過程。一個此類過程包括用所需的角度或方向用所需的蝕刻劑蝕刻設置在基板(例如半導體基板)上的材料層。
RF電漿源206被耦接到腔室主體201且被配置為在處理腔室200中產生電漿240。在圖2A的實施例中,電漿鞘修改器208被設置在內部處理區域209中。電漿鞘修改器208包括一對修改器212、214,該等修改器在其間界定間隙216。間隙216界定水平間隔(G)。在一些實施例中,電漿鞘修改器208可以包括絕緣體、導體、或半導體。該對修改器212、214可以是具有薄的、平坦的形狀的一對片材。在其他的實施例中,該對修改器212、214可以是其他的形狀,例如管狀、楔狀,及/或具有間隙316附近的斜切邊緣。在一個實施例中,修改器212、214可以由石英、氧化鋁、氮化硼、玻璃、多晶矽、氮化矽、碳化矽、石墨等等製造。
在一個實施例中,由該對修改器212、214所界定的間隙216的水平間隔可以為約6.0毫米(mm)。也可以將該對修改器212、214定位為界定平面251上方的垂直間隔(Z)。平面251是由基板238的前表面或基板支撐物234的表面所界定的。在一個實施例中,垂直間隔(Z)可以為約3.0 mm。
氣體源288被耦接到處理腔室200以向內部處理區域209供應可離子化氣體。圖案化氣體的示例包括但不限於Ar、O2 、HBr、BF3 、BI3 N2 、Ar、PH3 、AsH3 、B2 H6 、H2 、Xe、Kr、Ne、He、SiH4 、SiF4 、SF6 、C2 F6 、CHF3 、GeH4 、GeF4 、CH4 、CF4 、AsF5 、PF3 、及PF5 。電漿源206可以藉由激發及離子化提供到處理腔室200的氣體來產生電漿240。可以藉由不同的機構將電漿240中的離子吸引跨越電漿鞘242。在圖2A的實施例中,偏壓源290被耦接到基板支撐物234且被配置為偏壓基板301以將來自電漿240的離子202吸引跨越電漿鞘242。偏壓源290可以是用來提供DC電壓偏壓訊號的DC電源或用來提供RF偏壓訊號的RF電源。
據信,電漿鞘修改器208修改電漿鞘242內的電場以控制電漿240與電漿鞘242之間的邊界241的形狀。電漿240與電漿鞘242之間的邊界241相對於平面251可以具有凸面的形狀。在偏壓源290偏壓基板301時,離子202通過大範圍的入射角被吸引跨越電漿鞘242通過界定在修改器212、214之間的間隙216。例如,依循軌線路徑271的離子202可以相對於平面251用正θ(+θ)的角度撞擊基板301。依循軌線路徑270的離子可以相對於相同的平面251用約90度的角度垂直地撞擊在基板301上。依循軌線路徑269的離子可以相對於平面251用負θ(-θ)的角度撞擊基板301。因此,入射角的範圍可以是在約正θ(+θ)與約負θ(-θ)之間,且中心在約90度。此外,一些離子軌線路徑(例如路徑269及271)可以彼此交叉。取決於許多因素(包括但不限於修改器212與214之間的水平間隔(G)、電漿鞘修改器208在平面251上方的垂直間隔(Z)、修改器212及214的介電常數、及其他的電漿過程參數),入射角(θ)的範圍可以是在+60度與-60度之間且中心在約0度。因此,可以藉由離子202均勻地處理基板301上的小型三維結構。例如,可以藉由離子202更均勻地處理為了清楚說明起見而具有誇大尺寸的特徵244的側壁247以及頂面249。
參照圖2B,使用至少三個修改器1400、1402、1404,而不是圖2A中所描繪的一對修改器212、214,來控制具有所需的角度分佈的離子到基板301。藉由將相同形狀的外修改器1400、1404佈置在基板301上方距離Za的共用平面上(相同的垂直面(Za)),且藉由維持修改器1400、1402、1404之間的相等的水平間隔G1、G2,可以獲得中心在約+/- θ(+θ及-θ)度的對稱的離子雙峰角展度。如上所述,可以藉由變化外修改器1400、1404與中間修改器1402之間的垂直間隔來修改將離子摻雜到基板301上的附帶角度,以便變化間隙角度。可以藉由變化修改器1400、1402、1404之間的水平間隔(G1、G2)來修改離子角展度,以便變化由水平間隔(G1、G2)所界定的間隙寬度。可以藉由使得Za與Zb不同、藉由選擇與G2不同的G1、或兩個行動的組合來產生不對稱分佈。在一個實施例中,可以相對於中心在約0度與約30度之間修改離子角展度以僅處理特徵的一側或僅將離子植入到該側中。
圖3描繪可以用來將離子、摻雜物、或蝕刻劑提供到基板的某些區域中的指向性蝕刻/摻雜/圖案化處理腔室300。蝕刻/摻雜/圖案化處理腔室包括離子源302、抽取電極304、90度磁鐵分析器306、第一減速(D1)級308、磁鐵分析器310、及第二減速(D2)級312。減速級D1、D2(也稱為「減速透鏡」)均包括具有界定的孔的多個電極以允許離子束穿過該等電極。藉由向多個電極施加不同的電壓電勢組合,減速透鏡D1、D2可以操控離子能量且使得離子束用將離子植入到基板中的所需能量撞擊靶晶圓。上述減速透鏡D1、D2一般為靜電三極管(或四極管)減速透鏡。
圖4是用於在設置在基板上的膜堆疊中圖案化特徵的方法400的一個示例的流程圖。稍後可以利用材料層來充當蝕刻掩模層以進一步將特徵轉移到設置在材料層下方的另一個結構中。圖6A-6D及7A-7D是與方法400的各種階段對應的具有多個掩模層(例如層606、608、610、612、614、616)的膜堆疊602的一部分的橫截面圖。圖6A-6D的橫截面圖示出膜堆疊602的從圖5A及5B中的膜堆疊602的俯視圖沿著線A-A切割的部分。圖7A-7D的橫截面圖示出膜堆疊602的從圖5A及5B中的膜堆疊602的俯視圖沿著線B-B切割的部分。可以利用方法400來將特徵圖案化到膜堆疊602中的多個掩模層(例如層606、608、610、612、614、616)中的至少一者中。可以將多個掩模層(例如層606、608、610、612、614、616)用作蝕刻掩模以在基板301上的材料層中形成特徵,例如層間介電層、接觸介電層、閘極電極層、閘極介電層、STI絕緣層、金屬間層(IML)、或任何合適的層。或者,可以有益地利用方法400來依需要蝕刻任何其他類型的結構。
方法400藉由提供具有設置在基板301上的多個掩模層(例如層606、608、610、612、614、616)的膜堆疊602,開始於方塊402處。基板301被安置在處理腔室(例如圖1中所描繪的處理腔室100)中以執行蝕刻過程。膜堆疊602包括設置在上層608、下層610、第一介電層612、金屬介電層614、及第二介電層616上的圖案化的光致抗蝕層606。注意,可以將材料層(例如依需要的額外層)形成於第二介電層616與基板301之間,以便進一步依需要將由膜堆疊602界定的特徵轉移到材料層。將圖案化膜堆疊602中的這些多個掩模層(例如層606、608、610、612、614、616)以稍後充當蝕刻掩模以在蝕刻過程期間將特徵轉移到設置在其下的材料層。形成在第二介電層616下方的材料層(未示出)可以具有形成於第二介電層616中且轉移到材料層中的特徵。在一個實施例中,材料層可以是用來在半導體設備中形成層的介電層。介電層的合適示例包括含碳氧化矽(SiOC)、聚合材料(例如聚醯胺)、SOG、USG、氧化矽、氮化矽、氮氧化矽、碳化矽、碳氧化矽等等。
在一個示例中,圖案化的光致抗蝕層606(例如用於極紫外線(EUV)應用的光致抗蝕層)被形成於上層608上。圖案化的光致抗蝕層606是有機抗蝕層。可以藉由合適的技術來圖案化光致抗蝕層606,以在圖案化的光致抗蝕層606中形成開口604。
如圖5A中所描繪的俯視圖中所示,開口604被界定在圖案化的光致抗蝕層606中(也示於沿著切割線A-A的圖6A中的橫截面圖中)。沿著如圖5B中所描繪的俯視圖中所示的切割線B-B的圖案化光致抗蝕層606中所界定的開口604具有界定在該等開口之間的第一間距630,如圖7A中所示。開口604之間的第一間距630影響轉移到下層的層(例如上層608、下層610、及更下方的層)中的尺度。因此,進一步推擠(push)開口604之間的第一間距630的尺度有助於將具有更加小的尺度的特徵形成到下層的層(例如上層608、下層610、及更下方的層),以滿足先進技術的需求。因此,藉由利用下文將進一步論述的指向性蝕刻/圖案化過程,可以進一步修整及推擠開口604之間的第一間距630,以便在膜堆疊602中形成具有較小尺度的特徵,從而在後續的圖案化/蝕刻過程期間充當蝕刻掩模。
在一些實施例中,上層608是含矽層,例如多晶矽、奈米晶矽、非晶矽、氧化矽、氮化矽、氮氧化矽、碳化矽、及碳氧化矽(SiOC)。在一個實施例中,上層608是碳氧化矽(SiOC)層。下層610是含碳材料,例如碳聚合材料、非晶碳層、或其他合適的碳基材料。第一介電層612是含矽層,例如多晶矽、奈米晶矽、非晶矽、氧化矽、氮化矽、氮氧化矽、碳化矽、及碳氧化矽(SiOC)。在一個示例中,第一介電層612是氧化矽層。金屬介電層614是氮化鈦、氧化鈦、氮氧化鈦、氮化鉭、氧化鉭、氮氧化鉭、或任何其他合適的材料。在一個特定的示例中,金屬介電層614是氮化鈦。類似地,與第一介電層612類似,第二介電層616也是含矽層,例如多晶矽、奈米晶矽、非晶矽、氧化矽、氮化矽、氮氧化矽、碳化矽、及碳氧化矽(SiOC)。在一個示例中,第二介電層616是氧化矽層。
在操作404處,在處理腔室(例如圖1的處理腔室100)中執行第一蝕刻過程以通過圖案化的光致抗蝕層606中所界定的開口604蝕刻膜堆疊602,如圖6B及7B中所示。第一蝕刻過程是藉由在處理腔室100中向基板301供應第一蝕刻氣體混合物以至少蝕刻膜堆疊602的一部分來執行的。
在圖6B及7B中所描繪的示例中,第一蝕刻過程移除及蝕刻掉藉由圖案化的光致抗蝕層606暴露的上層608、及下層610的至少一部分,從而在膜堆疊602中的開口604之間形成特徵670。據信,由於微負載效應,具有較高特徵密度的第一位置中的開口604中所接收的蝕刻劑與具有較低特徵密度的第二位置中的開口604不同,從而造成不同的蝕刻速率。此外,對於具有甚至更小的尺度需求的先進技術來說,需要進一步削減特徵670的尺度。因此,為了允許將具有所需輪廓的特徵670成功地轉移到具有多種材料的膜堆疊602中,可以在蝕刻膜堆疊602的同時在不同階段利用不同的蝕刻過程(例如具有不同入射角的不同蝕刻氣體混合物、蝕刻機構、或蝕刻物種)。其結果是,在切換到不同的蝕刻過程時,可以補償或補充蝕刻具有相對較低的蝕刻速率的區域。例如,需要在不會不利地損傷或過度蝕刻下層的層的情況下進一步推擠或減少開口604之間的尺度及/或第一間距630(例如圖7B以及圖5A-5B的俯視圖中所描繪的特徵670的尺度),例如特別是對於先進技術來說,操作406處的第二蝕刻過程接著進行以削減開口604之間的第一間距630(例如特徵670的尺度),同時使下層610的一部分保留在膜堆疊602中以防止下層的層受到早期攻擊或損傷。因此,操作404處的第一蝕刻過程被配置為將由圖案化的光致抗蝕層606所暴露的上層608蝕刻掉,從而在基板301上留下下層610的一些部分以供在操作406處的後續蝕刻/圖案化過程期間進行保護。
在一個示例中,操作404處的第一蝕刻過程從膜堆疊602蝕刻掉下層610的約40%到約95%(例如第一深度653),從而在基板301上留下下層610中約5%與約60%之間的第二深度656以供進行保護。在一個示例中,操作404處的第一蝕刻過程從膜堆疊602蝕刻掉下層610的約80%到約95%,從而在基板301上留下約5%與約20%之間的下層610以供進行保護。
在一個示例中,第一蝕刻氣體混合物包括含鹵素的氣體、含氧氣體、及含氮氣體。含鹵素的氣體的合適示例包括HBr、CH4 、Cl2 、CF4 等等。在一個特定示例中,第一蝕刻氣體混合物包括HBr、O2 、及N2
在操作404處供應第一蝕刻氣體混合物的期間,可以控制幾個過程參數。在一個實施例中,可以在有或沒有RF偏壓電源的情況下向電漿處理腔室100供應在約200瓦特與約1000瓦特之間(例如約300瓦特)的RF源電源。在利用RF偏壓電源時,可以將RF偏壓電源控制在約50瓦特與約500瓦特之間。可以將處理腔室的壓力控制在大於5毫托但小於500毫托(例如在約5毫托與約200毫托之間,例如約150毫托)的壓力範圍下。
在操作406處,在基板301上執行第二蝕刻過程。可以在與執行操作404處的第一蝕刻過程的處理腔室100不同的單獨腔室中執行第二蝕刻過程。例如,可以在圖2A及2B中所描繪的處理腔室200或圖3中的處理腔室300處執行第二蝕刻過程。如上文所論述,處理腔室200、300可以執行指向性蝕刻過程,該指向性蝕刻過程用所需的入射角向基板表面將蝕刻劑、摻雜物、離子、或活性物種提供到膜堆疊602的選定區域以供蝕刻。因此,在向膜堆疊602的選定區域提供蝕刻劑、摻雜物、離子、或活性物種的同時,非選定區域不接收大量的蝕刻劑、摻雜物、或離子,因此主要地及選擇性地修整膜堆疊602的選定區域。蝕刻劑、摻雜物、離子、或活性物種可以處理、轟擊、修改膜堆疊602的選定區域的原子/鍵合結構,因此高效地修改了選定區域的尺度。因此,藉由這樣做,可以有意地且特別地蝕刻、修整、或修改上掩模層(例如上層608)之下的一些殘餘的角或隱藏的邊緣。因此,在操作406處執行的第二蝕刻過程是可以有助於進一步削減或推擠膜堆疊602的某些位置以在不損傷膜堆疊602的其他位置的情況下在膜堆疊602中形成所需特徵670的指向性蝕刻過程。
例如,執行操作406處的第二蝕刻過程(例如指向性蝕刻過程)以主要將上層608中的特徵670的尺度從第一間距630修整到第二間距632,如圖7C中以及圖5B中的俯視圖中所示。在一個示例中,第二間距632比第一間距630小約20%與約60%之間。在一個示例中,第二間距632是在約15 nm與約45 nm之間。在操作406處執行第二蝕刻過程(例如指向性蝕刻過程)的同時,下層610的部分(第二深度656)(如圖7B中所示)可以被稍微修整但繼續保留在膜堆疊602中以供進行下層結構的保護。
在一個實施例中,藉由將第二氣體混合物供應到處理腔室中來執行第二蝕刻過程。據信,第二氣體混合物中所供應的惰性氣體可以有助於轟擊膜堆疊602的表面,因此溫和地修整及修改了上層608的輪廓及尺度。藉由利用指向性蝕刻/圖案化(例如圖7C及5B中所描繪的示例中的側向蝕刻),可以在水平方向上(例如用約0度或約180度的入射角)從上層608的側壁609主要蝕刻該上層,如由箭頭613所指示,因此將上層608的水平尺度從第一間距630修整及縮小到第二間距632。來自第二氣體混合物(例如惰性氣體)的離子及/或物種在不侵蝕性地蝕刻上層608的情況下物理轟擊及碰撞上層608的表面,使得可以溫和且逐步地修整及修改上層608的表面及/或側壁609。在上層608被修整到第二間距632之後,接著暴露下層610的邊緣部分611。將在後續的蝕刻過程中進一步蝕刻下層601的邊緣部分611。
在一個實施例中,從指向性蝕刻過程所產生的蝕刻劑、摻雜物、離子、或活性物種具有約0度與約180度之間(例如約0度與約60度之間,或約120度與約180度之間)的入射角。在利用所需且預定的入射及指向角的情況下,蝕刻劑、摻雜物、離子、或活性物種可以用受控的入射角主要與膜堆疊602碰撞到預定的區域(例如上層608中的特徵670的側壁609),而不是僅從上層608的頂部碰撞或全域地跨越基板上而形成。藉由這樣做,可以在指向性蝕刻過程期間選擇性地及/或有意地忽略一些其他的區域(例如如圖6C中所示的開口604的不要蝕刻的表面617)(即該等區域不經受指向性蝕刻過程)。
在一個示例中,第二氣體混合物包括至少惰性氣體及含氧氣體。惰性氣體的合適示例包括Ar、He、Kr、Ne、Xe等等。在將惰性氣體選作第二氣體混合物以用於指向性蝕刻過程時,來自惰性氣體的原子物理轟擊及碰撞構成上層608的原子。在指向性蝕刻過程期間所施加的電力可以向來自惰性氣體的原子提供動量。具有動量的原子與來自上層608的原子碰撞,因此選擇性地修整及研磨掉交互作用的區域。在一個實施例中,可以選定具有高分子量的惰性氣體(例如Ar、Ne、或Kr)以執行指向性處理過程。因為這些元素具有相對高的分子量,可以在撞擊上層608的表面(例如側壁609)時獲得相對高的碰撞動力,以便提供高效的碰撞以變更及修整上層608的結構。第二蝕刻過程(例如指向性蝕刻過程)中所供應的第二氣體混合物包括Ar及O2
可以在指向性蝕刻過程期間控制幾個過程參數。可以用約10 sccm到約200 sccm之間的流速將第二氣體混合物中的惰性氣體供應到處理腔室中。可以用約1 sccm到約500 sccm之間的流速將第二氣體混合物中的氧氣供應到處理腔室中。腔室壓力大致維持在約0.1毫托與約100毫托之間,例如約10毫托。可以將RF電力(例如電容式或感應式RF電力)、DC電力、電磁能、或磁控濺射供應到處理腔室200或處理腔室300中以幫助在處理期間解離氣體混合物。可以使用藉由向基板支撐物或向基板支撐物上方的氣體入口或向該基板支撐物及該氣體入口施加DC或RF電偏壓產生的電場將由解離能所產生的離子朝向基板加速。在一些實施例中,可以使離子經受混合選擇或混合過濾過程,該過程可以包括以下步驟:將離子傳遞通過與所需的運動方向正交地對準的磁場。可以為了離子化原子的目的電容地或感應地耦合由RF電力所提供的電場,且該電場可以是DC放電場或交流場,例如RF場。或者,可以向包含這些元素中的任一者的離子植入氣體混合物施加微波能以產生離子。在一些實施例中,含氣體的高能離子可以是電漿。向基板支撐物、氣體分佈器、或兩者施加約50 V與約10000 V之間(例如約4000 V)的電偏壓(峰間電壓),以用所需的能量朝向基板表面加速離子。在一些實施例中,也使用電偏壓來離子化離子植入處理氣體。在其他的實施例中,使用第二電場來離子化過程氣體。在一個實施例中,提供具有約2 MHz的頻率的RF場以用約100 W與約10000 W之間的功率位凖離子化離子植入處理氣體及偏壓基板支撐物。一般將如上所述地藉由偏壓基板或氣體分佈器將因此產生的離子朝向基板加速。
在操作408處,在操作406處的指向性蝕刻過程之後,可以可選地執行額外的蝕刻過程以在膜堆疊602中形成具有所需尺度的開口。在圖6D及7D中所描繪的示例中,執行額外的蝕刻以進一步將特徵670的所需尺度(例如上層608中所界定的第二間距632)轉移到下層的下層610。此類額外的蝕刻過程與操作404處的第一蝕刻過程類似。蝕刻過程使用修整的上層608(例如形成於上層608中的特徵670)作為蝕刻掩模來蝕刻下層610,從而將特徵670轉移到下層610中而也具有第二間距632的尺度。在一些實施例中,額外的蝕刻過程可能不一定是必要的,因為藉由在指向性蝕刻過程的不同階段正確控制入射角,第二蝕刻過程(例如指向性蝕刻過程)可以成功移除上層608且將下層610削減到第二間距632的所需尺度,如圖6D及7D中所示。
在一個示例中,若執行額外的蝕刻過程,則該額外的蝕刻過程藉由在處理腔室100中供應第三蝕刻氣體混合物(與第一蝕刻氣體混合物類似)而與操作404處的蝕刻過程類似。此階段的基板301從處理腔室200或300傳輸回到處理腔室100。與來自第一蝕刻過程的操作404處的過程參數類似地也控制額外的蝕刻過程的過程參數。
圖8A-8C及圖9A-9C描繪特徵轉移過程的另一個實施例。圖8A-8C的橫截面圖示出膜堆疊602的從圖5A及5B中的膜堆疊602的俯視圖沿著線A-A切割的部分。圖9A-9C的橫截面圖示出膜堆疊602的從圖5A及5B中的膜堆疊602的俯視圖沿著線B-B切割的部分。
在此示例中,在執行圖4中所描繪的方法400時,操作404處的第一蝕刻過程通過圖案化的光致抗蝕層606中所界定的開口604蝕刻膜堆疊602,如圖8A及9A中所示,直到下層610的一部分被移除為止,如圖8B及9B中所示,從而在膜堆疊602中形成特徵655。圖案化的光致抗蝕層606及上層608都被移除。因此,此示例處所選定的蝕刻劑是相對更有侵蝕性的,以便移除圖案化的光致抗蝕層606及上層608兩者,直到下層610的一部分被移除為止。因此,在此示例中,針對第一蝕刻過程所選定的蝕刻氣體混合物包括至少含氟氣體,例如CF4 、CHF3 、或C4 F6 等等。含氟氣體可以不具選擇性地蝕刻上層608及下層610兩者。藉由正確的處理時間控制,下層610的一部分保留在基板301上,以在後續的操作406處進行第二蝕刻過程(例如指向性蝕刻過程)的同時提供保護。
在一個實施例中,下層610的保留在基板301上的部分具有第一深度661,該第一深度是下層610的總厚度663的約5%到60%(例如約5%到20%)。換言之,操作404處的蝕刻過程蝕刻掉上層608且蝕刻下層610的總厚度663的一部分(例如約40%與95%之間,例如約80%與約95%之間)。注意,將在操作406處的後續過程處執行第二蝕刻過程(例如指向性蝕刻過程)的同時蝕刻掉其餘部分(例如下層610的第一深度661)。
在一個示例中,第一蝕刻氣體混合物包括含氟氣體及含氧氣體。在一個實施例中,第一蝕刻氣體混合物包括CHF3 或CF4 及O2 。在操作404處供應第一蝕刻氣體混合物的期間,可以控制幾個過程參數。在一個實施例中,可以在有或沒有RF偏壓電源的情況下向電漿處理腔室100供應在約100瓦特與約1000瓦特之間(例如約300瓦特)的RF源電源。在利用RF偏壓電源時,可以將RF偏壓電源控制在約50瓦特與約500瓦特之間。可以將處理腔室的壓力控制在大於0毫托但小於500毫托(例如在約5毫托與約200毫托之間,例如約150毫托)的壓力範圍下。針對圖8B及9B中所描繪的示例執行操作404的處理時間是在約20秒與約60秒之間。
隨後,如圖8C及9C中所示,執行操作406處的第二蝕刻過程以用所需的入射角向基板表面將蝕刻劑、摻雜物、離子、或活性物種側向提供到下層610中的選定區域,如由箭頭637所示。因此,在將蝕刻劑、摻雜物、離子、或活性物種提供通過下層610的側壁639的同時,形成於下層610中的特徵655的尺度從第一間距630修整到第二間距632。類似地,蝕刻劑,摻雜物、離子、或活性物種可以高效地修改下層610中的特徵655的尺度。執行操作406處的第二蝕刻過程(例如指向性蝕刻過程)以主要將下層610的特徵655的尺度從第一間距630修整到第二間距632,如圖7C中所示以及如圖5B中的俯視圖所示。
在第二蝕刻過程(例如指向性蝕刻過程)期間,也蝕刻掉下層610的其餘部分(例如第一深度661)。因此,在第二蝕刻過程完成時,下層610的特徵655從第一間距630修整到第二間距632,以及從基板301移除掉其餘部分(例如下層610的第一深度661),如圖8C及9C中所示。因此,形成於下層610中的特徵655可以進一步充當膜堆疊602中的後續圖案化過程的蝕刻掩模。
在一個實施例中,操作406處的第二蝕刻過程與在上方執行以將特徵670從第一間距630修整到第二間距632的第二蝕刻過程類似,如圖6C及7C中所示。藉由將第二氣體混合物供應到處理腔室中來執行第二蝕刻過程。在一個實施例中,從指向性蝕刻過程所產生的蝕刻劑、摻雜物、離子、或活性物種具有約0度與約90度之間的入射角。第二氣體混合物包括至少惰性氣體及含氧氣體。惰性氣體的合適示例包括Ar、He、Kr、Ne、Xe等等。在將惰性氣體選作第二氣體混合物以用於指向性蝕刻過程時,來自惰性氣體的原子物理轟擊及碰撞構成下層610的原子。第二蝕刻過程(例如指向性蝕刻過程)中所供應的第二氣體混合物包括Ar及O2
可以在指向性蝕刻過程期間控制幾個過程參數。可以用約10 sccm到約200 sccm之間的流速將第二氣體混合物中的惰性氣體供應到處理腔室中。可以用約1 sccm到約500 sccm之間的流速將第二氣體混合物中的氧氣供應到處理腔室中。腔室壓力大致維持在約0.1毫托與約100毫托之間,例如約10毫托。可以將RF電力(例如電容式或感應式RF電力)、DC電力、電磁能、或磁控濺射供應到處理腔室200或處理腔室300中以幫助在處理期間解離氣體混合物。可以使用藉由向基板支撐物或向基板支撐物上方的氣體入口或向該基板支撐物及該氣體入口施加DC或RF電偏壓產生的電場將由解離能所產生的離子朝向基板加速。在一些實施例中,可以使離子經受混合選擇或混合過濾過程,該過程可以包括以下步驟:將離子傳遞通過與所需的運動方向正交地對準的磁場。可以為了離子化原子的目的電容地或感應地耦合由RF電力所提供的電場,且該電場可以是DC放電場或交流場,例如RF場。或者,可以向包含這些元素中的任一者的離子植入氣體混合物施加微波能以產生離子。在一些實施例中,含氣體的高能離子可以是電漿。向基板支撐物、氣體分佈器、或兩者施加約50 V與約10000 V之間(例如約4000 V)的電偏壓(峰間電壓),以用所需的能量朝向基板表面加速離子。在一些實施例中,也使用電偏壓來離子化離子植入處理氣體。在其他的實施例中,使用第二電場來離子化過程氣體。在一個實施例中,提供具有約2 MHz的頻率的RF場以用約100 W與約10000 W之間的功率位凖離子化離子植入處理氣體及偏壓基板支撐物。一般將如上所述地藉由偏壓基板或氣體分佈器將因此產生的離子朝向基板加速。
在操作408處,在操作406處的第二蝕刻過程之後,形成於特徵655之間的開口604具有形成在該等開口中的所需尺度,如圖8C及9C以及圖5B的俯視圖中所示。
注意,操作404處的第一蝕刻過程及操作406處的第二蝕刻過程分別包括電漿蝕刻過程及指向性蝕刻過程,該電漿蝕刻過程利用RF電漿源,該指向性蝕刻過程利用離子源,以促進膜堆疊602中的特徵轉移。也注意,可以依需要用相反的順序執行是利用RF電漿源的電漿蝕刻過程的第一蝕刻過程及是利用離子源的指向性蝕刻過程的第二蝕刻過程的順序。
圖10是方法1000的另一示例的流程圖,方法1000藉由提供具有設置在基板301上的多個掩模層(例如層606、608、610、612、614、616)的膜堆疊602,開始於操作1002處。與上述的示例類似,在膜堆疊602上形成圖案化的光致抗蝕層606。類似地,圖11A-11C的橫截面圖示出膜堆疊602的從圖5A及5B中的膜堆疊602的俯視圖沿著線A-A切割的部分。圖12A-12C的橫截面圖示出膜堆疊602的從圖5A及5B中的膜堆疊602的俯視圖沿著線B-B切割的部分。
在操作1104處,將保護層650形成於膜堆疊602上,如圖11A及12A中所示。可以藉由任何合適的沉積過程(例如CVD、ALD、PVD等等)來形成保護層650。在一個示例中,保護層650是含矽層。合適的含矽層包括SiO2 、SiON、SiN、SiOC、SiC、SiCN、SiOCN等等。在一個示例中,可以依需要將含碳材料(例如非晶碳或氮化碳)用於保護層650。保護層650跨膜堆疊602形成為覆蓋層。
在操作1106處,執行第一蝕刻過程(例如如上文在操作406處所描述的指向性蝕刻過程)以側向削減圖案化的光致抗蝕層606的尺度。圖案化的光致抗蝕層606的尺度從第一間距630修整到第二間距632,如圖11B及12B中所示。在修整圖案化的光致抗蝕層606的尺度的同時,也蝕刻或修整保護層650的水平表面。因此,在操作1106處的蝕刻過程之後,保護層650被蝕刻掉且從基板301移除,以及將圖案化的光致抗蝕層606修整到具有所需的第二間距632的尺度。
類似地,此操作中的指向性蝕刻過程與上述的指向性蝕刻過程類似。
在操作1008處,在修整的光致抗蝕層606被削減到具有所需的尺度時,接著使用修整的圖案化的光致抗蝕層606作為蝕刻掩模來執行第二蝕刻過程以向下蝕刻膜堆疊602,如圖11C及12C中所示。在圖11C及12C中所描繪的示例中,上層608及下層610被蝕刻掉,從而將由圖案化的光致抗蝕層606所界定的特徵轉移到第一介電層612,如圖12C中所示。在具有較高蝕刻速率的區域中,可以甚至進一步將特徵向下轉移到金屬介電層614,如圖11C中所示。
可以在如圖1中所描繪的處理腔室100中執行操作1008處的第二蝕刻過程。蝕刻氣體混合物包括至少一種含鹵素的氣體。注意,如此操作處所選定的蝕刻氣體混合物可以包括單種氣體混合物以蝕刻膜堆疊602,或包括在處理腔室100中依序供應的多種氣體混合物以蝕刻膜堆疊602中的每種不同的材料。例如,多種氣體混合物可以包括:第一氣體混合物,包括用來蝕刻上層608的CHF3 及CH4 氣體;第二氣體混合物,包括用來蝕刻下層610的HBr、N2 、及O2 ;第三氣體混合物,包括用來蝕刻第一介電層612的CHF3 及O2 ;第四氣體混合物,包括用來蝕刻金屬介電層614的CH4 、Cl2 、及N2
在操作1010處,在第二蝕刻過程之後,將開口604形成於膜堆疊602中,開口604之間具有所需的第二間距632,如圖12C中所示。
因此,提供了用於圖案化膜堆疊中的材料層的方法。圖案化過程利用指向性蝕刻過程來側向蝕刻膜堆疊中的材料層,以增量地及側向地減少膜堆疊中的材料層的特徵尺寸,直到在膜堆疊中的材料層中形成所需的小尺度特徵為止。
雖然以上所述是針對本揭示內容的實施例,但可以在不脫離本揭示內容的基本範圍的情況下設計本揭示內容的其他的及進一步的實施例,且本揭示內容的範圍是由隨後的請求項所決定的。
100:處理腔室 101:腔室容積 105:腔室主體 110:腔室蓋組件 112:側壁 113:基板進出端口 114:噴嘴 115:襯墊 118:底部 121:電極 122:ESC 124:匹配電路 125:RF電源 126:接地 128:絕緣體 129:冷卻基部 130:覆蓋環 135:基板支撐托座 136:陰極襯墊 141:匹配電路 142:電源 145:泵送端口 148:天線 150:電源 160:氣體面板 161:來源 162:來源 163:來源 164:來源 165:控制器 166:閥門 167:氣體管線 200:處理腔室 201:腔室主體 202:離子 206:RF電漿源 208:電漿鞘修改器 209:內部處理區域 212:修改器 214:修改器 216:間隙 234:基板支撐物 240:電漿 241:邊界 242:電漿鞘 244:特徵 247:側壁 249:頂面 251:平面 269:軌線路徑 270:軌線路徑 271:軌線路徑 288:氣體源 290:偏壓源 300:處理腔室 301:基板 302:離子源 304:抽取電極 306:90度磁鐵分析器 308:第一減速(D1)級 310:磁鐵分析器 312:第二減速(D2)級 400:方法 402:操作 404:操作 406:操作 408:操作 602:膜堆疊 604:開口 606:圖案化的光致抗蝕層 608:上層 609:側壁 610:下層 611:邊緣部分 612:第一介電層 613:箭頭 614:金屬介電層 616:第二介電層 630:第一間距 632:第二間距 637:箭頭 639:側壁 650:保護層 653:第一深度 655:特徵 656:第二深度 661:第一深度 663:總厚度 670:特徵 1000:方法 1002:操作 1004:操作 1006:操作 1008:操作 1010:操作 1400:修改器 1402:修改器 1404:修改器 G:水平間隔 G1:水平間隔 G2:水平間隔 Z:垂直間隔 Za:距離 Zb:距離
可以藉由參照本揭示內容的實施例來獲得上文所簡要概述的本揭示內容的更詳細說明以及獲得本揭示內容的上述特徵及可以用來詳細瞭解本揭示內容的上述特徵的方式,附圖中繪示了該等實施例。
圖1是依據本揭示內容的一或更多個實施例的處理腔室的示意橫截面圖,該處理腔室被配置為執行蝕刻過程;
圖2A描繪可以用來將摻雜物摻雜於基板上的結構中的裝置;
圖2B描繪可以用來將摻雜物摻雜於基板上的結構中的裝置的另一個實施例;
圖3描繪可以用來將摻雜物摻雜於基板上的結構中的裝置的另一個實施例;
圖4是依據本揭示內容的一或更多個實施例的用於執行圖案化過程的方法的流程圖;
圖5A-5B繪示在圖4的圖案化過程期間的基板的俯視圖;
圖6A-6D繪示圖4的圖案化過程的序列的橫截面圖的一個示例;
圖7A-7D繪示圖4的圖案化過程的序列的橫截面圖的另一個示例;
圖8A-8C繪示圖4的圖案化過程的序列的橫截面圖的另一個示例;
圖9A-9C繪示圖4的圖案化過程的序列的橫截面圖的另一個示例;
圖10是依據本揭示內容的一或更多個實施例的用於執行圖案化過程的方法的流程圖;及
圖11A-11C繪示圖10的圖案化過程的序列的橫截面圖的另一個示例;及
圖12A-12C繪示圖10的圖案化過程的序列的橫截面圖的另一個示例。
為了促進瞭解,已儘可能使用相同的參考標號來標誌該等圖式共有的相同構件。所預期的是,可以在不另外詳述的情況下有益地將一個實施例的構件及特徵併入其他實施例。
然而,要注意,附圖僅繪示此揭示內容的示例性實施例,且因此不要將該等附圖視為本揭示內容的範圍的限制,因為本揭示內容可以容許其他同等有效的實施例。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
301:基板
604:開口
608:上層
609:側壁
610:下層
611:邊緣部分
612:第一介電層
613:箭頭
614:金屬介電層
616:第二介電層
632:第二間距

Claims (20)

  1. 一種用於圖案化設置在一基板上的一膜堆疊的方法,該方法包括以下步驟: 執行一第一蝕刻過程以蝕刻設置在一基板上的一膜堆疊,其中該膜堆疊包括設置在一上層上的一圖案化的光致抗蝕層,該上層在設置在該基板上的一下層上,其中該圖案化的光致抗蝕層包括界定在特徵之間的開口,且該等特徵具有一第一間距,其中該第一蝕刻過程從該膜堆疊移除由該圖案化的光致抗蝕層所暴露的該下層的約40%與約95%之間; 在該膜堆疊上執行一第二蝕刻過程;及 在該第二蝕刻過程完成之後,該等特徵就被轉移到該膜堆疊中的該上層或該下層中而具有一第二間距,其中該第二間距比該第一間距短。
  2. 如請求項1所述的方法,其中該膜堆疊更包括設置在該基板與該下層之間的一第一介電層及一金屬介電層。
  3. 如請求項1所述的方法,其中該第二間距比該第一間距短約20%與約60%之間。
  4. 如請求項1所述的方法,其中第一蝕刻過程或該第二蝕刻過程是一指向性蝕刻過程。
  5. 如請求項4所述的方法,其中該指向性蝕刻過程向該膜堆疊提供具有從約0度到約60度或從約120度到約180度的一入射角的離子。
  6. 如請求項4所述的方法,其中藉由供應一蝕刻氣體混合物來執行該指向性蝕刻過程,該蝕刻氣體混合物包括至少一惰性氣體。
  7. 如請求項6所述的方法,其中該指向性蝕刻過程中的該蝕刻氣體混合物包括Ar及O2
  8. 如請求項4所述的方法,其中該指向性蝕刻過程將該等特徵的一尺度從該第一間距減少到該第二間距。
  9. 如請求項1所述的方法,更包括以下步驟: 在執行該第一蝕刻過程之前在該膜堆疊的一表面上形成一保護層。
  10. 如請求項9所述的方法,其中該保護層是一含矽層。
  11. 如請求項10所述的方法,其中該含矽層是SiO2
  12. 如請求項1所述的方法,其中該上層是一含矽層。
  13. 如請求項1所述的方法,其中該下層是一含碳層。
  14. 如請求項1所述的方法,其中該第一蝕刻過程及該第二蝕刻過程是在不同的處理腔室處執行的。
  15. 如請求項1所述的方法,其中該第一蝕刻過程或該第二蝕刻過程中所使用的一蝕刻氣體混合物包括至少一含鹵素的氣體。
  16. 一種用於圖案化一膜堆疊的方法,該方法包括以下步驟: 藉由供應包括一惰性氣體的一氣體混合物,執行一指向性蝕刻過程,以蝕刻一基板上的一膜堆疊;及 將形成於該膜堆疊中的特徵的一尺度從一第一間距側向減少到一第二間距。
  17. 如請求項16所述的方法,其中第二間距比該第一間距短約20%與約60%之間。
  18. 如請求項16所述的方法,其中該膜堆疊包括設置在一上層上的一圖案化的光致抗蝕層,該上層在設置在該基板上的一下層上,其中該上層是一含矽層且該下層是一含碳層。
  19. 如請求項16所述的方法,更包括以下步驟: 在執行該指向性蝕刻過程之前在該膜堆疊上形成一保護層。
  20. 一種用於圖案化一膜堆疊的方法,該方法包括以下步驟: 向設置在一基板上的一膜堆疊的一側壁水平地提供蝕刻劑,其中該等蝕刻劑包括一惰性氣體,其中該膜堆疊包括形成於設置在一下層上的一上層中的特徵;及 將該膜堆疊中的該上層中的該等特徵的一尺度從一第一間距減少到一第二間距,同時將該下層的該尺度維持在該第一間距。
TW108115985A 2018-05-09 2019-05-09 用於圖案化具有所需尺度的材料層的方法 TWI703618B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/975,408 US10453684B1 (en) 2018-05-09 2018-05-09 Method for patterning a material layer with desired dimensions
US15/975,408 2018-05-09

Publications (2)

Publication Number Publication Date
TW202011452A TW202011452A (zh) 2020-03-16
TWI703618B true TWI703618B (zh) 2020-09-01

Family

ID=68242136

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108115985A TWI703618B (zh) 2018-05-09 2019-05-09 用於圖案化具有所需尺度的材料層的方法

Country Status (5)

Country Link
US (1) US10453684B1 (zh)
KR (1) KR102386268B1 (zh)
CN (1) CN111902910A (zh)
TW (1) TWI703618B (zh)
WO (1) WO2019217463A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11688650B2 (en) * 2019-07-05 2023-06-27 Tokyo Electron Limited Etching method and substrate processing apparatus
SG10202010798QA (en) * 2019-11-08 2021-06-29 Tokyo Electron Ltd Etching method and plasma processing apparatus
US11456180B2 (en) 2019-11-08 2022-09-27 Tokyo Electron Limited Etching method
EP4050641A4 (en) 2019-11-08 2023-12-13 Tokyo Electron Limited ENGRAVING PROCESS
CN112786441A (zh) 2019-11-08 2021-05-11 东京毅力科创株式会社 蚀刻方法及等离子体处理装置
TW202143333A (zh) 2020-05-08 2021-11-16 台灣積體電路製造股份有限公司 半導體元件之製造方法
US11404322B2 (en) * 2020-05-08 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4484979A (en) * 1984-04-16 1984-11-27 At&T Bell Laboratories Two-step anisotropic etching process for patterning a layer without penetrating through an underlying thinner layer

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6627096B2 (en) * 2000-05-02 2003-09-30 Shipley Company, L.L.C. Single mask technique for making positive and negative micromachined features on a substrate
US6868856B2 (en) 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
JP3660897B2 (ja) 2001-09-03 2005-06-15 株式会社ルネサステクノロジ 半導体装置の製造方法
JP2003124189A (ja) * 2001-10-10 2003-04-25 Fujitsu Ltd 半導体装置の製造方法
US6649531B2 (en) 2001-11-26 2003-11-18 International Business Machines Corporation Process for forming a damascene structure
CN1723549B (zh) * 2002-10-11 2012-01-18 兰姆研究有限公司 增强等离子体蚀刻性能的方法
US7097716B2 (en) 2002-10-17 2006-08-29 Applied Materials, Inc. Method for performing fluorocarbon chamber cleaning to eliminate fluorine memory effect
US7270761B2 (en) 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
US7250371B2 (en) * 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
CN101197262B (zh) * 2006-12-04 2010-06-09 中芯国际集成电路制造(上海)有限公司 栅极的制造方法
US7544591B2 (en) * 2007-01-18 2009-06-09 Hewlett-Packard Development Company, L.P. Method of creating isolated electrodes in a nanowire-based device
US8337950B2 (en) * 2007-06-19 2012-12-25 Applied Materials, Inc. Method for depositing boron-rich films for lithographic mask applications
JP2010003826A (ja) 2008-06-19 2010-01-07 Toshiba Corp 半導体装置の製造方法
CN101937865B (zh) * 2009-07-03 2012-01-25 中芯国际集成电路制造(上海)有限公司 沟槽的形成方法
CN102194675B (zh) * 2010-03-11 2013-06-12 中芯国际集成电路制造(上海)有限公司 制作半导体器件栅极的方法
US8999847B2 (en) 2010-08-16 2015-04-07 Applied Materials, Inc. a-Si seasoning effect to improve SiN run-to-run uniformity
US9126285B2 (en) * 2011-06-15 2015-09-08 Applied Materials, Inc. Laser and plasma etch wafer dicing using physically-removable mask
US9533332B2 (en) 2011-10-06 2017-01-03 Applied Materials, Inc. Methods for in-situ chamber clean utilized in an etching processing chamber
US9059038B2 (en) 2012-07-18 2015-06-16 Tokyo Electron Limited System for in-situ film stack measurement during etching and etch control method
US8778574B2 (en) * 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
WO2014088918A1 (en) 2012-12-03 2014-06-12 Applied Materials, Inc Semiconductor device processing tools and methods for patterning substrates
US9484220B2 (en) 2013-03-15 2016-11-01 International Business Machines Corporation Sputter etch processing for heavy metal patterning in integrated circuits
US9653320B2 (en) * 2014-09-12 2017-05-16 Applied Materials, Inc. Methods for etching a hardmask layer for an interconnection structure for semiconductor applications
US9984889B2 (en) * 2016-03-08 2018-05-29 Varian Semiconductor Equipment Associates, Inc. Techniques for manipulating patterned features using ions

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4484979A (en) * 1984-04-16 1984-11-27 At&T Bell Laboratories Two-step anisotropic etching process for patterning a layer without penetrating through an underlying thinner layer

Also Published As

Publication number Publication date
CN111902910A (zh) 2020-11-06
US20190348279A1 (en) 2019-11-14
TW202011452A (zh) 2020-03-16
WO2019217463A1 (en) 2019-11-14
KR102386268B1 (ko) 2022-04-12
KR20200130518A (ko) 2020-11-18
US10453684B1 (en) 2019-10-22

Similar Documents

Publication Publication Date Title
TWI703618B (zh) 用於圖案化具有所需尺度的材料層的方法
US10438797B2 (en) Method of quasi atomic layer etching
CN107431011B (zh) 用于原子层蚀刻的方法
US9570317B2 (en) Microelectronic method for etching a layer
TWI527117B (zh) 橫向修整硬遮罩的方法
US20200381263A1 (en) Method of processing target object
TWI675397B (zh) 利用掩模及方向性電漿處理之選擇性沉積
US20180158684A1 (en) Method of processing target object
KR102328025B1 (ko) 서브-해상도 스케일들로 상이한 임계 치수들을 패터닝하기 위한 방법
US10727058B2 (en) Methods for forming and etching structures for patterning processes
US10658192B2 (en) Selective oxide etching method for self-aligned multiple patterning
TWI773767B (zh) 使用硫基化學品之電漿蝕刻含矽有機膜的方法
CN114885614B (zh) 用于蚀刻用于半导体应用的材料层的方法
Darnon Plasma etching in microelectronics
US10607852B2 (en) Selective nitride etching method for self-aligned multiple patterning
US20230402284A1 (en) Patterning layer modification using directional radical ribbon beam
TW202248886A (zh) 處理晶圓之方法及半導體裝置
CN116988065A (zh) 一种类光栅结构金属电极制造方法和电极