TWI703414B - 蝕刻方法 - Google Patents

蝕刻方法 Download PDF

Info

Publication number
TWI703414B
TWI703414B TW105136988A TW105136988A TWI703414B TW I703414 B TWI703414 B TW I703414B TW 105136988 A TW105136988 A TW 105136988A TW 105136988 A TW105136988 A TW 105136988A TW I703414 B TWI703414 B TW I703414B
Authority
TW
Taiwan
Prior art keywords
gas
etching
film
silicon
etching method
Prior art date
Application number
TW105136988A
Other languages
English (en)
Other versions
TW201729015A (zh
Inventor
渡邊光
辻晃弘
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201729015A publication Critical patent/TW201729015A/zh
Application granted granted Critical
Publication of TWI703414B publication Critical patent/TWI703414B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

本發明之課題係在提高選擇比,同時使被蝕刻對象膜的蝕刻形狀為垂直。

其解決手段係提供一種蝕刻方法,為藉由對被處理體之電漿處理,來將含矽反射防止膜蝕刻為阻膜的圖案之蝕刻方法;被處理體係具有蝕刻對象層、層積於上述蝕刻對象層上之上述含矽反射防止膜、以及層積於上述含矽反射防止膜上之上述阻膜;該方法具有以下工序:在收納有被處理體之處理容器內,生成含有氟碳氣體之處理氣體的電漿之第1工序;以及在收納有被處理體之上述處理容器內,生成含有非活性氣體之處理氣體的電漿之第2工序;重複實行上述第1工序及上述第2工序。

Description

蝕刻方法
本發明關於一種蝕刻方法。
已被提出有一種藉由對被處理體進行電漿處理,來將反射防止膜蝕刻為阻膜的圖案,並以蝕刻後的阻膜及反射防止膜作為遮罩來蝕刻反射防止膜下層的被蝕刻對象膜之方法(例如參閱專利文獻1)。
[先前技術文獻] [專利文獻]
專利文獻1:日本特開2009-164626號公報
專利文獻2:日本特開2011-134896號公報
然而,反射防止膜為一種含矽反射防止膜,當阻膜為ArF阻膜等特定阻膜的情況,會有含矽反射防止膜相對於阻膜的選擇比很低(例如1~2)之課題。若選擇比低,反射防止膜相對於阻膜未被選擇性地蝕刻,則阻膜會在反射防止膜的蝕刻中被削除,而消失。其結果,反射防止膜下層之被蝕刻對象膜的蝕刻會變得困難,而有無法將被蝕刻對象膜垂直地蝕刻等問題出現之情況。
特別是,使用波長13~14nm的超紫外線(EUV:Extreme Ultra Violet)曝光所形成之EUV阻膜與反射防止膜的選擇比,會較ArF阻膜與反射防止膜的選擇比更低。於是,使用EUV阻膜作為遮罩的情況,進一步地會有對被蝕刻對象膜的蝕刻造成問題之可能性。
針對上述課題,在一面相中,本發明之目的在於提高選擇比,同時使被蝕刻對象膜的蝕刻形狀為垂直。
為解決上述課題,依據其中一態樣,乃提供一種蝕刻方法,為藉由對被處理體之電漿處理,來將含矽反射防止膜蝕刻為阻膜的圖案之蝕刻方法;被處理體係具有蝕刻對象層、層積於上述蝕刻對象層上之上述含矽反射防止膜、以及層積於上述含矽反射防止膜上之上述阻膜;該方法具有以下工序:在收納有被處理體之處理容器內,生成含有氟碳氣體之處理氣體的電漿之第1工序;以及在收納有被處理體之上述處理容器內,生成含有非活性氣體之處理氣體的電漿之第2工序;重複實行上述第1工序及上述第2工序。
依據一面相,便可提高選擇比,同時使被蝕刻對象膜的蝕刻形狀為垂直。
1‧‧‧電漿處理裝置
2‧‧‧ArF阻膜
3‧‧‧矽反射防止膜
4‧‧‧有機層
7‧‧‧保護膜
10‧‧‧處理容器
20‧‧‧載置台(下部電極)
25‧‧‧氣體噴淋頭(上部電極)
30‧‧‧電功率供應裝置
32‧‧‧第1高頻電源
34‧‧‧第2高頻電源
70‧‧‧可變直流電源
100‧‧‧控制部
106‧‧‧靜電夾具
圖1係顯示一實施型態相關之電漿處理裝置的縱切面一範例之圖式。
圖2係顯示反射防止膜之蝕刻時的氣體流量與選擇比及疏密圖案的關係一範例之圖式。
圖3係顯示第1實施型態相關之蝕刻處理一範例的流程圖。
圖4係用以說明第1實施型態相關之蝕刻的圖式。
圖5係顯示第1實施型態相關之蝕刻結果一範例的圖式。
圖6係顯示第2實施型態相關之蝕刻處理一範例的流程圖。
圖7係用以說明第2實施型態相關之蝕刻的圖式。
圖8係顯示第2實施型態相關之蝕刻結果一範例的圖式。
以下,便針對用以實施本發明之型態,參閱圖式來加以說明。此外,在本說明書及圖式中,針對實質相同的結構,則賦予相同符 號而省略重複說明。
[電漿處理裝置的整體結構]
首先,關於藉由本發明一實施型態相關之蝕刻方法來對半導體晶圓(以下,簡稱為「晶圓」。)實行電漿蝕刻之電漿處理裝置1,參閱圖1來加以說明。圖1係顯示本實施型態相關之電漿處理裝置1的縱切面一範例。本實施型態相關之電漿處理裝置1為一種在處理容器10內對向配置有載置台20與氣體噴淋頭25之平行平板型電漿處理裝置(電容耦合型電漿處理裝置)。載置台20亦具有下部電極的功能,氣體噴淋頭25亦具有上部電極的功能。
電漿處理裝置1係具有例如表面施有耐酸鋁處理(陽極氧化處理)之鋁所構成的圓筒形處理容器10。處理容器10為電性接地。載置台20係設置於處理容器10的底部,而載置晶圓W。晶圓W為被處理體一範例。載置台20係由例如鋁(Al)、鈦(Ti)或碳化矽(SiC)等所形成。載置台20的上面設置有用以靜電吸附晶圓W之靜電夾具106。靜電夾具106係在絕緣體106b之間挾置有夾具電極106a之構造。夾具電極106a連接有直流電壓源112,藉由從直流電壓源112對夾具電極106a施加直流電壓HV,而藉由庫倫力來將晶圓W吸附於靜電夾具106。
載置台20係藉由支撐體104而被支撐著。支撐體104的內部形成有冷媒流道104a。冷媒流道104a連接有冷媒入口配管104b及冷媒出口配管104c。從冷卻器107所輸出的例如冷卻水或不凍液(Brine)等的冷卻媒體(以下,亦稱作「冷媒」。)會在冷媒入口配管104b、冷媒流道104a及冷媒出口配管104c循環。藉由冷媒,載置台20及靜電夾具106會被除熱、冷卻。
傳熱氣體供應源85係將氦氣(He)或氬氣(Ar)等傳熱氣體通過氣體供應管130來供應至靜電夾具106上的晶圓W內面。藉由相關結構,靜電夾具106會藉由在冷媒流道104a循環之冷媒,與供應至晶圓W的內面之傳熱氣體而受到溫度控制。其結果,便可將晶圓控制為特定溫度。
載置台20係連接有供應雙頻重疊電功率之電功率供應裝置30。 電功率供應裝置30具有第1高頻電源32,係供應第1頻率的第1高頻電功率(電漿生成用高頻電功率),與第2高頻電源34,係供應較第1頻率要低之第2頻率的第2高頻電功率(偏壓產生用高頻電功率)。第1高頻電源32係透過第1匹配器33而電連接於載置台20。第2高頻電源34係透過第2匹配器35而電連接於載置台20。第1高頻電源32會對載置台20施加例如60MHz的第1高頻電功率。第2高頻電源34會對載置台20施加例如13.56MHz的第2高頻電功率。此外,本實施型態中,第1高頻電功率雖被施加於載置台20,但亦可被施加於氣體噴淋頭25。
第1匹配器33會使負載阻抗匹配於第1高頻電源32的內部(或輸出)阻抗。第2匹配器35會使負載阻抗匹配於第2高頻電源34的內部(或輸出)阻抗。第1匹配器33係具有在處理容器10內生成電漿時,使第1高頻電源32的內部阻抗與負載阻抗看起來為一致之功能。第2匹配器35係具有在處理容器10內生成電漿時,使第2高頻電源34的內部阻抗與負載阻抗看起來為一致之功能。
氣體噴淋頭25係以透過披覆其周緣部之遮蔽環40來封閉處理容器10頂部的開口之方式而加以安裝。氣體噴淋頭25連接有可變直流電源70,而從可變直流電源70被施加特定的直流(DC)電壓。氣體噴淋頭25亦可由矽所形成。
氣體噴淋頭25形成有能夠導入氣體之氣體導入口45。氣體噴淋頭25的內部設置有從氣體導入口45所分歧之中心部的擴散室50a及邊緣部的擴散室50b。從氣體供應源15所輸出的氣體會透過氣體導入口45而被供應至擴散室50a、50b,然後在擴散室50a、50b擴散而從多數的氣體供應孔55朝向載置台20被導入。
處理容器10的底面形成有排氣口60,藉由連接於排氣口60之排氣裝置65來將處理容器10內加以排氣。藉此,便可將處理容器10內維持為特定的真空度。處理容器10的側壁設置有閘閥G。閘閥G會在從處理容器10進行晶圓W的搬入及搬出時開閉搬出入口。
電漿處理裝置1設置有能夠控制裝置整體的動作之控制部100。控制部100具有CPU(Central Processing Unit)105、ROM(Read Only Memory)110及RAM(Random Access Memory)115。CPU105係基於儲存在該等記憶區之各種處理配方,來實行後述蝕刻等之所欲處理。處理配方記載有針對蝕刻條件等的處理條件之裝置的控制資訊,即製程時間、壓力(氣體的排氣)、高頻電功率或電壓、各種氣體流量、處理容器內溫度(上部電極溫度、處理容器的側壁溫度、晶圓W溫度、靜電夾具溫度等)、從冷卻器107所輸出之冷媒的溫度等。此外,顯示該等程式或處理條件之處理配方亦可記憶在硬碟或半導體記憶體。又,處理配方亦可在收納於CD-ROM、DVD等的可移動性之可藉由電腦來讀取之記憶媒體的狀態下,被安裝在特定位置,而被加以讀出。
蝕刻時,閘閥G的開閉會被控制,晶圓W會被搬入至處理容器10,而載置於載置台20。藉由從直流電壓源112對夾具電極106a施加直流電壓HV,而藉由庫倫力來將晶圓W吸附、保持於靜電夾具106。
接著,蝕刻用的處理氣體與高頻電功率會被供應至處理容器10內,而生成電漿。藉由所生成之電漿來對晶圓W施予電漿蝕刻。蝕刻後,從直流電壓源112對夾具電極106a施加與晶圓W的吸附時,正負相反的直流電壓HV來將晶圓W的電荷除去,而將晶圓W從靜電夾具106剝離。閘閥G的開閉會被控制,而將晶圓W從處理容器10搬出。
[蝕刻]
參閱圖2,針對以ArF阻膜作為遮罩並使用CHF3氣體及CF4氣體來蝕刻矽反射防止膜(Si-ARC)時,選擇比與疏密圖案的關係來加以說明。ArF阻膜2係形成有線與間距的圖案。此外,以下,亦將反射防止膜相對於阻膜的選擇比稱作「遮罩選擇比」。
圖2(a)係顯示晶圓W上層積膜的蝕刻前初始狀態一範例。層積膜係具有為蝕刻對象層之有機層4(ODL)、層積於有機層4上之矽反射防止膜3(Si-ARC)、以及層積於矽反射防止膜3上之ArF阻膜2。
圖2(b)係顯示蝕刻結果一範例。在圖2(b)的左側,係顯示藉由四氟化碳(CF4)氣體及三氟甲烷(CHF3)氣體的混合氣體所生成之電漿,來蝕刻矽反射防止膜3結果的一範例。在圖2(b)的右側,係顯示 在蝕刻矽反射防止膜3後,藉由氮(N2)氣體及氫(H2)氣體的混合氣體所生成之電漿,來蝕刻有機層4結果的一範例。
圖2(b)左側所示之矽反射防止膜3蝕刻結果的範例中,乃改變CHF3氣體相對於CF4氣體的流量比。具體來說,上段的蝕刻結果、中央的蝕刻結果、下段的蝕刻結果中,上段的蝕刻結果為CHF3氣體相對於CF4氣體的流量比最低,下段的蝕刻結果為CHF3氣體相對於CF4氣體的流量比最高。其結果,下段的蝕刻結果為遮罩選擇比最高,上段所示之蝕刻結果為遮罩選擇比最低。由此可知,愈提高CHF3氣體相對於CF4氣體的流量比,便可提高遮罩選擇比。
然而,在此方法中,蝕刻時附著在ArF阻膜2的附著物量,當ArF阻膜2為密圖案的情況與疏圖案的情況中是不同的。具體來說,得知附著在ArF阻膜2的附著物量在疏圖案的情況會比密圖案的情況要多。底部CD(Btm.CD)表示特定膜的蝕刻結束時之蝕刻底部的圖案間長度(寬度)。CD Bias的差值(以下,亦稱作「CD BiasΔ」。)係以疏圖案蝕刻後之由底部CD的初始狀態之差值(1),減去密圖案蝕刻後之由底部CD的初始狀態之差值(2)之值(=差值(1)-差值(2))來表示。CDBiasΔ愈趨近於「0」,表示蝕刻形狀為垂直,蝕刻中負載效應(Loading)的發生受到抑制。
由圖2(b)的結果可知,愈提高CHF3氣體相對於CF4氣體的流量比,則CD BiasΔ會成為愈大值,而無法確保蝕刻形狀的垂直性,使得蝕刻形狀變得惡化。
矽反射防止膜3的蝕刻結果會對矽反射防止膜3下層之有機層4的蝕刻造成影響。亦即,由圖2(b)右側所示之有機層4的蝕刻可得知,在矽反射防止膜3的蝕刻中,CD BiasΔ的值愈大,則在有機層4的蝕刻結果中,亦無法確保蝕刻形狀的垂直性。亦即,在下段的蝕刻結果中,蝕刻形狀最無法形成為垂直。這表示CHF3氣體相對於CF4氣體的流量比愈高,雖可提高遮罩選擇比,但卻難以使蝕刻形狀為垂直。
因此,以下便針對以ArF阻膜2作為遮罩之矽反射防止膜3的蝕 刻中,可同時達成遮罩選擇比與蝕刻形狀的垂直性之第1及第2實施型態的蝕刻方法來加以說明。
<第1實施型態>
第1實施型態相關之蝕刻方法例如,亦可在圖1所示之電漿處理裝置1的處理容器10內,對載置台20所載置之晶圓W來加以進行。有關第1實施型態相關之蝕刻方法的一範例,一邊參閱圖3來加以說明。圖3係顯示第1實施型態相關之蝕刻處理一範例之流程圖。
第1實施型態相關之蝕刻方法係重複實行預先設定次數之圖3的步驟S12~S18所示之第1工序與步驟S20~S26所示之第2工序來進行循環蝕刻。循環次數可為例如24次,或其以外的次數。
當圖3的循環蝕刻開始時,控制部100會將循環次數Cycle代入「0」,而初始化(步驟S10)。接著,控制部100會將CF4氣體及Ar氣體的混合氣體供應至處理容器10內(步驟S12)。但第1工序中所供應的氣體不限於CF4氣體及Ar氣體,只要是含有氟碳氣體之處理氣體即可。又,Ar氣體亦可未被包含於第1工序中所供應之氣體。
接下來,控制部100會施加從第1高頻電源32所輸出之第1頻率的第1高頻電功率HF(電漿生成用高頻電功率)(步驟S14)。又,控制部100會使從第2高頻電源34所輸出之第2頻率的第2高頻電功率LF(偏壓產生用高頻電功率)的輸出值為0W(步驟S14)。接下來,控制部100會施加從可變直流電源70所輸出之直流電壓(DC)(步驟S16)。在以上的步驟S12~S16所示之蝕刻條件下,以ArF阻膜2作為遮罩,讓矽反射防止膜3受到蝕刻(步驟S18)。
將進行以上的第1工序結果之膜的狀態顯示於圖4(b-1)。又,將圖4(b-1)所示之第1工序的蝕刻前,晶圓W上之層積膜構造的一範例顯示於圖4(a)。由上而下依序顯示ArF阻膜2、矽反射防止膜3、有機層4、矽氧化膜5、矽基板6的層積構造。ArF阻膜2為阻膜的一範例。矽反射防止膜3為含矽反射防止膜的一範例。有機層4為被蝕刻對象膜的一範例。
第1工序中,係供應包含有CF4氣體之處理氣體,第2高頻電功率 LF的輸出值被控制為0W。藉此,便不會發生電漿中的離子被積極地吸引至晶圓W側。於是,第1工序中所生成之電漿中,主要地CF自由基會被供應至ArF阻膜2及藉由ArF阻膜2的圖案而開口之矽反射防止膜3上,並吸附在該等膜的表面。當CF自由基吸附在ArF阻膜2上,則ArF阻膜2的表面便會因碳(C)間的共有鍵結而生成保護膜7。另一方面,藉由ArF阻膜2的圖案而露出之矽反射防止膜3的表層處,Si與CF自由基會反應而形成SiCF。藉由矽反射防止膜3的表層被改質為SiCF,矽反射防止膜3便會脆化,而成為容易促進蝕刻之狀態。
以上,雖係針對第1工序來加以說明,但步驟S14中,第2頻率之第2高頻電功率LF的輸出值不一定要設定為0W,只要為近似於0之數值即可。又,步驟S16中,從可變直流電源70所輸出之直流電壓(DC)的施加,亦可不施加。
回到圖3,接下來,針對上述狀態的膜實行第2工序(步驟S20~S26)。具體來說,控制部100係持續Ar氣體的供應,而停止CF4氣體的供應(步驟S20)。接下來,控制部100會持續施加第1高頻電功率HF,並施加第2高頻電功率LF(步驟S22)。接下來,控制部100會停止直流電壓(DC)的施加(步驟S24)。在以上的步驟S20~S26所示之蝕刻條件下,以ArF阻膜2作為遮罩來更進一步地蝕刻矽反射防止膜3(步驟S26)。
將進行以上第2工序結果的膜狀態顯示於圖4(b-2)。第2工序中,係停止CF4氣體的供應,而僅供應Ar氣體。又,不僅第1高頻電功率HF而亦施加第2高頻電功率LF。藉此,相對於第1工序中,主要係藉由CF自由基來促進化學性蝕刻,而第2工序中,則係主要地讓電漿中的Ar離子被吸引至晶圓W側,藉以促進物理性蝕刻。再加上,第1工序中,矽反射防止膜3的表層會被改質為SiCF,而成為脆化狀態。於是,藉由第2工序中之Ar離子的轟擊來更加促進蝕刻,便可將矽反射防止膜3垂直地蝕刻。
又,第1工序中,ArF阻膜2會因碳間的共有鍵結而形成有保護 膜7。藉此,相對於第2工序中之Ar離子的轟擊,ArF阻膜2會因保護膜7而受到保護。藉此,便可提高矽反射防止膜3相對於ArF阻膜2的遮罩選擇比。
回到圖3,接下來,控制部100會在循環次數Cycle加上「1」(步驟S28),並判定循環次數Cycle是否超過特定次數(步驟S30)。例如,若使特定次數為24次,則由於此時間點下的循環次數Cycle為「1」,因此,控制部100便會在步驟S30中判定為「No」,而回到步驟S12,並實行第2循環之第1工序及第2工序的蝕刻。重複實行特定次數之第1工序及第2工序的蝕刻後,控制部100便會結束本處理。此外,如圖4(c)所示,本處理結束後,N2氣體及H2氣體會被供應至處理容器10內,來將矽反射防止膜3下層的有機層4蝕刻。
如以上的說明,第1實施型態相關之蝕刻方法中,係藉由進行重複實行第1工序及第2工序之循環蝕刻,而可提高遮罩選擇比,且將矽反射防止膜3垂直地蝕刻。藉此,便可將矽反射防止膜3下層的有機層4垂直地蝕刻。
再者,本實施型態中,係在第1工序中從可變直流電源70施加直流電壓(DC),藉此來將CF離子吸引至上部電極(即氣體噴淋頭25)。其結果,構成氣體噴淋頭25的矽會被擊出而混入ArF阻膜2所形成之保護膜7內。藉此,ArF阻膜2便會藉由保護膜7而更加提高電漿耐受性,可期待遮罩選擇比的提升與蝕刻的垂直性更加提高之效果。
此外,圖5係顯示第1實施型態相關之蝕刻處理結果的一範例。圖5的左側係顯示比較例之蝕刻結果的一範例,圖5的右側係顯示第1實施型態相關之蝕刻結果的一範例。有關比較例相關的蝕刻條件,係和第1實施型態相關的蝕刻條件相比較,而顯示於下。
(第1實施型態的蝕刻條件)
.循環蝕刻(循環次數:24次)
.第1工序
HF 100W
LF 0W
DC 施加
氣體 CF4、Ar
.第2工序
HF 100W
LF 30W
DC 未施加
氣體 Ar
(比較例的蝕刻條件)
.非為循環蝕刻
HF 400W
LF 100W
DC 未施加
氣體 CF4
有關當ArF阻膜2的圖案為線與間距(密圖案1:1,疏圖案1:5)情況的蝕刻結果,圖5係顯示矽反射防止膜3的蝕刻後(上段),與有機層4的蝕刻後(下段)結果。
首先,觀察矽反射防止膜3的蝕刻後結果。由圖5的上段所示之結果可知,第1實施型態相關之蝕刻結果(右側)和比較例之蝕刻結果(左側)相比較,不論是密圖案或疏圖案的任一者,ArF阻膜2的殘膜均成為3~4倍。亦即,可得知藉由第1實施型態相關之蝕刻方法,遮罩選擇比會提升。
接下來,觀察有機層4的蝕刻後結果。由圖5的下段所示之結果可知,第1實施型態相關之蝕刻結果(右側)和比較例之蝕刻結果(左側)相比較,CD BiasΔ係趨近於「0」,蝕刻形狀的垂直性提升。
由此結果可證實,第1實施型態相關之蝕刻方法中,藉由循環地實行上述蝕刻條件下的第1工序及第2工序,便可提高遮罩選擇比,同時使被蝕刻對象膜的蝕刻形狀為垂直。
<第2實施型態>
接下來,針對第2實施型態相關之蝕刻方法加以說明。第2實施 型態相關之蝕刻方法係與第1實施型態相關之蝕刻方法同樣地,例如,亦可在圖1所示之電漿處理裝置1的處理容器10內,對載置台20所載置之晶圓W來加以進行。
有關第2實施型態相關之蝕刻方法一範例,一邊參閱圖6來加以說明。圖6係顯示第2實施型態相關之蝕刻處理一範例之流程圖。第2實施型態相關之蝕刻方法的第1工序(步驟S12~S18),由於係與第1實施型態相關之蝕刻方法(圖3)的第1工序的步驟S12~S18為相同步驟,故在此省略說明。又,第2實施型態相關之蝕刻方法的第3工序(步驟S20~S26),由於係與第1實施型態相關之蝕刻方法的第2工序步驟S20~S26為相同步驟,故在此省略說明。又,步驟S10、S28、S30所示之循環次數的判定處理亦與第1實施型態相同,本實施型態相關之蝕刻處理係重複實行複數工序的蝕刻之循環蝕刻。
第2實施型態相關之蝕刻處理與第1實施型態相關之蝕刻處理的相異點為,第2實施型態相關之蝕刻處理中,第1工序與第3工序之間乃設有第2工序。控制部100會在第2工序中,停止CF4氣體的供應,而供應氮(N2)氣體及Ar氣體(步驟S40)。在此狀態下,矽反射防止膜3會受到蝕刻(步驟S42)。藉此,主要地藉由電漿中的N2自由基而以氮(N)來修整(treatment)ArF阻膜2的表面,則可更加提高遮罩選擇比,同時使被蝕刻對象膜的蝕刻形狀為垂直。
例如,如圖7所示,第2實施型態相關之蝕刻方法中,圖7(b-1:第1工序)與圖7(b-3:第3工序)係相當於用以說明第1實施型態相關之蝕刻方法的圖5(b-1)與圖5(b-2)。
再者,第2實施型態相關之蝕刻方法中,圖7(b-1:第1工序)與圖7(b-3:第3工序)之間,係實行圖7(b-2)所示之第2工序。第2工序中,主要係藉由電漿中的N2自由基而以氮(N)來修整ArF阻膜2的表面。藉此,圖7(b-3)的第3工序中,當藉由Ar離子的吸引來促進蝕刻時,便可抑止ArF阻膜2的蝕刻,而可更加提高蝕刻形狀的垂直性與遮罩選擇比的提升。
圖8係顯示第2實施型態相關之蝕刻處理結果一範例。圖8的左側 係顯示第1實施型態相關之蝕刻結果一範例,圖8的右側係顯示第2實施型態相關之蝕刻結果一範例。有關第2實施型態相關的蝕刻條件,係和第1實施型態相關的蝕刻條件相比較,而顯示於下。
(第2實施型態的蝕刻條件)
.循環蝕刻(循環次數:24次)
.第1工序
HF 100W
LF 0W
DC 施加
氣體 CF4、Ar
.第2工序
HF 100W
LF 0W
DC 施加
氣體 N2、Ar
.第3工序
HF 100W
LF 30W
DC 未施加
氣體 Ar
(第1實施型態的蝕刻條件)
.循環蝕刻(循環次數:24次)
.第1工序
HF 100W
LF 0W
DC 施加
氣體 CF4、Ar
.第2工序
HF 100W
LF 30W
DC 未施加
氣體 Ar
有關ArF阻膜2的圖案為線與間距(密圖案1:1及疏圖案1:5)情況的蝕刻結果,圖8係顯示有機層4的蝕刻後結果。
由此可得知,第2實施型態相關之蝕刻結果(右側)和第1實施型態相關之蝕刻結果(左側)相比較,CD BiasΔ會更加趨近於「0」,而可謀求蝕刻形狀的垂直性更加提升。
由上述結果,第2實施型態相關之蝕刻方法係在第1實施型態相關之蝕刻方法的第1工序與第2工序(本實施型態中為第1工序與第3工序)之間***藉由N電漿來進行修整之第2工序,藉此可更加提升被蝕刻對象膜之蝕刻形狀的垂直性。
如以上的說明,依據上述各實施型態之蝕刻方法,便可提供一種蝕刻方法,能夠同時達成遮罩選擇比的提升,與被蝕刻對象膜之蝕刻形狀的垂直性。
再者,依據第2實施型態相關之蝕刻方法,在第2工序中,藉由N電漿的修整效果,縱使ArF阻膜2為疏密圖案任一者,皆可將附著在ArF阻膜2的附著物控制為同等量。藉此,便可使CD BiasΔ趨近於「0」,來提高蝕刻形狀的垂直性。其結果,便可更加提高遮罩選擇比與被蝕刻對象膜之蝕刻形狀的垂直性。
此外,第1實施型態及第2實施型態中,作為蝕刻氣體的一範例雖係使用CF4氣體,但亦可為具有與CF4氣體同等的氧化膜蝕刻特性之氟碳氣體。
又,第1實施型態及第2實施型態中,作為非活性氣體的一範例係供應Ar氣體。然而,非活性氣體不限於Ar氣體,而亦可為氦(He)氣體。
又,第2實施型態的第2工序中雖係供應氮(N2)氣體,但不限於N2氣體,只要是供應含有含氮氣體之處理氣體即可。含有含氮氣體之處理氣體的其他範例舉例有氨(NH3)氣體。
又,含矽反射防止膜不限於矽反射防止膜3,只要為含有矽之反射防止膜即可。
又,阻膜不限於ArF阻膜2,而亦可為EUV阻膜。
以上,雖已藉由上述實施型態來說明蝕刻方法,但本發明相關之蝕刻方法不限於上述實施型態,可在本發明之範圍內做各種變化或改良。上述複數實施型態所記載之事項可在不矛盾之範圍內做各種組合。
例如,本發明相關之蝕刻方法不僅是圖1所示之電容耦合型電漿(CCP:Capacitively Coupled Plasma)裝置,而亦可應用於其他的電漿處理裝置。其他的電漿處理裝置亦可為使用感應耦合型電漿(ICP:Inductively Coupled Plasma)、輻射狀槽孔天線之電漿處理裝置、螺旋波激發型電漿(HWP:Helicon Wave Plasma)裝置、或電子迴旋共振電漿(ECR:Electron Cyclotron Resonance Plasma)裝置等。
本說明書中雖係以半導體晶圓W作為被處理體的一範例來加以說明,但亦可為LCD(Liquid Crystal Display)、FPD(Flat Panel Display)等所使用之各種基板或光罩、CD基板、印刷基板等。

Claims (10)

  1. 一種蝕刻方法,係藉由對被處理體之電漿處理,來將含矽反射防止膜蝕刻為阻膜的圖案之蝕刻方法;被處理體係具有蝕刻對象層、層積於上述蝕刻對象層上之上述含矽反射防止膜、以及層積於上述含矽反射防止膜上之上述阻膜;該方法具有以下工序:在收納有被處理體之處理容器內,生成含有氟碳氣體之處理氣體的電漿之第1工序;以及在收納有被處理體之上述處理容器內,停止該氟碳氣體的供應來生成含有非活性氣體之處理氣體的電漿之第2工序;依序重複實行上述第1工序及上述第2工序;該第2工序中係僅供應該非活性氣體。
  2. 一種蝕刻方法,係藉由對被處理體之電漿處理,來將含矽反射防止膜蝕刻為阻膜的圖案之蝕刻方法;被處理體係具有蝕刻對象層、層積於上述蝕刻對象層上之上述含矽反射防止膜、以及層積於上述含矽反射防止膜上之上述阻膜;該方法具有以下工序:在收納有被處理體之處理容器內,生成含有氟碳氣體之處理氣體的電漿之第1工序;在收納有被處理體之處理容器內,停止該氟碳氣體的供應來生成含有含氮氣體之處理氣體的電漿之第2工序;以及在收納有被處理體之上述處理容器內,停止該含氮氣體的供應來生成含有非活性氣體之處理氣體的電漿之第3工序; 依序重複實行上述第1工序、上述第2工序及上述第3工序;該第3工序中係僅供應該非活性氣體。
  3. 如申請專利範圍第1項之蝕刻方法,其中上述第1工序係不施加偏壓產生用高頻電功率而加以實行。
  4. 如申請專利範圍第1或3項之蝕刻方法,其中上述第1工序係施加直流電壓而加以實行。
  5. 如申請專利範圍第2項之蝕刻方法,其中上述第1工序及上述第2工序係不施加偏壓產生用高頻電功率而加以實行。
  6. 如申請專利範圍第2項之蝕刻方法,其中上述第1工序及上述第2工序係施加直流電壓而加以實行。
  7. 如申請專利範圍第1至3項中任一項之蝕刻方法,其中上述阻膜係EUV阻膜或ArF阻膜。
  8. 如申請專利範圍第1至3項中任一項之蝕刻方法,其中上述含有非活性氣體之處理氣體係包含有He氣體或Ar氣體。
  9. 如申請專利範圍第1至3項中任一項之蝕刻方法,其中上述含有氟碳氣體之處理氣體係包含有CF4氣體。
  10. 如申請專利範圍第2、5、6項中任一項之蝕刻方法,其中上述含有含氮氣體之處理氣體係N2氣體或NH3氣體。
TW105136988A 2015-11-16 2016-11-14 蝕刻方法 TWI703414B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015223867A JP2017092376A (ja) 2015-11-16 2015-11-16 エッチング方法
JP2015-223867 2015-11-16

Publications (2)

Publication Number Publication Date
TW201729015A TW201729015A (zh) 2017-08-16
TWI703414B true TWI703414B (zh) 2020-09-01

Family

ID=58691353

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105136988A TWI703414B (zh) 2015-11-16 2016-11-14 蝕刻方法

Country Status (4)

Country Link
US (1) US10224211B2 (zh)
JP (1) JP2017092376A (zh)
KR (1) KR20170057146A (zh)
TW (1) TWI703414B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6557588B2 (ja) 2015-12-04 2019-08-07 株式会社日立ハイテクノロジーズ ドライエッチング方法
JP6925202B2 (ja) * 2017-08-30 2021-08-25 東京エレクトロン株式会社 エッチング方法およびエッチング装置
KR102487054B1 (ko) * 2017-11-28 2023-01-13 삼성전자주식회사 식각 방법 및 반도체 장치의 제조 방법
CN113544823B (zh) * 2020-02-10 2024-04-12 株式会社日立高新技术 等离子处理方法
CN117577524A (zh) * 2020-09-18 2024-02-20 东京毅力科创株式会社 蚀刻方法和等离子体处理装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090191711A1 (en) * 2008-01-30 2009-07-30 Ying Rui Hardmask open process with enhanced cd space shrink and reduction

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2003244166A1 (en) 2002-06-27 2004-01-19 Tokyo Electron Limited Plasma processing method
JP5606060B2 (ja) 2009-12-24 2014-10-15 東京エレクトロン株式会社 エッチング方法及びエッチング処理装置
US8263496B1 (en) * 2011-04-12 2012-09-11 Tokyo Electron Limited Etching method for preparing a stepped structure

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090191711A1 (en) * 2008-01-30 2009-07-30 Ying Rui Hardmask open process with enhanced cd space shrink and reduction

Also Published As

Publication number Publication date
TW201729015A (zh) 2017-08-16
US20170140923A1 (en) 2017-05-18
JP2017092376A (ja) 2017-05-25
KR20170057146A (ko) 2017-05-24
US10224211B2 (en) 2019-03-05

Similar Documents

Publication Publication Date Title
TWI743072B (zh) 蝕刻方法及蝕刻裝置
JP6604911B2 (ja) エッチング処理方法
CN110246760B (zh) 蚀刻方法
TWI703414B (zh) 蝕刻方法
JP6408903B2 (ja) エッチング処理方法及びエッチング処理装置
TW201631656A (zh) 蝕刻方法
JP6587580B2 (ja) エッチング処理方法
TWI716378B (zh) 蝕刻方法
US9330935B2 (en) Plasma etching method and plasma etching apparatus
TWI713486B (zh) 蝕刻方法(二)
JP2017098323A (ja) プラズマエッチング方法
JP6243722B2 (ja) エッチング処理方法
TW201618156A (zh) 電漿處理裝置及電漿處理方法
US10651077B2 (en) Etching method
KR102130229B1 (ko) 에칭 방법
JP2020088174A (ja) エッチング方法及び基板処理装置
TWI689007B (zh) 蝕刻方法
JP7195113B2 (ja) 処理方法及び基板処理装置
CN110246739B (zh) 等离子体处理方法和等离子体处理装置
TWI822918B (zh) 電漿處理方法及電漿處理裝置
TW202018806A (zh) 蝕刻方法及基板處理裝置
JP2015106587A (ja) 静電チャックのコーティング方法及びプラズマ処理装置