TWI671807B - 半導體裝置的形成方法 - Google Patents

半導體裝置的形成方法 Download PDF

Info

Publication number
TWI671807B
TWI671807B TW106122200A TW106122200A TWI671807B TW I671807 B TWI671807 B TW I671807B TW 106122200 A TW106122200 A TW 106122200A TW 106122200 A TW106122200 A TW 106122200A TW I671807 B TWI671807 B TW I671807B
Authority
TW
Taiwan
Prior art keywords
precursor
spacer material
forming
layer
semiconductor device
Prior art date
Application number
TW106122200A
Other languages
English (en)
Other versions
TW201830493A (zh
Inventor
盧柏全
黃泰鈞
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201830493A publication Critical patent/TW201830493A/zh
Application granted granted Critical
Publication of TWI671807B publication Critical patent/TWI671807B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

半導體裝置的形成方法包括形成間隔物材料於半導體鰭狀物與閘極堆疊上,其中形成間隔物材料的步驟更包括:採用原子層沉積以沉積第一材料於半導體鰭狀物上;以及採用原子層沉積以沉積第二材料於第一材料上,其中第二材料與第一材料不同。自半導體鰭狀物移除間隔物材料,其中移除間隔物材料之步驟更包括:佈植蝕刻調整劑至間隔物材料中,以形成調整的間隔物材料;以及移除調整的間隔物材料。

Description

半導體裝置的形成方法
本發明實施例關於半導體裝置的形成方法,更特別關於雙層結構的組成與其移除方法。
半導體裝置可用於多種電子應用中,比如個人電腦、手機、數位相機、與其他電子設備。半導體裝置的製作方法通常為依序沉積絕緣或介電層、導電層、與半導體層的材料於半導體基板上,並採用微影圖案化多種材料層以形成電路構件與單元於其上。
半導體產業持續縮小最小結構尺寸,以改良多種電子構件(如電晶體、二極體、電阻、電容、或類似物)的積體密度,以將更多構件整合至某一區域中。然而減少最小結構尺寸時,可能產生必需解決的額外問題。
本發明一實施例提供之半導體裝置的形成方法,包括:形成半導體鰭狀物於半導體基板上;形成閘極堆疊於部份的半導體鰭狀物上;形成間隔物材料於半導體鰭狀物與閘極堆疊上,其中形成間隔物材料的步驟更包括:採用原子層沉積以沉積第一材料於半導體鰭狀物上;以及採用原子層沉積以沉積第二材料於第一材料上,其中第二材料與第一材料不同;自半導體鰭狀物移除間隔物材料,其中移除間隔物材料之步驟更 包括:佈植蝕刻調整劑至間隔物材料中,以形成調整的間隔物材料;以及移除調整的間隔物材料。
本發明一實施例提供之半導體裝置的形成方法,包括:直接沉積第一間隔物材料於半導體鰭狀物上;直接沉積第二間隔物材料於第一間隔物材料上,以形成雙層的間隔物材料;採用第一循環移除雙層的間隔物材料之第一部份,其中第一循環包括:佈植蝕刻調整劑至雙層的間隔物材料中;以及在佈植蝕刻調整劑後,濕蝕刻雙層的間隔物材料;以及移除雙層的間隔物材料之第二部份,其中移除雙層的間隔物材料之第二部份的步驟包括重複第一循環一或多次。
本發明一實施例提供之半導體裝置的形成方法,包括:沉積氮化矽以直接接觸半導體鰭狀物;沉積氮碳氧化矽以直接接觸氮化矽;佈植氮至氮碳氧化矽中;以及以一或多道濕蝕刻移除氮碳氧化矽與氮化矽。
α1‧‧‧第一角度
α2‧‧‧第二角度
B-B'、D-D'‧‧‧線段
D1‧‧‧第一距離
D2‧‧‧第二距離
D3‧‧‧第三距離
D4‧‧‧第四距離
T1‧‧‧第一厚度
T2‧‧‧第二厚度
T3‧‧‧第三厚度
W1‧‧‧第一寬度
W2‧‧‧第二寬度
101‧‧‧基板
103‧‧‧第一溝槽
105‧‧‧第一隔離區
107‧‧‧鰭狀物
109‧‧‧閘極介電材料
111‧‧‧閘極材料
113‧‧‧第三硬遮罩
115‧‧‧第四硬遮罩
117‧‧‧閘極堆疊
200‧‧‧沉積系統
201‧‧‧第一間隔物材料
203‧‧‧第一層
205‧‧‧第二層
211‧‧‧第一前驅物輸送系統
213‧‧‧第二前驅物輸送系統
215‧‧‧第三前驅物輸送系統
217‧‧‧第四前驅物輸送系統
219‧‧‧沉積腔室
221‧‧‧氣體供應器
223‧‧‧流體控制器
225‧‧‧前驅物氣體控制器
227‧‧‧控制單元
229‧‧‧歧管
231‧‧‧噴頭
233‧‧‧外殼
235‧‧‧固定平台
237‧‧‧排氣口
239‧‧‧真空泵
241‧‧‧清除氣體輸送系統
251‧‧‧處理器單元
253‧‧‧顯示器
255‧‧‧輸入/輸出構件
257‧‧‧中央處理器
259‧‧‧記憶體
261‧‧‧大量資料儲存裝置
263‧‧‧視頻配接器
265‧‧‧輸入/輸出介面
267‧‧‧匯流排
269‧‧‧網路介面
271‧‧‧局部區域網路或廣域網路
301‧‧‧第一佈植
303‧‧‧第一調整層
401‧‧‧蝕刻製程
701‧‧‧源極/汲極區
703‧‧‧間隔物
第1圖係一些實施例中,半導體鰭狀物上的閘極其圖式。
第2A至2D圖係一些實施例中,形成間隔物材料的圖式。
第3A、3B、與4圖係一些實施例中,移除部份間隔物材料的圖式。
第5A與5B圖係一些實施例中,重複移除間隔物材料的圖式。
第6A與6B圖係一些實施例中,測試資料的圖式。
第7A與7B圖係一些實施例中,成長源極/汲極區的圖式。
下述內容提供的不同實施例或實例可實施本發明的不同結構。特定構件與排列的實施例係用以簡化本發明而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本發明之多種例子中可重複標號,但這些重複僅用以簡化與清楚說明,不代表不同實施例及/或設置之間具有相同標號之單元之間具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
實施例將搭配特定實施例說明,比如5奈米技術節點中的鰭狀場效電晶體。實施例亦可應用於其他方式。
如第1圖所示,基板101具有第一溝槽103與鰭狀物107。基板101可為矽基板,不過亦可為其他基板如絕緣物上半導體、應變的絕緣物上半導體、或絕緣物上矽鍺。基板101可為p型半導體,但在其他實施例中可為n型半導體。
在最後形成第一隔離區105的步驟中,初始步驟可為形成第一溝槽103。第一溝槽103的形成方法,可採用遮罩層(未分開圖示於第1圖中)搭配合適的蝕刻製程。舉例來說,遮罩層可為硬遮罩,其包含化學氣相沉積等製程形成的氮化矽,但 亦可為其他製程如電漿增強化學氣相沉積或低壓化學氣相沉積形成的其他材料如氧化物、氮氧化物、碳化矽、上述之組合、或類似物,甚至是形成氧化矽後進行氮化製程。一旦形成遮罩層,可採用合適的光微影製程圖案化遮罩層,以露出部份基板101。之後可移除露出的基板101以形成第一溝槽103。
一旦形成及圖案化遮罩層後,形成第一溝槽103於基板101中。移除露出的基板101的方法可為適當製程如反應性離子蝕刻,以形成第一溝槽103於基板101中。不過上述製程可為任何合適製程而不限於反應性離子蝕刻。在一實施例中,第一溝槽103之底部與基板101的表面之間相隔的距離小於約5000Å,比如約2500Å。
然而本技術領域中具有通常知識者應理解,上述形成第一溝槽103的製程僅為可能的製程,而非唯一的實施例。相反地,可採用任何合適製程以形成第一溝槽103。任何合適製程,包括任何數目的遮罩與移除步驟,均可用以形成第一溝槽103。
除了形成第一溝槽103,遮罩與蝕刻製程亦自未移除的部份基板101形成鰭狀物107。為方便標示鰭狀物107,其與基板101之間隔有虛線,但此虛線可存在或不存在物理意義。鰭狀物107可用以形成多閘極鰭狀場效電晶體的通道區如下述。雖然第1圖僅圖示四個自基板101形成的鰭狀物107,但可採用任何數目的鰭狀物107。
鰭狀物107在基板101之表面可具有第一寬度W1,其介於約7nm至約12nm之間(比如約7nm)。此外,鰭狀物107彼 此之間可隔有第一距離D1,其介於約12nm至約19nm之間(比如約13nm);亦可隔有第二距離D2,其介於約10nm至約30nm之間(比如約24nm)。由於鰭狀物107之間隔有上述距離,鰭狀物107可各自形成分開的通道區,但仍近到可共用一共同閘極如下述。
一旦形成第一溝槽103與鰭狀物107,可將介電材料填入第一溝槽103,並使第一溝槽103中的介電材料凹陷以形成第一隔離區105。介電材料可為氧化物材料、高密度電漿氧化物、或類似物。可在視情況清潔與襯墊第一溝槽103後形成介電材料,且介電材料的形成方法可為化學氣相沉積方法(如高深寬比製程)、高密度電漿化學氣相沉積法、或其他合適方法。
將介電材料填入第一溝槽103時,介電材料可超出第一溝槽103與基板101,接著以適當製程如化學機械研磨、蝕刻、上述之組合、或類似方法移除超出第一溝槽103與鰭狀物107的介電材料。在一實施例中,移除製程可移除高於鰭狀物107的任何介電材料,因此移除介電材料的步驟將露出鰭狀物107的上表面以進行後續製程步驟。
一旦介電材料填入第一溝槽103,接著可使介電材料凹陷,使其遠離鰭狀物107的表面。此凹陷化步驟可露出鰭狀物107其與上表面相鄰的部份側壁。使介電材料凹陷的方法可為濕蝕刻(比如將鰭狀物107的上表面浸入蝕刻品如HF),但亦可採用其他蝕刻品如H2,或採用其他方法如反應性離子蝕刻、採用NH3/NF3作為蝕刻品的乾蝕刻、化學氧化物移除法、 或乾式化學清潔法。介電材料可自鰭狀物107的表面向下凹陷一段距離,其可介於約40Å至約500Å之間(比如約42Å)。此外,凹陷化步驟亦可移除鰭狀物107上的任何殘留介電材料,以確保在後續製程中露出鰭狀物107。
然而本技術領域中具有通常知識者應理解上述步驟,僅為填入介電材料並使其凹陷化的完整流程之一部份。舉例來說,襯墊步驟、清潔步驟、回火步驟、間隙填充步驟、上述之組合、與類似步驟亦可用以形成介電材料於第一溝槽103中。這些可能的步驟亦屬此實施例的範疇。
在形成第一隔離區105後,可形成閘極介電材料109與閘極材料111於每一鰭狀物107上。在一實施例中,閘極介電材料109的形成方法可為熱氧化法、化學氣相沉積、原子層沉積、濺鍍、或任何其他合適方法。閘極介電材料109在鰭狀物107之頂部上的厚度取決於其形成技術,其可不同於閘極介電材料109在鰭狀物107之側壁上的厚度。
閘極介電材料109包含的材料可為氧化物或氮氧化矽,其厚度介於約3Å至約100Å之間(比如約10Å)。在另一實施例中,閘極介電材料109之組成可為高介電常數材料(其相對介電常數大於約5),比如氧化鑭、氧化鋁、氧化鉿、氮氧化鉿、氧化鋯、或上述之組合,且其等效氧化物厚度介於約0.5Å至約100Å之間(比如小於或等於約10Å)。此外,氧化矽、氮氧化矽、及/或高介電常數材料的任何組合亦可用於閘極介電材料109。
閘極材料111可包含導電材料,其可為多晶矽、多晶矽鍺、金屬氮化物、金屬矽化物、金屬氧化物、金屬、上述 之組合、或類似物。金屬氮化物的例子包含氮化鎢、氮化鉬、氮化鈦、氮化鉭、或上述之組合。金屬矽化物的例子包含鎢矽化物、鈦矽化物、鈷矽化物、鎳矽化物、鉑矽化物、鉺矽化物、或上述之組合。金屬氧化物的例子包含釕氧化物、銦錫氧化物、或上述之組合。金屬的例子包含鎢、鈦、鋁、銅、鉬、鎳、鉑、或類似物。
閘極材料111的沉積方法可為化學氣相沉積、濺鍍沉積、或適於沉積導電材料的其他合適技術。在此步驟中,離子可視情況(非必要)導入閘極材料111中。舉例來說,導入離子的方法可為離子佈植技術。
一旦形成上述結構後,可形成額外材料於閘極介電材料109與閘極材料111上,以作為閘極介電材料109與閘極材料111其圖案化步驟的一部份。一旦圖案化閘極介電材料109與閘極材料111,可形成多重通道區於閘極介電材料109下方的鰭狀物107之每一側上。在一實施例中,上述圖案化步驟可先沉積第一硬遮罩(未分開圖示於第1圖中)與第二硬遮罩(未分開圖示於第1圖中)於閘極材料111上。在一實施例中,第一硬遮罩包含介電材料如氮化矽、氮化鈦、氮氧化矽、矽、氧化矽、氮化鈦、氮化鉭、鎢、上述之組合、或類似物。第一硬遮罩的形成製程可為化學氣相沉積、電漿增強化學氣相沉積、原子層沉積、或類似方法,且其厚度可為約200Å。然而第一硬遮罩可採用任何合適材料或形成方法。
一旦形成第一硬遮罩,可形成第二硬遮罩於第一硬遮罩上以填入第一硬遮罩的不平坦部份,且此不平坦部份來 自於閘極材料111不平坦。在一實施例中,第二硬遮罩的材料不同於第一硬遮罩。第二硬遮罩的材料可為多晶矽、氧化矽、矽、氮化矽、氮化鈦、氮化鉭、鎢、上述之組合、或類似物,且其沉積製程可為化學氣相沉積或物理氣相沉積。第二硬遮罩的厚度可為約900Å。然而第二硬遮罩可採用任何合適材料、形成方法、與厚度。
一旦形成第二硬遮罩,可進行平坦化製程以平坦化第一硬遮罩與第二硬遮罩,並移除兩者之間的任何不平坦部份。在一實施例中,平坦化製程可為化學機械研磨,其可用以露出下方的第一硬遮罩。然而可採用任何合適方法以平坦化第一硬遮罩與第二硬遮罩。
一旦平坦化第一硬遮罩與第二硬遮罩,可移除第一硬遮罩與第二硬遮罩以將平坦性轉移至閘極材料111。在一實施例中,移除第一硬遮罩與第二硬遮罩的方法為回蝕刻製程,其可以合適的相似速率移除第一硬遮罩的材料與第二硬遮罩的材料。然而可採用合適的移除製程移除第一硬遮罩與第二硬遮罩。
一旦平坦化閘極材料111,可將第三硬遮罩113、第四硬遮罩115、芯材(未分開圖示於第1圖中)、與光阻(未分開圖示於第1圖中)置於閘極材料111上。在一實施例中,第三硬遮罩113包含介電材料如氮化矽、氮化鈦、氮氧化矽、矽、氧化矽、氮化鈦、氮化鉭、鎢、上述之組合、或類似物。第三硬遮罩113的形成製程可為化學氣相沉積、電漿增強化學氣相沉積、原子層沉積、或類似方法,且其厚度可介於約10Å至約 1000Å之間(比如約200Å)。然而第三硬遮罩113可採用任何合適材料與形成方法。
一旦形成第三硬遮罩113,形成第四硬遮罩115於第三硬遮罩113上。在一實施例中,第四硬遮罩115的材料可不同於第三硬遮罩113的材料。第四硬遮罩115的材料可為氧化矽、矽、氮化矽、氮化鈦、氮化鉭、鎢、上述之組合、或類似物,且其沉積方法可為化學氣相沉積、物理氣相沉積、或其他沉積製程。第四硬遮罩115的厚度可介於約100Å至約5000Å之間(比如約900Å)。然而第四硬遮罩115可採用任何合適材料、形成方法、與厚度。
在一實施例中,芯材可為虛置材料如虛置非晶矽、虛置多晶矽、或可圖案化的其他材料。芯材的沉積製程可為化學氣相沉積、物理氣相沉積、上述之組合、或類似方法。然而芯材可採用任何合適材料或沉積方法。
一旦形成芯材,將光阻置於芯材上。在一實施例中,光阻為三層光阻如底抗反射塗層、中間遮罩層、與頂光阻層(未分開圖示於第1圖中)。然而光阻可採用任何合適型態的光敏材料或材料的組合。
一旦將光阻置於芯材上,圖案化第三硬遮罩113、第四硬遮罩115、與光阻。在一實施例中,光阻的圖案化方法包括:以穿過光罩之圖案化能量(如光)曝光光阻中的光敏材料(如三層光阻中的頂光阻層)。圖案化的能量撞擊部份的光阻材料會造成化學反應,進而調整曝光部份的光阻其物理性質,使曝光部份與未曝光部份的光阻具有不同物理性質。接著以顯影 劑(未分開圖示)顯影光阻,以分隔光阻的曝光部份與未曝光部份。
一旦圖案化光阻,可開始圖案化製程將光阻圖案轉移至芯材,以形成芯。在一實施例中,圖案化製程可為非等向乾蝕刻如反應性離子蝕刻,其可將光阻圖案轉移至芯材以形成芯。然而上述圖案化製程可採用任何合適的蝕刻製程。
一旦形成芯,可自芯移除光阻。在一實施例中,可採用電漿灰化製程移除光阻,其升溫至光阻熱分解即可移除光阻。然而移除光阻的方法可採用任何合適製程,比如濕式剝除。
此外,一旦移除光阻,可形成間隔物(未分開圖示)於芯的相反兩側上。在一實施例中,間隔物可為介電材料,其形成方法可為先沉積間隔物材料(未分開圖示),接著移除間隔物材料的水平部份,且移除方法可為非等向蝕刻製程。一旦形成間隔物後,可移除間隔物之間的芯,且移除方法可採用濕蝕刻製程。然而移除芯的方法可採用任何合適製程。
一旦形成間隔物,間隔物可作為遮罩以將間隔物的圖案轉移至第三遮罩113與第四遮罩115。在一實施例中,上述轉移圖案的方法可採用非等向蝕刻製程如反應性離子蝕刻。然而上述轉移圖案的方法可採用任何合適的移除製程。
此外,一旦圖案化第三硬遮罩113與第四硬遮罩115,第三硬遮罩113與第四硬遮罩115可作為遮罩,使閘極材料111與閘極介電材料109圖案化一或多個閘極堆疊117。在一實施例中,閘極材料111的圖案化方法可採用非等向蝕刻製 程,比如反應性離子蝕刻。然而將第三硬遮罩113與第四硬遮罩115的圖案轉移至閘極材料111的方法,可為任何合適的蝕刻製程。
在一實施例中,閘極堆疊117可具有第二寬度W2,其介於約10nm至約30nm之間(比如約16nm)。此外,個別的閘極堆疊彼此之間可隔有第三距離D3,其介於約10nm至約40nm之間(比如28nm);或隔有第四距離D4,其介於約20nm至約60nm之間(比如約44nm)。然而可採用任何合適的寬度與距離。
此外,如本技術領域中具有通常知識者所知,上述圖案化閘極材料111的一系列步驟僅用以說明,而非侷限本發明實施例至這些步驟。相反地,上述步驟可新增或置換為任何其他的合適步驟。舉例來說,可搭配額外光阻修整閘極材料、在修整步驟後蝕刻底抗反射塗層、或將額外光阻置於其他部份上。圖案化閘極材料111的方法,可為任何合適的一系列步驟或其組合。
第2A至2D圖係採用沉積系統200(圖示於第2A與2B圖中)形成第一間隔物材料201(圖示於第2C圖中)的圖示,而第2D圖係第2C圖中的鰭狀物107其沿著線段D-D'的剖視圖。在一實施例中,第一間隔物材料201可為雙層材料,其第一層203(圖示於第2D圖中)包含第一介電材料如氮化矽,而第二層205包含第二介電材料如氮碳氧化矽。然而第一間隔物材料201可採用任何合適材料。
首先,第2A圖所示之沉積系統200可用於形成第一層203與第二層205,且其形成方法可為臨場順應性的沉積製程 如原子層沉積。在一實施例中,沉積系統200自第一前驅物輸送系統211、第二前驅物輸送系統213、第三前驅物輸送系統215、與第四前驅物輸送系統217接收前驅物材料,以形成一系列的單層材料覆蓋閘極堆疊117與鰭狀物107。在一實施例中,第一前驅物輸送系統211、第二前驅物輸送系統213、第三前驅物輸送系統215、與第四前驅物輸送系統217可彼此相連,以提供多種不同前驅物材料至放置基板101的沉積腔室219。然而第一前驅物輸送系統211、第二前驅物輸送系統213、第三前驅物輸送系統215、與第四前驅物輸送系統217可具有彼此類似的物理構件。
舉例來說,第一前驅物輸送系統211、第二前驅物輸送系統213、第三前驅物輸送系統215、與第四前驅物輸送系統217可各自包含氣體供應器221與流體控制器223(僅標示於第2A圖之第一前驅物輸送系統211,但未標示於第二前驅物輸送系統213、第三前驅物輸送系統215、與第四前驅物輸送系統217以簡化圖示)。在一實施例中,第一前驅物以氣態儲存,且氣體供應器221可供應第一前驅物至沉積腔室219。氣體供應器221可為容器如氣體儲槽,其可與沉積腔室219相鄰,或遠離沉積腔室219。在其他實施例中,氣體供應器221可為獨立製備並輸送第一前驅物至流體控制器223的設備。氣體供應器221可採用適用於第一前驅物的任何合適來源,且這些來源均包含於此實施例之範疇中。
氣體供應器221可供應所需的前驅物至流體控制器223。流體控制器223可控制輸送到前驅物氣體控制器225的 流體,以及最後送到沉積腔室219之前驅物的流體,即有助於控制沉積腔室219中的壓力。流體控制器223可為比例閥、調整閥、針閥、壓力調節器、質流控制器、上述之組合、或類似物。然而可採用任何合適方法以控制及調節流體,且這些構件與方法完全包含於此實施例的範疇中。
然而本技術領域中具有通常知識者應理解,上述第一前驅物輸送系統211、第二前驅物輸送系統213、第三前驅物輸送系統215、與第四前驅物輸送系統217具有相同構件,其僅用以說明而非侷限本發明實施例。前驅物運輸系統可採用任何合適的型態,且沉積系統200中的任一前驅物運輸系統可與其他者具有任何型態與數目的相同或不同個別構件。這些前驅物運輸系統均包含於此實施例的範疇中。
此外,一實施例中以固態或液態儲存第一前驅物,氣體供應器221可儲存載體氣體,且載體氣體可導入前驅物罐(未分開圖示)。上述前驅罐可儲存固態或液態的第一前驅物。在將前驅罐中的第一前驅物送至前驅物氣體控制器225之前,第一前驅物蒸發或升華成氣態,並以載體氣體推動與承載氣態的第一前驅物。提供第一前驅物的方可採用任何合適方法與單元的組合,且這些單元組合均屬此實施例範疇。
第一前驅物輸送系統211、第二前驅物輸送系統213、第三前驅物輸送系統215、與第四前驅物輸送系統217可輸送個別的前驅物材料至前驅物氣體控制器225中。前驅物氣體控制器225連接第一前驅物輸送系統211、第二前驅物輸送系統213、第三前驅物輸送系統215、與第四前驅物輸送系統217 至沉積腔室219,以輸送所需的前驅物材料至沉積腔室219。前驅物氣體控制器225可包含裝置如閥、流體計、感測計、與類似物以控制每一前驅物的輸送速率。前驅物氣體控制器225可受控於來自控制單元227的指令,此將搭配第2B圖詳述如下。
前驅物氣體控制器225藉由接收來自控制單元227的指令以開啟或關閉閥,使第一前驅物輸送系統211、第二前驅物輸送系統213、第三前驅物輸送系統215、與第四前驅物輸送系統217之一者連接至沉積腔室219,而所需的前驅物材料可經由歧管229導入沉積腔室219後到達噴頭231。噴頭231可分散選用的前驅物材料至沉積腔室219中,且可設計為均勻地分散前驅物材料,以最小化不均勻的分散造成不想要的製程條件。在一實施例中,噴頭231可具有圓形設計,且開口均勻分散於噴頭231,使所需的前驅物材料可分散至沉積腔室219中。
然而本技術領域中具有通常知識者應理解,上述前驅物經由單一噴頭231或單點導入沉積腔室219中的內容,僅用以說明而非侷限此實施例。任何數目之分開且獨立的噴頭231或其他開口,均可用以將前驅物材料導入沉積腔室219中。這些導入前驅物材料的噴頭與其他點的組合均包含於此實施例的範疇中。
沉積腔室219可接收所需的前驅物材料,並使閘極堆疊117與鰭狀物107的側壁暴露至前驅物材料。沉積腔室219可為任何所需形狀,其適於分散前驅物材料以接觸閘極堆疊117與鰭狀物107的側壁。在第2A圖所示的實施例中,沉積腔室219具有圓柱體的側壁與底部。然而沉積腔室219並不限於圓柱 體的形狀,而可為任何其他合適形狀如中空方管、八面體、或類似物。此外,外殼233可圍繞沉積腔室219,且外殼233之組成材料對多種製程材料而言為鈍性。如此一來,外殼233可為承受沉積製程中的化學品與壓力的任何合適材料。在一實施例中,外殼233可為鋼、不鏽鋼、鎳、鋁、上述之合金、上述之組合、或類似物。
在沉積腔室219中,基板101可置於固定平台235上,以在沉積製程中放置並控制基板101。固定平台235可包含加熱機件,以在沉積製程中加熱基板101。此外,雖然第2A圖中只有單一固定平台235,但沉積腔室219中可額外包含任何數目的固定平台235。
此外,沉積腔室219與固定平台235可為群集式工具系統(未圖示)的一部份。群集式工具系統可與自動處理系統相連,在沉積製程前可先將基板101置於沉積腔室219中,在沉積製程中放置與固定基板101,且在沉積製程後自沉積腔室219移出基板101。
沉積腔室219亦可具有排氣口237,使沉積腔室219排出氣體。真空泵239可連接至沉積腔室219的排氣口237以利排出氣體。真空泵239在控制單元227的控制下,亦可用以降低並控制沉積腔室219中的壓力至所需壓力,且可自沉積腔室219排除前驅物材料以準備導入新的前驅物材料。
第2B圖係一實施例之控制單元227,其可用以控制前驅物氣體控制器225與真空泵239(如第2A圖所示)。控制單元227可為任何形式的電腦處理器,其可用於控制製程機器的工 業場合。在一實施例中,控制單元227可包含處理器單元251如桌上型電腦、工作站、筆記型電腦、或為特定用途訂製的專業單元。控制單元227可裝配顯示器253與一或多個輸入/輸出構件255如指令輸出、感測輸入、滑鼠、鍵盤、印表機、上述之組合、或類似物。處理器單元251可包含中央處理器257、記憶體259、大量資料儲存裝置261、視頻配接器263、與連接至匯流排267的輸入/輸出介面265。
匯流排267可為一或多種形式的匯流排結構,其包含記憶匯流排或記憶體控制器、周邊匯流排、或視頻匯流排。中央處理器257可包含任何種類的電子資料處理器。記憶體259可包含任何種類的系統記憶體,比如靜態隨機存取記憶體、動態隨機存取記憶體、或唯讀記憶體。大量資料儲存裝置261可包含任何種類的儲存裝置,其設置以儲存資料、程式、與其他資訊,且可經由匯流排267存取資料、程式、與其他資訊。舉例來說,大量資料儲存裝置261可包含一或多個硬碟機、磁碟機、或光碟機。
視頻配接器263與輸入/輸出介面265提供介面以耦接外部的輸入與輸出裝置至處理器單元251。如第2B圖所示,輸入與輸裝置可包含耦接至視頻配接器263的顯示器253,以及耦接至輸入/輸出介面265的輸入/輸出構件255如滑鼠、鍵盤、印表機、與類似物。其他裝置可耦接至處理器單元251,且可採用較多或較少的介面卡。舉例來說,序列介面卡(未圖示)可提供用於印表機的序列界面。處理器單元251亦可包含網路介面269,其可為連接至局部區域網路或廣域網路271的有線連結 及/或無線連結。
應注意的是控制單元227可包含其他構件。舉例來說,控制單元227可包含電源、纜線、主機板、可動儲存媒介、外殼、與類似物。其他構件雖未圖示於第2B圖中,但可視作部份的控制單元227。
回到第2A圖並參考第2D圖,一實施例形成第一層203的步驟,可先將第一前驅物材料置入第一前驅物輸送系統211中。舉例來說,一實施例中的第一層203為氮化矽,且置入第一前驅物輸送系統211中的第一前驅物材料可為六氯二矽烷(SiCl6)。然而本技術領域中具有通常知識者應理解,此前驅物並非形成氮化矽層的唯一前驅物,且六氯二矽烷並非用以侷限此實施例。用以形成氮化矽層的前驅物材料可為任何合適態(固態、液態、或氣態)中的任何合適前驅物材料如二氯矽烷,或用以形成其他材料層的任何其他前驅物。
此外,可將第二前驅物材料置入第二前驅物輸送系統213中。在一實施例中,第一層203所需的材料為氮化矽,而第二前驅物材料可含氮以與第一前驅物材料反應以形成單層的氮化矽。舉例來說,一實施例之第一前驅物材料採用六氯二矽烷,而置入第二前驅物輸送系統213中的第二前驅物材料可採用氨。然而,氨作為第二前驅物材料的例子並非用以侷限此實施例,且第二前驅物材料可採用任何其他合適的前驅物材料如氮或類似物。
一旦第一前驅物材料與第二前驅物材料分別置入第一前驅物輸送系統211與第二前驅物輸送系統213中,控制系 統227可發送指令至前驅物氣體控制器225,以連接第一前驅物輸送系統211至沉積腔室219,可開始形成第一層203。一旦完成上述連接,第一前驅物輸送系統211可經由前驅物氣體控制器225與歧管229輸送第一前驅物材料(如六氯二矽烷)至噴頭231。噴頭231接著可分散第一前驅物材料至沉積腔室219中,其中閘極堆疊117與鰭狀物107的暴露表面可吸附第一前驅物材料並與其反應。
在形成氮化矽的實施例中,流至沉積腔室219中的第一前驅物材料的流速可介於約0.1slm與約3slm之間,其每一循環為約12秒。此外,沉積腔室219的壓力可維持在介於約0.1torr至約5torr之間(比如約0.5torr),且溫度可維持在介於約570℃至約650℃之間。然而本技術領域中具有通常知識者應理解,這些製程條件僅用以說明,且任何可用的合適製程條件仍屬此實施例的範疇。
一旦閘極堆疊117與鰭狀物107的表面上吸附第一前驅物材料,其暴露表面上的開放活性點位可與第一前驅物材料反應。然而一旦暴露表面上的所有開放活性點位均與第一前驅物材料反應,則無更多開放活性位點可與第一前驅物材料鍵結(即反應停止)。上述限制使第一前驅物材料與閘極堆疊117與鰭狀物107的暴露表面的反應為自我限制反應,即第一前驅物反應形成單層在閘極堆疊117與鰭狀物107的表面上,這可讓第一層203的厚度具有更精準的控制。
在自我限制的反應完成後,可清除沉積腔室219中的第一前驅物材料。舉例來說,控制單元227可發送指令至前 驅物氣體控制器225,以斷開第一前驅物輸送系統211(含有需自沉積腔室219清除的第一前驅物材料),並連接清除氣體輸送系統241以輸送清除氣體至沉積腔室219。在一實施例中,清除氣體輸送系統241可為氣體槽或其他設備,以提供淨化氣體如氮、氬、氙、或其他對沉積腔室219而言屬非反應性的氣體。此外,控制單元227亦可開啟真空泵239以施加壓力差至沉積腔室219,有助於移除第一前驅物材料。清除氣體搭配真空泵239,可自沉積腔室219清除第一前驅物材料,且上述清除步驟可歷時約3秒。
在清除第一前驅物材料的步驟完成後,可將第二前驅物材料(如氨)導入沉積腔室219中,其可由控制單元227先發送指令至前驅物氣體控制器225以斷開清除氣體輸送系統241,並連接第二前驅物輸送系統213(含有第二前驅物材料)至沉積腔室219。一旦完成上述連接,第二前驅物輸送系統213可輸送第二前驅物材料至噴頭231。噴頭231接著可分散第二前驅物材料至沉積腔室219中,其中閘極堆疊117與鰭狀物107的暴露表面可吸附第二前驅物材料,且第二前驅物材料可與第一前驅物材料進行另一自我限制的反應,以形成單層的所需材料如氮化矽於閘極堆疊117與鰭狀物107的暴露表面上。在一特定實施例中,上述反應如式(1)所示。
3Si2Cl6+26NH3→2Si3N4+18NH4Cl+3H2 (1)
在以六氯二矽烷形成氮化矽的上述實施例中,導入沉積腔室219中的氨流速可介於約1slm至約10slm之間(比如約4.5slm),且導入氨的時間可為約30秒。此外,沉積腔室219 的壓力可維持在介於約1torr至約10torr之間(比如約6.98torr),且溫度可維持在介於約570℃至約650℃之間。然而本技術領域中具有通常知識者應理解,這些製程條件僅用以說明,且導入第二前驅物材料的任何合適製程條件仍屬此實施例的範疇。
在形成單層的所需材料如氮化矽後,可採用來自清除氣體輸送系統241的清除氣體清除沉積腔室219約3秒,並保留單層的所需材料於閘極堆疊117與鰭狀物107的暴露表面上。在清除沉積腔室219後,即完成所需材料的形成步驟其第一循環,且可開始與第一循環類似的第二循環。舉例來說,可重複下述循環:導入第一前驅物材料、以清除氣體清除、導入第二前驅物、並以清除氣體清除。這些循環可重複至第一層203的第一厚度T1達到約10Å至約40Å之間(比如約2nm)為止。
然而本技術領域中具有通常知識者應理解,形成第一層203的上述製程僅用以說明而非侷限此實施例。任何合適製程如開始導入第二前驅物材料如氨、以清除氣體清除、導入第一前驅物材料如六氯二矽烷、以及以清除氣體清除的第一循環,以及重複第一循環均可用以形成第一層203。用以形成第一層203的上述循環與其他合適的製程,均包含於此實施例的範疇中。
一旦形成具有所需厚度的第一層203,可在相同的沉積腔室219中臨場形成第二層205於第一層203上,而不需暴露至大氣。在一實施例中,在將第一前驅物置入第一前驅物輸送系統211,以及將第二前驅物置入第二前驅物輸送系統213中之後,可將第三前驅物置入第三前驅物輸送系統215中,並將 第四前驅物置入第四前驅物輸送系統217中,以開始形成第二層205。舉例來說,一實施例之第二層205為SiOCN,第三前驅物材料與第四前驅物材料,可用以與第一前驅物材料與第二前驅物材料形成第二層205的材料如SiOCN。在一特定實施例中,第一前驅物材料為Si2Cl6且第二前驅物材料為氨,且置入第三前驅物輸送系統215中的第三前驅物材料可為氧。然而本技術領域中具有通常知識者應理解,此前驅物並非可用以形成SiOCN層的唯一前驅物,且氧並非用以侷限此實施例。用以形成SiOCN層的前驅物材料,可為任何合適態(固態、液態、或氣態)中的任何合適前驅物材料如臭氧或任何其他前驅物。
此外,第四前驅物材料可置入第四前驅物輸送系統217中。在第二層205所需的材料為SiOCN的實施例中,第四前驅物材料可含碳,以與第一前驅物材料、第二前驅物材料、及第三前驅物材料反應形成單層的SiOCN。舉例來說,在第一前驅物材料為Si2Cl6、第二前驅物材料為氨、且第三前驅物材料為氧的實施例中,置入第四前驅物輸送系統217中的第四前驅物材料可採用丙烯。然而丙烯並非用以侷限此實施例之第四前驅物材料,且可採用任何其他合適的前驅物材料、其組合、或類似物作為第四前驅物材料。
一旦將第三前驅物材料與第四前驅物材料分別置入第三前驅物輸送系統215與第四前驅物輸送系統217中,控制系統227可發送指令至前驅物氣體控制器225,以連接第一前驅物輸送系統211至沉積腔室219,可開始形成第二層205。一旦完成上述連接,第一前驅物輸送系統211可經由前驅物氣體控 制器225與歧管229輸送第一前驅物材料(如六氯二矽烷)至噴頭231。噴頭231接著可分散第一前驅物材料至沉積腔室219中,其中第一層203的暴露表面可吸附第一前驅物材料並與其反應。
在形成SiOCN的實施例中,流至沉積腔室219中的第一前驅物材料的流速可介於約0.1slm與約0.6slm之間,其每一循環為約20秒。此外,沉積腔室219的壓力可維持在介於約0.2torr至約1torr之間(比如約0.825torr)。形成第二層205的溫度可與形成第一層203的溫度相同,即維持在介於約570℃至約650℃之間。然而本技術領域中具有通常知識者應理解,這些製程條件僅用以說明,且任何可用的合適製程條件仍屬此實施例的範疇。
一旦第一層203的表面上吸附第一前驅物材料,其暴露表面上的開放活性點位可與第一前驅物材料反應。然而一旦暴露表面上的所有開放活性點位均與第一前驅物材料反應,則無更多開放活性位點可與第一前驅物材料鍵結(即反應停止)。上述限制使第一前驅物材料與第一層203的暴露表面的反應為自我限制反應,即第一前驅物反應形成單層在第一層203的表面上,這可讓第二層205的厚度具有更精準的控制。
在自我限制的反應完成後,可清除沉積腔室219中的第一前驅物材料。舉例來說,控制單元227可發送指令至前驅物氣體控制器225,以斷開第一前驅物輸送系統211(含有需自沉積腔室219清除的第一前驅物材料),並連接清除氣體輸送系統241以輸送清除氣體至沉積腔室219。清除氣體搭配真空泵 239,可自沉積腔室219清除第一前驅物材料,且上述清除步驟可歷時約3秒。
在清除第一前驅物材料的步驟完成後,可將第三前驅物材料(如氧)導入沉積腔室219中,其可由控制單元227先發送指令至前驅物氣體控制器225以斷開清除氣體輸送系統241,並連接第三前驅物輸送系統215(含有第三前驅物材料)至沉積腔室219。一旦完成上述連接,第三前驅物輸送系統215可輸送第三前驅物材料至噴頭231。噴頭231接著可分散第三前驅物材料至沉積腔室219中,其中第一層203的暴露表面可吸附第三前驅物材料,且第一層203之暴露表面上的第三前驅物材料可與第一前驅物材料進行另一自我限制的反應。
在以六氯二矽烷、氧、丙烯、與氨形成SiOCN層的上述實施例中,導入沉積腔室219中的氧流速可介於約1slm至約10slm之間(比如約5slm),且導入氧的時間可為約14秒。此外,沉積腔室219的壓力可維持在約1torr至約10torr之間(比如約9torr),且溫度可維持在約570℃至約650℃之間。然而本技術領域中具有通常知識者應理解,上述製程條件僅用以說明,且導入氧的任何合適製程條件均屬此實施例的範疇。
在自我限制的反應完成後,可清除沉積腔室219中的第三前驅物材料。舉例來說,控制單元227可發送指令至前驅物氣體控制器225,以斷開第三前驅物輸送系統215(含有需自沉積腔室219清除的第三前驅物材料),並連接清除氣體輸送系統241以輸送清除氣體至沉積腔室219。清除氣體搭配真空泵239,可自沉積腔室219清除第三前驅物材料,且上述清除步驟 可歷時約3秒。
在清除第三前驅物材料的步驟完成後,可將第四前驅物材料(如丙烯)導入沉積腔室219中,其可由控制單元227先發送指令至前驅物氣體控制器225以斷開清除氣體輸送系統241,並連接第四前驅物輸送系統217(含有第四前驅物材料)至沉積腔室219。一旦完成上述連接,第四前驅物輸送系統217可輸送第四前驅物材料至噴頭231。噴頭231接著可分散第四前驅物材料至沉積腔室219中,其中第一層203的暴露表面可吸附第四前驅物材料,且第一層203之暴露表面上的第四前驅物材料可與第一前驅物材料與第三前驅物材料的反應產物進行另一自我限制的反應。
在以六氯二矽烷、氧、丙烯、與氨形成SiOCN層的上述實施例中,導入沉積腔室219中的丙烯流速可介於約1slm至約10slm之間(比如約5.5slm),且導入丙烯的時間可為約60秒。此外,沉積腔室219的壓力可維持在約10torr至約50torr之間(比如約34.87torr),且溫度可維持在約570℃至約650℃之間。然而本技術領域中具有通常知識者應理解,上述製程條件僅用以說明,且導入丙烯的任何合適製程條件均屬此實施例的範疇。
在自我限制的反應完成後,可清除沉積腔室219中的第四前驅物材料。舉例來說,控制單元227可發送指令至前驅物氣體控制器225,以斷開第四前驅物輸送系統217(含有需自沉積腔室219清除的第四前驅物材料),並連接清除氣體輸送系統241以輸送清除氣體至沉積腔室219。清除氣體搭配真空泵 239,可自沉積腔室219清除第四前驅物材料,且上述清除步驟可歷時約3秒。
在清除第四前驅物材料的步驟完成後,可將第二前驅物材料(如氨)導入沉積腔室219中,其可由控制單元227先發送指令至前驅物氣體控制器225以斷開清除氣體輸送系統241,並連接第二前驅物輸送系統213(含有第二前驅物材料)至沉積腔室219。一旦完成上述連接,第二前驅物輸送系統213可輸送第二前驅物材料至噴頭231。噴頭231接著可分散第二前驅物材料至沉積腔室219中,其中第一層203的暴露表面可吸附第二前驅物材料,且第一層203之暴露表面上的第二前驅物材料可與第一前驅物材料、第三前驅物材料、與第四前驅物材料的反應產物進行另一自我限制的反應,以形成單層的所需材料如SiOCN於第一層203的暴露表面上。在一特定實施例中,上述單層的形成方法如式2所示。
Si2Cl6+O2+C3H6+NH3→SiwOxCyNz (2)
在以六氯二矽烷、氧、丙烯、與氨形成SiOCN層的上述實施例中,導入沉積腔室219中的氨流速可介於約1slm至約10slm之間(比如約4.5slm),且導入氨的時間可為約18秒。此外,沉積腔室219的壓力可維持在約1torr至約10torr之間(比如約6.98torr),且溫度可維持在約570℃至約650℃之間。然而本技術領域中具有通常知識者應理解,上述製程條件僅用以說明,且導入氨的任何合適製程條件均屬此實施例的範疇。
在形成單層的所需材料如SiOCN後,可採用來自清除氣體輸送系統241的清除氣體清除沉積腔室219約3秒,並保 留單層的所需材料於第一層203的暴露表面上。在清除沉積腔室219後,即完成所需材料的形成步驟其第一循環,且可開始與第一循環類似的第二循環。舉例來說,可重複下述循環:導入第一前驅物材料、以清除氣體清除、導入第三前驅物、以清除氣體清除、導入第四前驅物、以清除氣體清除、導入第二前驅物、並以清除氣體清除。這些循環可重複至第二層205的第二厚度T2達到約10Å至約40Å之間(比如約2nm)為止。
然而本技術領域中具有通常知識者應理解,形成第二層205的上述製程僅用以說明而非侷限此實施例。任何合適製程如開始導入第二前驅物材料如氨、以清除氣體清除、導入第一前驅物材料如六氯二矽烷、以清除氣體清除、導入第三前驅物材料、以清除氣體清除、導入第四前驅物材料、以及以清除氣體清除的第一循環,以及重複第一循環均可用以形成第二層205。用以形成第一層205的上述循環與任何其他合適的製程,均包含於此實施例的範疇中。
第3A與3B圖係移除循環的開始,移除循環形成第一調整層303於第二層205中,接著在移除製程中移除第一調整層303。第3B圖係第3A圖中的鰭狀物107沿著線段B-B'的剖視圖。在一實施例中,第一調整層303的形成方法可採用第一佈植301,其佈植蝕刻調整劑至第二層205中以調整第二層205的材料如SiOCN。在一實施例中,蝕刻調整劑的佈植係設計以調整第二層205之材料的蝕刻特性,使第二層205的蝕刻特性接近第一層203的蝕刻特性。在特定實施例中,第二層205為SiOCN,而蝕刻調整劑為元素如氮,不過亦可採用任何其他合 適材料。
在一實施例中,第一佈植301可為離子佈植製程,使所需的蝕刻調整劑之離子朝第二層205加速。離子佈植製程可採用加速系統加速蝕刻調整劑的離子,其加速能量可介於約0.2keV至約1.5keV之間(比如約0.7keV)。
此外,為了沿著所需表面佈植蝕刻調整劑,第一佈植301的佈植角度持續變化。在一實施例中,第一佈植301其起始的第一角度α1介於約30°至約42°之間(比如約30°)。一旦開始佈植,第一佈植301的佈植角度的變化速率可介於約1/秒至約10°/秒之間(比如約2°/秒),直到第一佈植301將蝕刻調整劑佈植到第二層205的相反側壁中。在一實施例中,持續改變佈植角度,直到第一佈植301佈植蝕刻調整劑至第二層205的第二角度α2介於約30°至約42°之間(比如約42°)。然而,上述佈植可採用任何合適角度。
以第一佈植301將蝕刻調整劑佈植到第二層205中,可形成第一調整層303至第二層205中。在一實施例中,第一調整層303之蝕刻調整劑濃度介於約1E15/cm3至約1E16/cm3之間(比如約5E15/cm3),但亦可採用任何合適濃度。此外,第一調整層303的第三厚度T3可介於約2nm至約4nm之間(比如約4nm)。然而第一調整層303可具有任何合適厚度。
然而當第一佈植301將蝕刻調整劑佈植至第二層205的三個表面(上表面與兩個側表面)時,第一佈植301並未將蝕刻調整劑佈植至其他表面(或只附帶地佈植其他表面)。舉例來說,第一佈植301不會佈植第二層205其面向平行於鰭狀物 107之縱軸的方向之表面。
第4圖顯示一旦形成第一調整層303於第二層205中,可移除第一調整層303。在一實施例中,第一調整層303的移除方法可採用蝕刻製程401如濕蝕刻,其蝕刻品對調整層(如摻雜氮的SiOCN)的材料具有選擇性。在特定實施例中,蝕刻品可為磷酸,但亦可採用任何合適蝕刻品如稀釋氫氟酸(1:500的稀釋比)。
在一實施例中,蝕刻製程401為濕蝕刻,其操作溫度介於約80℃至約200℃之間(比如約160℃)。此外,濕蝕刻可歷時約10秒至約60秒之間(比如約20秒)。然而上述蝕刻製程可採用任何合適參數。
此外,由於未直接佈植第二層205的側壁(與閘極材料111相鄰並直接位於鰭狀物107上),亦不具有蝕刻調整劑或只具有少量蝕刻調整劑,移除所有第一調整層303的濕蝕刻製程只移除最少量的上述第二層205的側壁(與閘極材料111相鄰並直接位於鰭狀物107上)。上述第二層205的側壁(與閘極材料111相鄰並直接位於鰭狀物107上)之後將轉變為間隔物703。
如第5A與5B圖所示,一旦進行第一佈植301(見第3A與3B圖)以形成第一調整層303,進行蝕刻製程401(見第4圖)以移除第一調整層303,上述移除製程可重複一或多次以持續移除第二層205並自鰭狀物107的表面上移除第一層203,並完全露出鰭狀物107以進行後續製程。第5B圖係第5A圖之鰭狀物107沿著線段B-B'的剖視圖。在一實施例中,第一佈植301形成調整區以及蝕刻製程移除調整區的循環,可額外進行一或兩次 (在第3A至4圖之第一循環後),每一循環可移除約10Å至約30Å(比如約20Å)的第二層205或第一層203。
一旦完成最後一次的循環,自鰭狀物107移除第一層203的最後部份,可視情況進行最終的額外移除製程,以確保移除第一層(如氮化矽)的殘留材料,使鰭狀物107準備好進行額外製程。在一實施例中,額外移除製程可包含重複之前用以移除調整層的蝕刻製程。舉例來說,額外移除製程可為採用磷酸且歷時約20秒的濕蝕刻製程,但亦可採用任何合適的移除製程。
在另一實施例中,移除第一層203的方法可不採用第一佈植301。在此實施例中,一旦移除第二層205如前述,則以濕蝕刻製程(如採用磷酸的濕蝕刻)完全移除第一層203的材料如氮化矽。然而移除第一層203的方法可採用任何合適製程。
採用第一層203與第二層205的雙層結構之鰭狀物107的側壁,比移除第二層205之單層材料後的鰭狀物側壁乾淨,並可縮短整體的製程時間。舉例來說,若採用8nm的SiOCN層,採用0.7keV的功率、5E15的濃度、以及介於30°至42°的斜角進行佈植12次,並以磷酸重複20秒的移除製程4次,而沿著鰭狀物107之側壁上的殘餘物尺寸可介於約2.8nm至3.2nm之間。然而在上述實施例中,若採用1nm的氮化矽層與7nm的SiOCN層,採用0.7keV的功率、5E15的濃度、以及介於30°至38°的斜角進行佈植12次,以磷酸重複20秒的移除製程3次,接著進行磷酸的清潔蝕刻35秒,而殘餘物尺寸小於1nm(比如介於約0.89nm至0.99nm之間)。
此外,由於第一層203直接與閘極材料111相鄰,第一層203的材料如氮化矽的高品質有助於避免佈植與蝕刻損傷鰭狀物107的側壁,並有助於降低在移除凹陷的多晶閘極層間氧化物時的內膜損失。最後,上述雙層結構可讓鰭狀物側壁內縮的蝕刻速率改良50%。
第6A與6B圖係採用雙層結構的結果之測試資料。在第6A圖中,四種不同材料的總移除量如下:(1)單層的SiOCN摻雜氮;(2)單層的SiOCN未摻雜氮;(3)氮化矽與SiOCN的雙層結構,其中SiOCN已佈植;以及(4)氮化矽與SiOCN的雙層結構,其中SiOCN未佈植。如第6A圖所示,氮化矽與已佈植的SiOCN之雙層結構在採用磷酸進行第一蝕刻20秒後,可移除19.82Å。上述移除量大於摻雜的單層SiOCN之移除量(16.06Å)、單層的SiOCN之移除量(1.92Å)、以及氮化矽與未摻雜之SiOCN的雙層結構之移除量(2.65Å)。
此外,氮化矽與已佈植的SiOCN之雙層結構在採用磷酸進行第二濕蝕刻20秒後,可移除17.47Å。上述移除量大於摻雜的單層SiOCN之移除量(8.4Å)、單層的SiOCN之移除量(2.12Å)、以及氮化矽與未摻雜之SiOCN的雙層結構之移除量(1.33Å)。由上述可知,材料的移除速率可改善超過50%。
第6B圖為(1)佈植之8nm的SiOCN層與(2)1nm的氮化矽層與佈植之7nm的SiOCN層的比較。這些資料顯示移除SiOCN層或移除SiOCN層及氮化矽層後的鰭狀物107。如第6B圖所示,由於沒有碳訊號,應自鰭狀物107移除SiOCN,而氮的存在可能來自於佈植。然而單層的SiOCN(無氮化矽層)具有 較高的氧峰值,這可能是來自氮佈植的額外損傷。最後,矽在99eV的單一峰值指的是Si-Si鍵,指的是任何磊晶成長都可提供較佳品質的材料。
第7A與7B圖顯示一旦形成間隔物703,可成長源極/汲極區701於鰭狀物107上。在一實施例中,可成長源極/汲極區701。在一些實施例中,可成長源極/汲極區701如SiGeB以形成應力源,其可施加應力至閘極堆疊117下方的鰭狀物107之通道區。在一實施例中,鰭狀物包含矽,鰭狀場效電晶體為p型裝置,且可經由選擇性磊晶製程成長源極/汲極區701的材料如矽或其他材料如矽鍺(與通道區的晶格常數不同)。磊晶成長製程可採用前驅物如矽烷、二氯矽烷、鍺烷、或類似物,且可歷時約5分鐘至約120分鐘之間(比如約30分鐘)。
一旦形成源極/汲極區701,可將適當摻質佈植至源極/汲極區701,以補充摻質至鰭狀物107中。舉例來說,可佈植p型摻質如硼、鎵、銦、或類似物以形成p型金氧半裝置。在其他實施例中,可佈植n型摻質如磷、砷、銻、或類似物以形成n型金氧半裝置。在佈植這些摻質時,閘極堆疊117與間隔物703可作為遮罩。應注意的是,本技術領域中具有通常知識者應理解可採用許多其他製程、步驟、或類似方法以佈植摻質。舉例來說,本技術領域中具有通常知識者應理解多種佈植可採用間隔物與襯墊物的多種組合,使源極/汲極區具有特定形狀或性質以適用於特定目的。任何上述製程可用以佈植摻質,且這些內容並非用以侷限本發明至上述步驟。
第7B圖顯示特定實施例中,採用氮化矽(1nm)與佈 植氮的SiOCN(7nm)的雙層結構。在此實施例中,以濕蝕刻製程移除雙層的氮化矽與佈植的SiOCN,且濕蝕刻製程採用的蝕刻品可為磷酸。一旦完成濕蝕刻製程,接著進行乾蝕刻製程(比如採用NF3與NH3)修整鰭狀物並清除鰭狀物側壁表面上的殘餘物,以準備進行後續的磊晶製程。採用雙層結構的作法,可改良磊晶的矽鍺或矽磷的品質。此外,隨著清潔鰭狀物107的側壁,可減少殘餘物的量以達較佳的磊晶輪廓,即讓整體製程具有較佳控制。
此外,可在形成源極/汲極區701後進行額外製程。在一些實施例中,可形成矽化物如矽鍺或矽磷於源極/汲極區701上,可形成層間介電物(未分開圖示)於源極/汲極區701上,且可進行閘極置換製程(比如移除閘極材料111並置換為另一導電材料)。可進行任何合適的額外製程,且這些額外製程均包含於此實施例的範疇中。
在一實施例中,半導體裝置的形成方法包括:形成半導體鰭狀物於半導體基板上;以及形成閘極堆疊於部份的半導體鰭狀物上。形成間隔物材料於半導體鰭狀物與閘極堆疊上,其中形成間隔物材料的步驟更包括:採用原子層沉積以沉積第一材料於半導體鰭狀物上;以及採用原子層沉積以沉積第二材料於第一材料上,其中第二材料與第一材料不同。自半導體鰭狀物移除間隔物材料,其中移除間隔物材料之步驟更包括:佈植蝕刻調整劑至間隔物材料中,以形成調整的間隔物材料;以及移除調整的間隔物材料。
在另一實施例中,半導體裝置的形成方法包括: 直接沉積第一間隔物材料於半導體鰭狀物上,以及直接沉積第二間隔物材料於第一間隔物材料上,以形成雙層的間隔物材料。採用第一循環移除雙層的間隔物材料之第一部份,其中第一循環包括:佈植蝕刻調整劑至雙層的間隔物材料中;以及在佈植蝕刻調整劑後,濕蝕刻雙層的間隔物材料。移除雙層的間隔物材料之第二部份,其中移除雙層的間隔物材料之第二部份的步驟包括重複第一循環一或多次。
在又一實施例中,半導體裝置的形成方法包括:沉積氮化矽以直接接觸半導體鰭狀物;以及沉積氮碳氧化矽以直接接觸氮化矽。佈植氮至氮碳氧化矽中;以及以一或多道濕蝕刻移除氮碳氧化矽與氮化矽。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。

Claims (14)

  1. 一種半導體裝置的形成方法,包括:形成一半導體鰭狀物於一半導體基板上;形成一閘極堆疊於部份的該半導體鰭狀物上;以及形成一間隔物材料於該半導體鰭狀物與該閘極堆疊上,其中形成該間隔物材料的步驟更包括:採用原子層沉積以沉積一第一材料於該半導體鰭狀物上;採用原子層沉積以沉積一第二材料於該第一材料上,其中該第二材料與該第一材料不同;以及自該半導體鰭狀物移除該間隔物材料,其中移除該間隔物材料之步驟更包括:佈植一蝕刻調整劑至該間隔物材料中,以形成一第一調整的間隔物材料;移除該第一調整的間隔物材料;在移除該第一調整的間隔物材料後,佈植該蝕刻調整劑至該間隔物材料以形成一第二調整的間隔物材料;以及移除該第二調整的間隔物材料。
  2. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中該第一材料為氮化矽。
  3. 如申請專利範圍第1或2項所述之半導體裝置的形成方法,其中該第二材料為SiOCN。
  4. 如申請專利範圍第3項所述之半導體裝置的形成方法,其中該蝕刻調整劑為氮。
  5. 如申請專利範圍第4項所述之半導體裝置的形成方法,其中移除該第一調整的間隔物材料之步驟包含以磷酸進行至少部份的濕蝕刻。
  6. 一種半導體裝置的形成方法,包括:直接沉積一第一間隔物材料於一半導體鰭狀物上;直接沉積一第二間隔物材料於該第一間隔物材料上,以形成雙層的間隔物材料;以及採用一第一循環移除雙層的間隔物材料之第一部份,其中該第一循環包括:佈植一蝕刻調整劑至雙層的間隔物材料中;在佈植該蝕刻調整劑後,濕蝕刻雙層的間隔物材料;以及移除雙層的間隔物材料之第二部份,其中移除雙層的間隔物材料之第二部份的步驟包括重複該第一循環一或多次。
  7. 如申請專利範圍第6項所述之半導體裝置的形成方法,其中沉積該第一間隔物材料之步驟至少包括採用一第一前驅物進行原子層沉積,而沉積該第二間隔物材料之步驟至少包括採用該第一前驅物進行原子層沉積。
  8. 如申請專利範圍第7項所述之半導體裝置的形成方法,其中該第一前驅物為六氯二矽烷。
  9. 如申請專利範圍第8項所述之半導體裝置的形成方法,其中沉積該第一間隔物材料與該第二間隔物材料之步驟,彼此為臨場進行。
  10. 如申請專利範圍第6或7項所述之半導體裝置的形成方法,其中該第一循環的重複次數為至少兩次。
  11. 如申請專利範圍第10項所述之半導體裝置的形成方法,更包括在重複該第一循環後進行一清潔蝕刻,其中該清潔蝕刻採用一第一蝕刻品,且該濕蝕刻採用該第一蝕刻品。
  12. 一種半導體裝置的形成方法,包括:沉積氮化矽以直接接觸一半導體鰭狀物;沉積氮碳氧化矽以直接接觸氮化矽;佈植氮至氮碳氧化矽中,以形成一第一調整層;蝕刻移除氮碳氧化矽的該第一調整層,從而留下氮碳氧化矽的一剩餘部分於氮化矽上;佈植氮至氮碳氧化矽的該剩餘部分,以形成一第二調整層;以及以一或多道濕蝕刻移除氮碳氧化矽的該第二調整層與氮化矽。
  13. 如申請專利範圍第12項所述之半導體裝置的形成方法,其中佈植氮的步驟為移動式佈植製程。
  14. 如申請專利範圍第12或13項所述之半導體裝置的形成方法,更包括成長一源極/汲極區於移除氮碳氧化矽與氮化矽所露出的表面上。
TW106122200A 2016-11-29 2017-07-03 半導體裝置的形成方法 TWI671807B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662427588P 2016-11-29 2016-11-29
US62/427,588 2016-11-29
US15/404,772 2017-01-12
US15/404,772 US10211318B2 (en) 2016-11-29 2017-01-12 Semiconductor device and method of manufacture

Publications (2)

Publication Number Publication Date
TW201830493A TW201830493A (zh) 2018-08-16
TWI671807B true TWI671807B (zh) 2019-09-11

Family

ID=62117544

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106122200A TWI671807B (zh) 2016-11-29 2017-07-03 半導體裝置的形成方法

Country Status (5)

Country Link
US (2) US10211318B2 (zh)
KR (1) KR102030243B1 (zh)
CN (1) CN108122770B (zh)
DE (1) DE102017117798A1 (zh)
TW (1) TWI671807B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11152268B2 (en) * 2018-03-20 2021-10-19 Tokyo Electron Limited Platform and method of operating for integrated end-to-end area-selective deposition process
US10930767B2 (en) * 2018-07-16 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-like field effect transistor patterning methods for achieving fin width uniformity
CN110047749B (zh) * 2019-03-21 2020-12-18 中国电子科技集团公司第五十五研究所 一种射频ldmos平坦化工艺中氮化硅的去除方法
DE102020114867A1 (de) 2020-01-29 2021-07-29 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren
US11430865B2 (en) * 2020-01-29 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11398384B2 (en) 2020-02-11 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for manufacturing a transistor gate by non-directional implantation of impurities in a gate spacer

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140131801A1 (en) * 2009-11-03 2014-05-15 International Business Machines Corporation Finfet spacer formation by oriented implantation
US20160284837A1 (en) * 2015-03-24 2016-09-29 Jinbum Kim Semiconductor device having stressor and method of fabricating the same

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060094194A1 (en) * 2004-11-04 2006-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced disposable spacer process by low-temperature high-stress nitride film for sub-90NM CMOS technology
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
FR3000601B1 (fr) * 2012-12-28 2016-12-09 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
JP6024484B2 (ja) * 2013-01-29 2016-11-16 東京エレクトロン株式会社 成膜方法及び成膜装置
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US9293534B2 (en) * 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US9171736B2 (en) 2014-03-03 2015-10-27 Tokyo Electron Limited Spacer material modification to improve K-value and etch properties
US9337316B2 (en) 2014-05-05 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for FinFET device
US9935199B2 (en) * 2016-01-15 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure
US9876098B2 (en) * 2016-01-15 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a gate spacer

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140131801A1 (en) * 2009-11-03 2014-05-15 International Business Machines Corporation Finfet spacer formation by oriented implantation
US20160284837A1 (en) * 2015-03-24 2016-09-29 Jinbum Kim Semiconductor device having stressor and method of fabricating the same

Also Published As

Publication number Publication date
US20180151699A1 (en) 2018-05-31
CN108122770A (zh) 2018-06-05
US10879377B2 (en) 2020-12-29
TW201830493A (zh) 2018-08-16
KR102030243B1 (ko) 2019-10-10
CN108122770B (zh) 2020-09-04
KR20180060955A (ko) 2018-06-07
US20190181247A1 (en) 2019-06-13
DE102017117798A1 (de) 2018-05-30
US10211318B2 (en) 2019-02-19

Similar Documents

Publication Publication Date Title
TWI671807B (zh) 半導體裝置的形成方法
TWI685021B (zh) 半導體裝置及其製造方法
KR102212805B1 (ko) 반도체 장치 및 방법
US11508849B2 (en) Semiconductor device and methods of manufacture
CN110419093B (zh) 通过改善氧化硅的成核/粘附来改善膜粗糙度的处理方法
US20210351298A1 (en) Semiconductor Device Having a Shaped Epitaxial Region
TW202205435A (zh) 半導體裝置和製造半導體裝置的方法
TW202133267A (zh) 半導體裝置的製造方法
CN110504156A (zh) 用于减少硅消耗的方法,形成半导体结构的方法,以及形成隔离结构的方法