CN110419093B - 通过改善氧化硅的成核/粘附来改善膜粗糙度的处理方法 - Google Patents

通过改善氧化硅的成核/粘附来改善膜粗糙度的处理方法 Download PDF

Info

Publication number
CN110419093B
CN110419093B CN201880017633.XA CN201880017633A CN110419093B CN 110419093 B CN110419093 B CN 110419093B CN 201880017633 A CN201880017633 A CN 201880017633A CN 110419093 B CN110419093 B CN 110419093B
Authority
CN
China
Prior art keywords
substrate
amorphous silicon
patterned
sam
silicon layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880017633.XA
Other languages
English (en)
Other versions
CN110419093A (zh
Inventor
程睿
A·B·玛里克
陈一宏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN110419093A publication Critical patent/CN110419093A/zh
Application granted granted Critical
Publication of CN110419093B publication Critical patent/CN110419093B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)

Abstract

在一个实施方式中,提供了一种在处理腔室中在基板上形成非晶硅层的方法。所述方法包括在基板上方沉积预确定的厚度的牺牲介电层。所述方法进一步包括通过去除所述牺牲介电层的部分以暴露所述基板的上表面来在所述基板上形成图案化特征。所述方法进一步包括对所述图案化特征进行等离子体处理。所述方法进一步包括在所述图案化特征以及所述基板的所述暴露上表面上沉积非晶硅层。所述方法进一步包括使用各向异性蚀刻处理选择性地从所述图案化特征的上表面和所述基板的所述上表面去除所述非晶硅层以提供填充在由所述非晶硅层形成的侧壁间隔件内的所述图案化特征。

Description

通过改善氧化硅的成核/粘附来改善膜粗糙度的处理方法
技术领域
本文所述的实施方式整体涉及集成电路的制造,并且具体地涉及间隔件的形成。
背景技术
集成电路已发展成可在单个芯片上包括数百万个晶体管、电容器和电阻器的复杂器件。芯片设计的发展一直涉及更快的电路和更高的电路密度。对具有更大的电路密度的更快的电路的需求对用来制造这种集成电路的材料提出了相应的需求。具体地,随着集成电路部件的尺寸减小到亚微米级,现在必须使用低电阻率导电材料以及低介电常数绝缘材料以从此类部件中获得合适的电气性能。然而,这些低介电常数绝缘材料通常存在粗糙度问题,这增加了随后沉积的膜中的粗糙度并可能影响膜之间的粘附。
因此,需要形成具有减小的粗糙度的薄膜的改善的方法。
发明内容
本文所述的实施方式整体涉及集成电路的制造,并且具体地涉及间隔件的形成。在一个实施方式中,提供了一种在处理腔室中在基板上形成非晶硅层的方法。所述方法包括在基板上沉积预确定的厚度的牺牲介电层。所述方法进一步包括通过去除所述牺牲介电层的部分以暴露所述基板的上表面来在所述基板上形成图案化特征。所述方法进一步包括对所述图案化特征进行等离子体处理。所述方法进一步包括在所述图案化特征以及所述基板的暴露上表面上沉积非晶硅层。所述方法进一步包括使用各向异性蚀刻处理选择性地从所述图案化特征的上表面和所述基板的所述上表面去除所述非晶硅层以提供填充在由所述非晶硅层形成的侧壁间隔件内的所述图案化特征。
在另一个实施方式中,提供了一种在处理腔室中在基板上形成非晶硅层的方法。所述方法包括在基板上沉积预确定的厚度的牺牲介电层。所述方法进一步包括通过去除所述牺牲介电层的部分以暴露所述基板的上表面来在所述基板上形成图案化特征。所述方法进一步包括在所述图案化特征上形成自组装单层(“SAM”)。所述方法进一步包括在所述SAM以及所述基板的暴露上表面上沉积非晶硅层。所述方法进一步包括使用各向异性蚀刻处理选择性地从所述图案化特征的上表面和所述基板的所述上表面去除所述非晶硅层以提供填充在由所述非晶硅层形成的侧壁间隔件内的所述图案化特征。
在又一个实施方式中,提供了一种在处理腔室中在基板上形成非晶硅层的方法。所述方法包括在基板上沉积预确定的厚度的牺牲介电层。所述方法进一步包括通过去除所述牺牲介电层的部分以暴露所述基板的上表面来在所述基板上形成图案化特征。所述方法进一步包括对所述图案化特征进行等离子体处理。所述方法进一步包括在所述等离子体处理的图案化特征上形成自组装单层(“SAM”)。所述方法进一步包括在所述SAM以及所述基板的暴露上表面上沉积非晶硅层。所述方法进一步包括使用各向异性蚀刻处理选择性地从所述图案化特征的上表面和所述基板的所述上表面去除所述非晶硅层以提供填充在由所述非晶硅层形成的侧壁间隔件内的所述图案化特征。
附图简要说明
为了能够详细地理解本公开的上述特征的方式,可以通过参考实施方式获得上面简要地概述的实施方式的更具体的描述,其中一些实施方式在附图中示出。然而,应当注意,附图仅示出了本公开的典型实施方式,因此不应视为限制本公开的范围,因为本公开可以允许其它同等有效的实施方式。
图1描绘了可以用于实践本文所述的实施方式的设备的示意图;
图2是描绘如本文所述的用于用等离子体处理形成间隔件的方法的一个实施方式的处理流程图;
图3A-3E是根据图2的处理流程图形成的结构的示意性侧视图;
图4是描绘根据本文所述的实施方式的用于间隔件形成的方法的另一个实施方式的处理流程图;
图5A-5F是根据图4的处理流程图形成的结构的示意性侧视图;以及
图6是描绘根据本文所述的实施方式的用于间隔件形成的方法的另一个实施方式的处理流程图。
为了便于理解,在可能情况下,使用相同的附图标记标示各图共有的相同要素。设想的是,一个实施方式的元素和特征可以有利地并入其它实施方式而无需进一步叙述。
具体实施方式
以下公开内容描述了膜和用于在基板上沉积间隔件膜的技术。某些细节在以下描述并在图1至图6中阐述以提供对本公开的各种实施方式的透彻理解。描述通常与沉积和蚀刻处理相关联的所熟知的结构和***的其它细节未在以下公开内容中阐述,以免不必要地模糊对各种实施方式的描述。
附图中所示的许多细节、尺寸、角度和其它特征仅是对特定实施方式的说明。因此,在不脱离本公开的精神或范围的情况下,其它实施方式可以具有其它细节、部件、尺寸、角度和特征。另外,可以在没有下面描述的若干细节的情况下实践本公开的进一步实施方式。
下面将参考可以通过使用任何合适的薄膜沉积***进行的热CVD和/或等离子体增强化学气相沉积(PECVD)处理来描述本文所述的实施方式。合适的***的示例包括可从加利福尼亚州圣克拉拉市应用材料公司(Applied Materials,Inc.of Santa Clara,Calif)购得的可使用处理腔室的/>***、PRECISION/>***、***、/>GTTM***、/>XP PrecisionTM***和SETM***。也可以采用能够执行热CVD和PECVD处理的其它工具以受益于本文所述的实施方式。另外,可以使用能够实现本文所述的热CVD和/或PECVD处理的任何***以获利。本文所述的设备描述是说明性的,并且不应当被理解或解释为限制本文所述的实施方式的范围。
在氧化物堆叠上沉积非晶硅膜期间,在非晶硅膜和下面的氧化膜之间观察到粗糙度和粘附性问题。本文所述的实施方式中的一些提供了在非晶硅沉积之前处理下面的氧化物膜的方法,这减小了在氧化物上的非晶硅膜的粗糙度以及改善非晶硅与氧化物堆叠之间的粘附/成核。在本公开的一些实施方式中,为了减小粗糙度,就在沉积非晶硅膜之前,将下面的氧化物膜暴露于等离子体处理和/或自组装单层(SAM)处理。希望不受理论束缚,但是据信,等离子体处理工艺改变存在于氧化硅表面上的悬空键以减小粗糙度并改善粘附性。
“自组装单层”(“SAM”)一般是指附着(例如,通过化学键)到表面的并相对于该表面且甚至相对于彼此采取优选的取向的分子层。SAM通常包括有组织两亲分子层,其中分子的一端,即“头基”,表现出对基板的特定的可逆的亲和力。头基的选择将取决于SAM的应用,其中SAM化合物的类型是基于所利用的基板。一般,头基连接到烷基链,其中尾部或“末端”可以被官能化,例如,以改变润湿性和界面性质。已经表明,自组装单层有足够的时间来完全地覆盖表面,从而改变表面性质。形成SAM的分子将选择性地附着到在另一种材料上方的一种材料(例如,硅对比电介质),并且如果具有足够的密度,那么可以成功地阻挡后续沉积,从而允许在未涂覆SAM的材料上选择性地沉积。
图1描绘了基板处理***132的示意图,该基板处理***132可以用于执行根据本文所述的实施方式的氧化物处理和后续的非晶硅层沉积。合适的***的示例包括可从加利福尼亚州圣克拉拉市应用材料公司购得的可使用DxZTM处理腔室的***、PRECISION/>***、PRODUCERTM***、PRODUCER GTTM和PRODUCER SETM处理腔室。设想的是,其它处理***(包括可从其它制造商获得的那些处理***)可以适于实践本文所述的实施方式。
基板处理***132包括耦接到气体面板130和控制器110的处理腔室100。处理腔室100一般包括顶壁124、侧壁101和底壁122,它们一起限定处理容积126。用于支撑基板的支撑基座150提供在处理腔室100的处理容积126中。支撑基座150由杆160支撑,并且典型地可以由铝、陶瓷和其它合适的材料制成。可以使用位移机构(未示出)在处理腔室100内在竖直方向上移动支撑基座150。
支撑基座150可以包括嵌入式加热元件170,嵌入式加热元件170适于控制支撑在支撑基座150的表面192上的基板190的温度。可以通过从电源106将电流施加到嵌入式加热元件170来进行电阻地加热支撑基座150。嵌入式加热元件170可以由封装在镍-铁-铬合金(例如,)鞘管中的镍-铬导线制成。从电源106供应的电流由控制器110调节,以控制由嵌入式加热元件170产生的热量,从而在膜沉积期间将基板190和支撑基座150维持在基本上恒定的温度。可以调整所供应的电流以选择性地将支撑基座150的温度控制在约100摄氏度至约700摄氏度之间。
诸如热电偶的温度传感器172可以嵌入支撑基座150中来以常规的方式监测支撑基座150的温度。控制器110使用测量的温度来控制提供给嵌入式加热元件170的功率,以将基板维持在期望温度。
真空泵102耦接到形成在处理腔室100的底部中的端口。真空泵102用于维持处理腔室100中的期望的气体压力。真空泵102还排空来自处理腔室100的该处理的后处理气体和副产物。
基板处理***132可以进一步包括用于控制腔室压力的附加设备,例如,定位在处理腔室100与真空泵102之间的阀(例如,节流阀和隔离阀)以控制腔室压力。
具有多个孔隙128的喷头120设置在支撑基座150上方的处理腔室100的顶部上。喷头120的孔隙128用于将处理气体引入处理腔室100中。孔隙128可以具有不同的尺寸、数量、分布、形状、设计和直径,以便于各种处理气体流动来满足不同的处理要求。喷头120连接到气体面板130,气体面板130允许各种气体在处理期间供应到处理容积126。由离开喷头120的处理气体混合物形成等离子体,以增强处理气体的热分解,从而导致材料沉积在基板190的表面191上。
喷头120和支撑基座150可以在处理容积126中形成一对间隔开的电极。一个或多个RF电源140通过匹配网络138向喷头120提供偏置电位,以便于在喷头120和支撑基座150之间产生等离子体。或者,RF电源140和匹配网络138可以耦接到喷头120、支撑基座150,或耦接到喷头120和支撑基座150,或耦接到设置在处理腔室100外的天线(未示出)。在一个实施方式中,RF电源140可以在约50kHz至约13.6MHz的频率提供约100瓦至约3,000瓦。在另一个实施方式中,RF电源140可以在约50kHz至约13.6MHz的频率提供约500瓦特与约1,800瓦特之间的功率。
控制器110包括中央处理单元(CPU)112、存储器116和支持电路114,支持电路114用于控制处理顺序并调节来自气体面板130的气流。CPU 112可以是可用于工业设置的任何形式的通用计算机处理器。软件例程可以存储在存储器116中,诸如随机存取存储器、只读存储器、软盘或硬盘驱动器,或其它形式的数字存储装置。支持电路114常规地耦接到CPU112,并且可以包括高速缓存、时钟电路、输入/输出***、电源等。控制器110与基板处理***132的各种部件之间的双向通信通过统称为信号总线118的多条信号电缆来处理,其中一些信号总线在图1中示出。
在一个实施方式中,基板190的表面191是基本上平面的。或者,基板190可以具有图案化结构,在其中形成有沟槽、孔或通孔的表面。基板190还可以具有基本上平坦的表面,该基本上平坦的表面具有在其上或其中形成的期望高度的结构。虽然基板190被示出为单个主体,但是应当理解,基板190可以含有用于形成半导体器件(诸如金属触点、沟槽隔离、栅极、位线或任何其它互连特征)的一种或多种材料。基板190可以包括用于制造半导体器件的一个或多个金属层、一种或多种介电材料、半导体材料以及以上项的组合。例如,取决于应用,基板190可以包括氧化物材料、氮化物材料、多晶硅材料等。
在需要存储器应用的一个实施方式中,基板190可以包括硅基材料、氧化物材料和氮化物材料,它们之间夹有或不夹有多晶硅。在另一个实施方式中,基板190可以包括沉积在基板(未示出)的表面上的多个交替氧化物和氮化物材料(即,氧化物-氮化物-氧化物(ONO))。在各种实施方式中,基板190可以包括多个交替氧化物和氮化物材料、一种或多种氧化物或氮化物材料、多晶硅或非晶硅材料,与非晶碳交替的氧化物、与多晶硅交替的氧化物、与掺杂硅交替的未掺杂硅、与掺杂多晶硅交替的未掺杂多晶硅、或与掺杂非晶硅交替的未掺杂非晶硅。基板可以是在其上执行膜处理的任何基板或材料表面。例如,基板190可以是诸如晶体硅、氧化硅、氮氧化硅、氮化硅、应变硅、硅锗、钨、氮化钛、掺杂或未掺杂多晶硅、掺杂或未掺杂硅晶片以及图案化或未图案化晶片、绝缘体上硅(SOI)、碳掺杂氧化硅、氮化硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石、低k电介质以及以上项的组合的材料。
图2是描绘如本文所述的用于用等离子体处理形成间隔件的方法200的一个实施方式的处理流程图。图3A-3E是根据图2的处理形成的结构的示意性侧视图。形成处理可以在有或没有等离子体的情况下进行。沉积可以通过热CVD处理或PECVD处理进行。设想的是,选择自对准双图案化处理用于说明目的。本公开的概念同样地适用于其它处理,例如,单或双图案化方案,诸如通孔/孔收缩处理、自对准三重图案化(SATP)处理或自对准四重图案化(SAQP)处理等,这些处理可能涉及在各种半导体处理(诸如NAND闪存应用、DRAM应用或CMOS应用等)中根据需要使用具有可变线宽和间距的保护性间隔件或保护性牺牲层等。
方法200在操作210处由在基板300上形成牺牲结构层320开始。基板可以类似于基板190。牺牲结构层320可以是硅基材料,诸如氧化硅、氮化硅或多晶硅。或者,牺牲结构层320可以是碳基材料,诸如非晶碳。在期望碳基牺牲结构层的情况下,牺牲结构层320可以是非晶碳和氢的组合(氢化非晶碳膜)。一种示例性非晶碳膜可以是可从加利福尼亚州圣克拉拉市应用材料公司购得的可剥离的先进图膜(Advanced Patterning FilmTM)(APF)材料。设想的是,用于牺牲结构层320的材料的选择可以根据相对于待形成在其上的保形保护层的蚀刻/灰化速率而改变。虽然未示出,但是在使用碳基牺牲结构层的某些实施方式中,可以在碳基牺牲结构层上沉积一个或多个抗反射涂层以控制在光刻图案化处理期间的光的反射。合适的抗反射涂层可以包括二氧化硅、氮氧化硅、氮化硅或以上项的组合。一个示例性抗反射涂层可以是可从加利福尼亚州圣克拉拉市应用材料公司购得的DARCTM材料。
基板300可以具有基本上平坦的表面323,如图所示。或者,基板300可以具有图案化结构,在其中形成有沟槽、孔或通孔的表面。虽然基板300被示出为单个主体,但是基板300可以包括用于形成半导体器件(诸如金属触点、沟槽隔离、栅极、位线或任何其它互连特征)的一种或多种材料。在一个实施方式中,基板300可以包括用于制造半导体器件的一个或多个金属层、一种或多种介电材料、半导体材料以及以上项的组合。例如,取决于应用,基板300可以包括氧化物材料、氮化物材料、多晶硅材料等。在需要存储器应用的情况下,基板300可以包括硅基板材料、氧化物材料和氮化物材料,它们之间夹有或不夹有多晶硅。
在操作220处,在牺牲结构层320上沉积抗蚀层330,诸如光刻胶材料,如图3A所示。
在操作230处,使用标准光刻和蚀刻技术在基板300上产生由牺牲结构层320形成的图案化特征321,如图3B所示。图案化特征可以由任何合适的材料形成,例如氧化物,诸如二氧化硅、氮氧化硅,或氮化物,诸如氮化硅。图案化特征有时被称为定位符、心轴或芯,并且基于所使用的光刻胶材料而具有特定线宽和/或间距。可以通过对抗蚀层330进行修整处理来调整图案化特征321的宽度。在已经将图案转移到牺牲结构层320中之后,使用合适的光刻胶剥离处理去除任何残余的光刻胶和硬掩模材料(如果使用了的话)。
在操作240处,可以对牺牲结构层320执行等离子体处理。希望不受理论束缚,但是据信等离子体处理工艺减小牺牲结构层320的表面粗糙度。在一个实施方式中,在形成牺牲结构层的同一个腔室中进行等离子体处理。在一个实施方式中,等离子体处理在形成非晶硅层的同一个腔室中进行。或者,等离子体处理可以在不同等离子体处理腔室中进行。
根据本公开的一个实施方式,可以通过将在基板上形成有牺牲结构层的基板定位在等离子体处理腔室(诸如图1的等离子体腔室)中以使处理气体流到等离子体处理腔室并在等离子体处理腔室中撞击等离子体来执行等离子体处理。在一个实施方式中,处理气体可以是氩(Ar)、氢(H2)、氨(NH3)、氮(N2)、一氧化二氮(N2O)或以上项的组合。在一个实施方式中,等离子体是电容耦合等离子体(CCP)或电感耦合等离子体(ICP)。
在等离子体处理期间,处理腔室可以维持在约100摄氏度至约500摄氏度之间的温度。处理气体可以以约20sccm至约20,000sccm之间的流率流到处理腔室。腔室压力可以在约0.01托至约50托之间(例如,在约0.1托至20托之间)。RF功率被施加到处理腔室中的处理气体以在处理腔室中产生等离子体。在一个实施方式中,可以在约50kHz至约13.6MHz的频率下提供约50瓦特与约3,000瓦特之间(例如,约100瓦特与约3,000瓦特之间;或约50瓦特与约2,000瓦特之间)的RF功率。在一些实施方式中,频率是约2MHz、13.56MHz,或包括2MHz和13.56MHz的混合频率。在一些实施方式中,在等离子体处理处理期间,基板被偏置。施加到基板的偏置可以在0瓦特与1000瓦特之间。等离子体处理可以进行约5秒至约600秒之间(例如,约10秒至约300秒之间)的持续时间。
在操作250处,非晶硅层340保形地或基本上保形地沉积在图案化特征321以及基板300的暴露表面上,如图3C所示。根据本文所述的实施方式形成非晶硅层340。非晶硅层340的厚度可以在约与约/>之间。
在一些实施方式中,可以通过最大化硅前驱物的分压同时最小化基板温度来实施保形非晶硅(a-Si)沉积。合适的硅前驱物包括但不限于聚硅烷(SixHy)。例如,聚硅烷包括乙硅烷(Si2H6)、丙硅烷(Si3H8)、丁硅烷(Si4H10)、异三硅烷、新戊硅烷(Si5H12)、环戊硅烷(Si5H10)、己硅烷(C6H14)、环己硅烷(Si6H12)或一般是SixHy(其中x=2或更大),或以上项的组合。例如,具有适中的处理温度和高蒸气压的乙硅烷可以单独地或与其它物质组合地用作硅前驱物。
在一些实施方式中,硅前驱物基本上仅含乙硅烷。如本文所用,短语“基本上仅乙硅烷”是指至少95%的活性物质是乙硅烷。其它气体,诸如载气和惰性气体,可以包括在该量中。
沉积的膜包括可从膜放出或排出的可脱气物质,例如氢。惰性除气环境为气态物质提供了放出机会,从而使最终膜的起泡最小化。惰性除气环境可以包括允许或促使去除膜的可脱气物质的任何条件。例如,除气环境可以基本上由惰性气体组成。就这一点所用,“基本上由……组成”是指没有干扰沉积的膜的脱气的气态物质。可以存在其它反应物质而不抑制膜的除气,同时除气环境仍然基本上由惰性气体组成。在一些实施方式中,惰性除气环境是在沉积的膜上基本上不发生化学反应的环境。例如,可能基本上没有可与沉积的膜反应的化学物质。在一些实施方式中,除气环境不使用UV光、等离子体或微波辐射来引起可脱气物质的除气。
在一个或多个实施方式中,通过化学气相沉积处理沉积非晶硅膜。尽管可以使用类似的处理腔室,但是化学气相沉积(CVD)处理与原子层沉积(ALD)不同。ALD处理是自限制处理,其中使用二元(或更高阶)反应沉积单层材料。该处理继续进行,直到基板表面上的所有可用活性位点都已反应。CVD处理不是自限制的,并且膜可以生长到任何预确定的厚度。
合适的惰性气体包括但不限于氩、氦、氮和/或以上项的混合物中的一种或多种。在一些实施方式中,惰性除气环境基本上不包括氧。就这一点所用,“基本上不含氧”是指惰性除气环境在邻近基板表面的环境条件中具有小于约1%(基于原子)的氧原子。
在一个或多个实施方式中,可脱气物质包括氢。就这一点所用,包括氢的可脱气物质可以包括SiH2、SiH3、SiH4和/或其它低阶硅烷中的一种或多种。
可以针对前驱物暴露和除气环境独立地控制处理腔室、或处理腔室的区域中的压力。在一些实施方式中,于硅前驱物和除气环境中的每一个的暴露在约50毫托至约200托的范围内的压力下发生。在一些实施方式中,硅前驱物在大于或等于约500毫托、或大于或等于约1托、或大于或等于约5托、或大于或等于约10托、或大于或等于约20托、或大于或等于约30托的压力下被暴露于基板。
基板表面暴露于前驱物或除气环境的温度可以根据例如所形成的器件以及前驱物的热预算而变化。在一些实施方式中,于前驱物和除气环境中的每一个的暴露在约350摄氏度至约700摄氏度的温度范围内发生。在一个或多个实施方式中,卤化硅前驱物在约375摄氏度至约600摄氏度的温度范围内、或约400摄氏度至约550摄氏度的温度范围内被暴露于基板。
在一些实施方式中,基于乙硅烷的保形a-Si处理在低于约450摄氏度的基板温度下沉积,并且乙硅烷分压大于或等于约20托。在示例性实施方式中,基板在约400摄氏度至约550摄氏度的温度范围内在大于或等于约20托的压力下被暴露于硅前驱物。
在操作260处,在已经在图案化特征321上保形地沉积非晶硅层340之后,各向异性地蚀刻(竖直蚀刻)非晶硅层340以在区域311中暴露基板300的上表面并暴露图案化特征321的上表面,从而导致图案化特征321(由牺牲结构层320形成)由非牺牲非晶硅基侧壁间隔件341保护,如图3D所示。
在操作270处,使用常规的等离子体蚀刻处理或其它合适的湿法剥离处理去除图案化特征321(由牺牲结构层320形成),留下非牺牲非晶硅基侧壁间隔件341,如图3E所示。等离子体蚀刻处理可以通过将氟基蚀刻化学物质引入在基板上方的等离子体中来完成。由于改善的材料质量和覆盖率,非牺牲非晶硅基侧壁间隔件341不会损坏,因为它们具有对基于氟的反应蚀刻化学物质或基于湿法剥离的化学物质的非常良好的选择性。在去除图案化特征321之后,剩余非牺牲非晶硅基侧壁间隔件341可以用作用于蚀刻下面的层、层堆叠或结构的硬模。特别地,根据该图案化处理的非牺牲非晶硅基侧壁间隔件341的密度是图案化特征321的密度的两倍,非牺牲非晶硅基侧壁间隔件341的间距是图案化特征321的间距的一半。非牺牲非晶硅基侧壁间隔件341可以用作硬模以图案化下面的材料层。
图4是描绘根据本文所述的实施方式的用于间隔件形成的方法400的另一个实施方式的处理流程图。图5A-5E是根据图4的处理流程图形成的结构的示意性侧视图。形成处理可以在有或没有等离子体的情况下进行。沉积可以通过热CVD处理或PECVD处理进行。设想的是,选择自对准双图案化处理用于说明目的。本公开的概念同样地适用于其它处理,例如,单或双图案化方案,诸如通孔/孔收缩处理、自对准三重图案化(SATP)处理或自对准四重图案化(SAQP)处理等,这些处理可能涉及在各种半导体处理(诸如NAND闪存应用、DRAM应用或CMOS应用等)中根据需要使用具有可变线宽和间距的保护性间隔件或保护性牺牲层等。
方法400在操作410处由在基板500上形成牺牲结构层520开始。基板可以类似于基板190。牺牲结构层520可以是硅基材料,诸如氧化硅、氮化硅或多晶硅。或者,牺牲结构层520可以是碳基材料,诸如非晶碳。在期望碳基牺牲结构层的情况下,牺牲结构层520可以是非晶碳和氢的组合(氢化非晶碳膜)。一种示例性非晶碳膜可以是可从加利福尼亚州圣克拉拉市应用材料公司(Applied Materials,Inc.of Santa Clara,Calif)购得的可剥离的先进图膜(Advanced Patterning FilmTM)(APF)材料。设想的是,用于牺牲结构层520的材料的选择可以根据相对于待形成在其上的保形保护层的蚀刻/灰化速率而变。虽然未示出,但是在使用碳基牺牲结构层的某些实施方式中,可以在碳基牺牲结构层上沉积一个或多个抗反射涂层以控制在光刻图案化处理期间的光的反射。合适的抗反射涂层可以包括二氧化硅、氮氧化硅、氮化硅或以上项的组合。一个示例性抗反射涂层可以是可从加利福尼亚州圣克拉拉市应用材料公司购得的DARCTM材料。
基板500可以具有基本上平坦的表面523,如图所示。或者,基板500可以具有图案化结构,在其中形成有沟槽、孔或通孔的表面。虽然基板500被示出为单个主体,但是基板500可以包括用于形成半导体器件(诸如金属触点、沟槽隔离、栅极、位线或任何其它互连特征)的一种或多种材料。在一个实施方式中,基板500可以包括用于制造半导体器件的一个或多个金属层、一种或多种介电材料、半导体材料以及以上项的组合。例如,取决于应用,基板500可以包括氧化物材料、氮化物材料、多晶硅材料等。在期望存储器应用的情况下,基板500可以包括硅基板材料、氧化物材料和氮化物材料,它们之间夹有或不夹有多晶硅。
在操作420处,在牺牲结构层520上沉积抗蚀层530,诸如光刻胶材料,如图5A所示。
在操作430处,使用标准光刻和蚀刻技术在基板500上产生由牺牲结构层520形成的图案化特征521,如图5B所示。图案化特征可以由任何合适的材料形成,例如氧化物,诸如二氧化硅、氮氧化硅,或氮化物,诸如氮化硅。图案化特征有时被称为定位符、心轴或芯,并且基于所使用的光刻胶材料而具有特定线宽和/或间距。可以通过对抗蚀层530进行修整处理来调整图案化特征521的宽度。在已经将图案转移到牺牲结构层520中之后,使用合适的光刻胶剥离处理去除任何残余的光刻胶和硬掩模材料(如果使用了的话)。
在操作440处,将基板500暴露于SAM前驱物以在图案化特征521上形成SAM 535。希望不受理论束缚,但是据信,SAM 535改善非晶硅层与图案化特征521的粘附性。据信,SAM535有助于将非晶硅层锚固到图案化特征521。取决于所使用的材料和所使用的SAM前驱物,SAM前驱物可以是基于溶液的前驱物或气态前驱物。SAM前驱物可以包括SAM分子、形成SAM分子的前驱物或两者。吸附的SAM分子形成SAM 535。
SAM 535包括SAM分子的有组织层,该有组织层可以是两亲的,其中分子的一端,即头基,表现出对形成图案化特征521的氧化硅材料的特定的可逆的亲和力。头基通常连接到烷基链,其中末端“R”可以被官能化。通过以下方式形成SAM 535:头基化学吸附到图案化特征521上,接着疏水性尾基的二维组织化学吸附到图案化特征521上。通过将基板500浸入含有SAM分子的稀释溶液中,可以从溶液发生SAM吸附。在一个实施方式中,从溶液经由旋涂沉积SAM 535。通过将基板500暴露于气态前驱物,也可以从气相沉积发生SAM吸附。可以通过调整SAM分子的烷基链的碳链长度来调整SAM 535的厚度。一般,SAM 535可以仅形成在具有与SAM分子的化学反应能力的表面上。
在图5C中描绘的实施方式中,选择用于形成SAM 535的SAM前驱物以仅与图案化特征521(例如,氧化硅材料)的暴露表面和随后沉积的非晶硅层的暴露表面发生化学反应。通过这样做,SAM 535可以主要地形成在图案化特征521的暴露表面上。
可利用的SAM材料的示例包括下文描述的材料,包括这些材料的组合物、混合和接枝物,还有具有适于在半导体制造工艺中阻挡随后沉积的材料的沉积的特性的其它SAM材料。在一个实施方式中,SAM材料可以是羧酸材料,诸如甲基羧酸、乙基羧酸、丙基羧酸、丁基羧酸、戊基羧酸、己基羧酸、庚基羧酸、辛基羧酸、壬基羧酸、癸基羧酸、十一烷基羧酸、十二烷基羧酸、十三烷基羧酸、十四烷基羧酸、十五烷基羧酸、十六烷基羧酸、十七烷基羧酸、十八烷基羧酸和十九烷基羧酸。
在另一个实施方式中,SAM材料可以是膦酸材料,诸如甲基膦酸、乙基膦酸、丙基膦酸、丁基膦酸、戊基膦酸、己基膦酸、庚基膦酸、辛基膦酸、壬基膦酸、癸基膦酸、十一烷基膦酸、十二烷基膦酸、十三烷基膦酸、十四烷基膦酸、十五烷基膦酸、十六烷基膦酸、十七烷基膦酸、十八烷基膦酸和十九烷基膦酸。
在另一个实施方式中,SAM材料可以是硫醇材料,诸如甲基硫醇、乙基硫醇、丙基硫醇、丁基硫醇、戊基硫醇、己基硫醇、庚基硫醇、辛基硫醇、壬基硫醇、癸基硫醇、十一烷基硫醇、十二烷基硫醇、十三烷基硫醇、十四烷基硫醇、十五烷基硫醇、十六烷基硫醇、十七烷基硫醇、十八烷基硫醇和十九烷基硫醇。
在另一个实施方式中,SAM材料可以是硅烷基胺材料,诸如三(二甲氨基)甲基硅烷、三(二甲氨基)乙基硅烷、三(二甲氨基)丙基硅烷、三(二甲氨基)丁基硅烷、三(二甲氨基)戊基硅烷、三(二甲氨基)己基硅烷、三(二甲氨基)庚基硅烷、三(二甲氨基)辛基硅烷、三(二甲氨基)壬基硅烷、三(二甲氨基)癸基硅烷、三(二甲氨基)十一烷基硅烷、三(二甲氨基)十二烷基硅烷、三(二甲氨基)十三烷基硅烷、三(二甲氨基)十四烷基硅烷、三(二甲氨基)十五烷基硅烷、三(二甲氨基)十六烷基硅烷、三(二甲氨基)十七烷基硅烷、三(二甲氨基)十八烷基硅烷和三(二甲氨基)十九烷基硅烷。
在另一个实施方式中,SAM材料可以是氯基硅烷材料,诸如甲基三氯硅烷、乙基三氯硅烷、丙基三氯硅烷、丁基三氯硅烷、戊基三氯硅烷、己基三氯硅烷、庚基三氯硅烷、辛基三氯硅烷、壬基三氯硅烷、癸基三氯硅烷、十一烷基三氯硅烷、十二烷基三氯硅烷、十三烷基三氯硅烷、十四烷基三氯硅烷、十五烷基三氯硅烷、十六烷基三氯硅烷、十七烷基三氯硅烷、十八烷基三氯硅烷和十九烷基三氯硅烷。
在另一个实施方式中,SAM材料可以是氧基硅烷材料,诸如甲基三甲氧基硅烷、甲基三乙氧基硅烷、乙基三甲氧基硅烷、乙基三乙氧基硅烷、丙基三甲氧基硅烷、丙基三乙氧基硅烷、丁基三甲氧基硅烷、丁基三乙氧基硅烷、戊基三甲氧基硅烷、戊基三乙氧基硅烷、己基三甲氧基硅烷、己基三乙氧基硅烷、庚基三甲氧基硅烷、庚基三乙氧基硅烷、辛基三甲氧基硅烷、辛基三乙氧基硅烷、壬基三甲氧基硅烷、壬基三乙氧基硅烷、癸基三甲氧基、癸基三乙氧基硅烷、十一烷基三甲氧基硅烷、十一烷基三乙氧基硅烷、十二烷基三甲氧基硅烷、十二烷基三乙氧基硅烷、十三烷基三甲氧基硅烷、十三烷基三乙氧基硅烷、十四烷基三甲氧基硅烷、十四烷基三乙氧基硅烷、十五烷基三甲氧基硅烷、十五烷基三乙氧基硅烷、十六烷基三甲氧基硅烷、十六烷基三乙氧基硅烷、十七烷基三甲氧基硅烷、十七烷基三乙氧基硅烷、十八烷基三甲氧基硅烷、十八烷基三乙氧基硅烷、十九烷基三甲氧基硅烷和十九烷基三乙氧基硅烷。
在另一个实施方式中,SAM材料可以具有氟化R基,诸如(1,1,2,2-全氟癸基)三氯硅烷、三氯(1,1,2,2-全氟辛基)硅烷、(十三氟-1,1,2,2-四氢辛基)三氯硅烷、(十三氟-1,1,2,2-四氢辛基)三乙氧基硅烷、(十三氟-1,1,2,2-四氢辛基)甲基二氯硅烷、(十三氟-1,1,2,2-十四氟辛基)二甲基氯硅烷和(十七氟-1,1,2,2-四氢癸基)三氯硅烷等。设想的是,前述材料的组合物和混合物在本公开的范围内。
通过将基板500浸入含有SAM分子的稀释溶液中,可以从溶液发生SAM吸附。在一个实施方式中,SAM 535从溶液经由旋涂沉积。通过将基板500暴露于气态前驱物,也可以从气相沉积发生SAM吸附。可以通过调整SAM分子的烷基链的碳链长度来调整SAM 535的厚度。一般,SAM 535可以形成在具有与SAM分子的化学反应能力的表面上。
在一个实施方式中,SAM吸附可以是气相沉积处理。在该实施方式中,SAM分子可以在安瓿中蒸发,安瓿维持在约25摄氏度与约300摄氏度之间(诸如约125摄氏度与约200摄氏度之间)的温度。基板500可以维持在约25摄氏度与约400摄氏度之间(诸如约50摄氏度与约200摄氏度之间的温度,例如,约100摄氏度与约175摄氏度之间)的温度。基板处理环境(诸如处理腔室的处理容积)的压力可以维持在约1mT与约1520T之间(诸如约5T和约600T之间)的压力。载气可以用于促进气相SAM分子和载气的输送,这取决于处理腔室的容积,可以以约25sccm与约3000sccm之间(诸如在约50sccm与约1000sccm之间)的流率输送。合适的载气包括气体,诸如稀有气体等,气体在有助于将SAM分子输送到基板表面的SAM吸附条件下一般是惰性的。在操作440中,可以将SAM分子暴露于基板500长达约1秒与约48小时之间(诸如约1分钟与约120分钟之间)的时间量。
在操作450处,非晶硅层540保形地或基本上保形地沉积在图案化特征521以及基板500的暴露表面上,如图5D所示。根据本文所述的实施方式形成非晶硅层540。非晶硅层540的厚度可以在约与约/>之间。
在一些实施方式中,可以通过最大化硅前驱的分压同时最小化基板温度来实施保形非晶硅(a-Si)沉积。合适的硅前驱物包括但不限于聚硅烷(SixHy)。例如,聚硅烷包括乙硅烷(Si2H6)、丙硅烷(Si3H8)、丁硅烷(Si4H10)、异三硅烷、新戊硅烷(Si5H12)、环戊硅烷(Si5H10)、己硅烷(C6H14)、环己硅烷(Si6H12)或一般是SixHy(其中x=2或更大),或以上项的组合。例如,具有适中的处理温度和高蒸气压的乙硅烷可以单独地或与其它物质组合地用作硅前驱物。
在一些实施方式中,硅前驱物基本上仅含乙硅烷。如本文所用,短语“基本上仅含乙硅烷”是指至少95%的活性物质是乙硅烷。其它气体,诸如载气和惰性气体,可以包括在该量中。
沉积的膜包括可从膜放出或排出的可脱气物质,例如氢。惰性除气环境为气态物质提供了放出机会,从而最小化最终膜的起泡最小化。惰性除气环境可以包括允许或促使去除膜的可脱气物质的任何条件。例如,除气环境可以基本上由惰性气体组成。就这一点所用,“基本上由……组成”是指没有干扰沉积的膜的脱气的气态物质。可以存在其它反应物质而不抑制膜的除气,同时除气环境仍然基本上由惰性气体组成。在一些实施方式中,惰性除气环境是在沉积的膜上基本上不发生化学反应的环境。例如,可能基本上没有可与沉积的膜反应的化学物质。在一些实施方式中,除气环境不使用UV光、等离子体或微波辐射来引起可脱气物质的除气。
在一个或多个实施方式中,通过化学气相沉积处理沉积非晶硅膜。尽管可以使用类似的处理腔室,但是化学气相沉积(CVD)处理与原子层沉积(ALD)不同。ALD处理是自限制处理,其中使用二元(或更高阶)反应沉积单层材料。该处理继续进行,直到基板表面上的所有可用活性位点都已反应。CVD处理不是自限制的,并且膜可以生长到任何预确定的厚度。
合适的惰性气体包括但不限于氩、氦、氮和/或以上项的混合物中的一种或多种。在一些实施方式中,惰性除气环境基本上不包括氧。就这一点所用,“基本上不含氧”是指惰性除气环境在邻近基板表面的环境条件中具有小于约1%(基于原子)的氧原子。
在一个或多个实施方式中,可脱气物质包括氢。就这一点所用,包括氢的可脱气物质可以包括SiH2、SiH3、SiH4和/或其它低阶硅烷中的一种或多种。
可以针对前驱物暴露和除气环境独立地控制处理腔室、或处理腔室的区域中的压力。在一些实施方式中,于硅前驱物和除气环境中的每一个的暴露在约50毫托至约200托的压力下发生。在一些实施方式中,硅前驱物在大于或等于约500毫托、或大于或等于约1托、或大于或等于约5托、或大于或等于约10托、或大于或等于约20托、或大于或等于约30托的压力下被暴露于基板。
基板表面暴露于前驱物或除气环境的温度可以根据例如所形成的器件以及前驱物的热预算而变化。在一些实施方式中,于前驱物和脱气环境中的每一个的暴露发生在约350摄氏度至约700摄氏度的温度范围内。在一个或多个实施方式中,卤化硅前驱物在约375摄氏度至约600摄氏度的温度范围内、或约400摄氏度至约550摄氏度的温度范围内被暴露于基板。
在一些实施方式中,基于乙硅烷的保形a-Si处理在低于约450摄氏度的基板温度下沉积,并且乙硅烷分压大于或等于约20托。在示例性实施方式中,基板在约400摄氏度至约550摄氏度的温度范围内在大于或等于约20托的压力下被暴露于硅前驱物。
在操作460处,在已经在图案化特征521上保形地沉积非晶硅层540之后,各向异性地蚀刻(竖直蚀刻)非晶硅层540以在区域511中暴露基板500的上表面并暴露图案化特征521的上表面,从而导致图案化特征521(由牺牲结构层520形成)由非牺牲非晶硅基侧壁间隔件541保护,如图5E所示。
在操作470处,使用常规的等离子体蚀刻处理或其它合适的湿法剥离处理去除图案化特征521(由牺牲结构层520形成),留下非牺牲非晶硅基侧壁间隔件541,如图5F所示。等离子体蚀刻处理可以通过将氟基蚀刻化学物质引入在基板上方的等离子体中来完成。由于改善的材料质量和覆盖率,非牺牲非晶硅基侧壁间隔件541不会损坏,因为它们具有对基于氟的反应蚀刻化学物质或基于湿法剥离的化学物质的非常良好的选择性。在去除图案化特征521之后,剩余非牺牲非晶硅基侧壁间隔件541可以用作用于蚀刻下面的层、层堆叠或结构的硬模。特别地,根据该图案化处理的非牺牲非晶硅基侧壁间隔件541的密度是光刻图案化特征521的密度的两倍,非牺牲非晶硅基侧壁间隔件541的间距是图案化特征521的间距的一半。非牺牲非晶硅基侧壁间隔件541可以用作硬模以图案化下面的材料层。
图6是描绘根据本文所述的实施方式的用于间隔件形成的方法600的另一个实施方式的处理流程图。方法600类似于方法200和方法400,不同之处在于方法600结合方法400的等离子体处理处理和方法400的SAM形成。在操作610处,在基板上形成牺牲结构层,这类似于操作210和操作410。在操作620处,在牺牲结构层上形成抗蚀层,这类似于操作220和操作420。在操作630处,从牺牲结构层形成图案化特征,这类似于操作230和操作430。在操作640处,用等离子体处理图案化特征,这类似于操作240。在操作650处,在图案化特征上形成SAM,这类似于操作440。在操作660处,在图案化特征以及基板的暴露表面上形成非晶硅层,这类似于操作250和操作450。在操作670处,使用定向蚀刻处理蚀刻非晶硅层,这类似于操作260和操作460。在操作680处,位于非晶硅侧壁间隔件内的图案化特征提供非牺牲非晶硅侧壁间隔件。
总之,本公开的一些实施方式提供了用于非晶硅间隔件形成的改善的方法。本文所述的改善的方法中的一些提供高保形性、低图案负载和BEOL相容的处理温度。本文所述的改善的方法中的一些进一步提供了下面的氧化物膜的减小的粗糙度和与随后沉积的非晶硅膜的改善的粘附性。在一些实施方式中,将下面的氧化物膜暴露于等离子体处理,这减小了随后沉积的非晶硅膜的粗糙度以及改善下面的氧化物膜与非晶硅膜之间的粘附性。在一些实施方式中,在下面的氧化物层上形成SAM以改善与随后沉积的非晶硅层的粘附性。
当介绍本公开的要素或其示例性方面或实施方式时,冠词“一”、“一个”、“该”和“所述”旨在表示存在一个或多个该要素。
术语“包含”、“包括”和“具有”旨在是包括性的并表示可能存在除所列要素之外的其它要素。
虽然前述内容针对的是本公开的实施方式,但是可以在不脱离本公开的基本范围的情况下设计本公开的其它和进一步实施方式,并且本公开的范围由所附权利要求书确定。

Claims (18)

1.一种在处理腔室中在基板上形成非晶硅层的方法,包括:
在基板上沉积预确定的厚度的牺牲介电层;
通过去除所述牺牲介电层的部分以暴露所述基板的上表面来在所述基板上形成图案化特征;
在所述图案化特征上形成自组装单层(“SAM”);
在所述SAM以及所述基板的所暴露的上表面上沉积非晶硅层;以及
使用各向异性蚀刻处理选择性地从所述图案化特征的上表面和所述基板的所述上表面去除所述非晶硅层以提供填充在由所述非晶硅层形成的侧壁间隔件内的所述图案化特征。
2.如权利要求1所述的方法,进一步包括在所述图案化特征上形成所述SAM之前对所述图案化特征进行等离子体处理,其中执行所述等离子体处理包括:
使处理气体流入所述处理腔室中;以及
在所述处理腔室中产生等离子体以处理所述基板的所述图案化特征。
3.如权利要求2所述的方法,其中所述处理气体包括氩。
4.如权利要求2所述的方法,其中所述处理气体包括氩、氢、氮、一氧化二氮、氨以及以上项的组合中的一种。
5.如权利要求2所述的方法,其中对所述图案化特征进行所述等离子体处理和在所述图案化特征以及所述基板的所暴露的上表面上沉积所述非晶硅层是在同一个处理腔室中进行的。
6.如权利要求1所述的方法,其中所述牺牲介电层包括氧化硅。
7.如权利要求1所述的方法,其中在所述图案化特征以及所述基板的所暴露的上表面上沉积所述非晶硅层包括将所述图案化特征暴露于硅前驱物以形成具有包括氢的可脱气物质的非晶硅膜。
8.如权利要求7所述的方法,进一步包括将所述非晶硅膜暴露于惰性除气环境以从所述非晶硅膜去除所述可脱气物质来形成经除气的非晶硅膜。
9.如权利要求8所述的方法,其中所述硅前驱物包括乙硅烷、丙硅烷、丁硅烷、异丁硅烷、新戊硅烷、环戊硅烷、己硅烷、环己硅烷以及以上项的组合中的一种或多种。
10.如权利要求9所述的方法,其中所述硅前驱物是乙硅烷。
11.如权利要求8所述的方法,其中所述惰性除气环境基本上由惰性气体组成。
12.如权利要求11所述的方法,其中所述惰性气体包括氩、氦和氮中的一种或多种。
13.如权利要求12所述的方法,其中于所述硅前驱物和所述惰性除气环境中的每一个的暴露发生在50毫托至200托的压力范围内。
14.如权利要求13所述的方法,其中于所述硅前驱物和所述惰性除气环境中的每一个的暴露发生在350摄氏度至700摄氏度的温度范围内。
15.如权利要求14所述的方法,其中每次于所述硅前驱物和所述惰性除气环境中的暴露都生长出具有在至/>的范围内的厚度的所述经除气的非晶硅膜。
16.如权利要求15所述的方法,进一步包括从所述基板去除所述图案化特征。
17.如权利要求16所述的方法,其中通过使用热化学气相沉积处理进行在所述图案化特征以及所述基板的所暴露的上表面上沉积所述非晶硅层。
18.一种在处理腔室中在基板上形成非晶硅层的方法,包括:
在基板上沉积预确定的厚度的牺牲介电层;
通过去除所述牺牲介电层的部分以暴露所述基板的上表面来在所述基板上形成图案化特征;
对所述图案化特征进行等离子体处理;
在所述等离子体处理的图案化特征上形成自组装单层(“SAM”);
在所述SAM以及所述基板的所暴露的上表面上沉积非晶硅层;以及使用各向异性蚀刻处理选择性地从所述图案化特征的上表面和所述基板的所述上表面去除所述非晶硅层以提供填充在由所述非晶硅层形成的侧壁间隔件内的所述图案化特征。
CN201880017633.XA 2017-04-07 2018-03-21 通过改善氧化硅的成核/粘附来改善膜粗糙度的处理方法 Active CN110419093B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762482872P 2017-04-07 2017-04-07
US62/482,872 2017-04-07
PCT/US2018/023474 WO2018187034A1 (en) 2017-04-07 2018-03-21 Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide

Publications (2)

Publication Number Publication Date
CN110419093A CN110419093A (zh) 2019-11-05
CN110419093B true CN110419093B (zh) 2023-12-01

Family

ID=63711859

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880017633.XA Active CN110419093B (zh) 2017-04-07 2018-03-21 通过改善氧化硅的成核/粘附来改善膜粗糙度的处理方法

Country Status (5)

Country Link
US (1) US10276379B2 (zh)
JP (1) JP7194116B2 (zh)
KR (1) KR102492223B1 (zh)
CN (1) CN110419093B (zh)
WO (1) WO2018187034A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102509390B1 (ko) * 2017-07-24 2023-03-14 어플라이드 머티어리얼스, 인코포레이티드 산화규소 상의 초박형 비정질 규소 막의 연속성을 개선하기 위한 전처리 접근법
KR20190035036A (ko) * 2017-09-25 2019-04-03 삼성전자주식회사 박막 형성 장치 및 이를 이용한 비정질 실리콘 막 형성방법
KR102578827B1 (ko) * 2018-04-24 2023-09-15 삼성전자주식회사 유연한 유무기 보호막 및 그 제조방법
US11915973B2 (en) * 2019-12-10 2024-02-27 Tokyo Electron Limited Self-assembled monolayers as sacrificial capping layers
US20210327891A1 (en) * 2020-04-16 2021-10-21 Applied Materials, Inc. Stack for 3d-nand memory cell

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5273920A (en) * 1992-09-02 1993-12-28 General Electric Company Method of fabricating a thin film transistor using hydrogen plasma treatment of the gate dielectric/semiconductor layer interface
JPH07252657A (ja) * 1994-03-16 1995-10-03 Mitsubishi Heavy Ind Ltd 成膜方法
JP2000031058A (ja) * 1998-07-16 2000-01-28 Ulvac Corp アモルファスシリコン薄膜製造方法
CN1707748A (zh) * 2005-04-20 2005-12-14 中山大学 一种制作硅纳米线二极管结构场发射器件的方法
JP2008192724A (ja) * 2007-02-02 2008-08-21 Konica Minolta Holdings Inc 有機薄膜トランジスタ及び有機薄膜トランジスタの製造方法
CN102205942A (zh) * 2011-05-13 2011-10-05 上海集成电路研发中心有限公司 Mems牺牲层结构制造方法
CN103700576A (zh) * 2013-12-17 2014-04-02 西安文理学院 一种自组装形成尺寸可控的硅纳米晶薄膜的制备方法
US9484202B1 (en) * 2015-06-03 2016-11-01 Applied Materials, Inc. Apparatus and methods for spacer deposition and selective removal in an advanced patterning process

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3181357B2 (ja) 1991-08-19 2001-07-03 株式会社東芝 半導体薄膜の形成方法および半導体装置の製造方法
JPH05160394A (ja) * 1991-10-11 1993-06-25 Sony Corp Mis型半導体装置及びその製造方法
US5800878A (en) 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7662718B2 (en) 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
US7485572B2 (en) 2006-09-25 2009-02-03 International Business Machines Corporation Method for improved formation of cobalt silicide contacts in semiconductor devices
US7622386B2 (en) 2006-12-06 2009-11-24 International Business Machines Corporation Method for improved formation of nickel silicide contacts in semiconductor devices
US20080254619A1 (en) 2007-04-14 2008-10-16 Tsang-Jung Lin Method of fabricating a semiconductor device
KR20090013286A (ko) 2007-08-01 2009-02-05 삼성전자주식회사 반도체 소자 제조설비
US7709396B2 (en) 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
JP5160394B2 (ja) 2008-12-16 2013-03-13 株式会社テイエルブイ フロート式ドレントラップ
US8525139B2 (en) 2009-10-27 2013-09-03 Lam Research Corporation Method and apparatus of halogen removal
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US8178443B2 (en) 2009-12-04 2012-05-15 Novellus Systems, Inc. Hardmask materials
KR20110064661A (ko) 2009-12-08 2011-06-15 삼성전자주식회사 반도체소자의 제조방법
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US20120202315A1 (en) 2011-02-03 2012-08-09 Applied Materials, Inc. In-situ hydrogen plasma treatment of amorphous silicon intrinsic layers
US20130189845A1 (en) * 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US20130196078A1 (en) 2012-01-31 2013-08-01 Joseph Yudovsky Multi-Chamber Substrate Processing System
EP2674996A1 (en) * 2012-06-15 2013-12-18 Imec VZW Method for growing nanostructures in recessed structures
CN104603914B (zh) 2012-09-07 2017-07-14 应用材料公司 多腔室真空***中的多孔电介质、聚合物涂布基板和环氧化物的集成处理
GB201218697D0 (en) 2012-10-18 2012-11-28 Spts Technologies Ltd A method of depositing an amorphous silicon film
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US9171754B2 (en) 2013-05-24 2015-10-27 Globalfoundries Inc. Method including an etching of a portion of an interlayer dielectric in a semiconductor structure, a degas process and a preclean process
US9412656B2 (en) 2014-02-14 2016-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse tone self-aligned contact
JP2016047777A (ja) 2014-08-27 2016-04-07 国立大学法人大阪大学 グラフェン薄膜の製造方法、並びにグラフェン薄膜を備えた電子素子およびセンサ
US9997373B2 (en) * 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9865459B2 (en) 2015-04-22 2018-01-09 Applied Materials, Inc. Plasma treatment to improve adhesion between hardmask film and silicon oxide film
US9829790B2 (en) 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
TWI715645B (zh) 2015-10-22 2021-01-11 美商應用材料股份有限公司 正形及縫隙填充非晶矽薄膜的沉積

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5273920A (en) * 1992-09-02 1993-12-28 General Electric Company Method of fabricating a thin film transistor using hydrogen plasma treatment of the gate dielectric/semiconductor layer interface
JPH07252657A (ja) * 1994-03-16 1995-10-03 Mitsubishi Heavy Ind Ltd 成膜方法
JP2000031058A (ja) * 1998-07-16 2000-01-28 Ulvac Corp アモルファスシリコン薄膜製造方法
CN1707748A (zh) * 2005-04-20 2005-12-14 中山大学 一种制作硅纳米线二极管结构场发射器件的方法
JP2008192724A (ja) * 2007-02-02 2008-08-21 Konica Minolta Holdings Inc 有機薄膜トランジスタ及び有機薄膜トランジスタの製造方法
CN102205942A (zh) * 2011-05-13 2011-10-05 上海集成电路研发中心有限公司 Mems牺牲层结构制造方法
CN103700576A (zh) * 2013-12-17 2014-04-02 西安文理学院 一种自组装形成尺寸可控的硅纳米晶薄膜的制备方法
US9484202B1 (en) * 2015-06-03 2016-11-01 Applied Materials, Inc. Apparatus and methods for spacer deposition and selective removal in an advanced patterning process

Also Published As

Publication number Publication date
US10276379B2 (en) 2019-04-30
JP7194116B2 (ja) 2022-12-21
KR20190128668A (ko) 2019-11-18
US20180294157A1 (en) 2018-10-11
JP2020517098A (ja) 2020-06-11
WO2018187034A1 (en) 2018-10-11
CN110419093A (zh) 2019-11-05
KR102492223B1 (ko) 2023-01-25

Similar Documents

Publication Publication Date Title
CN110419093B (zh) 通过改善氧化硅的成核/粘附来改善膜粗糙度的处理方法
US10410872B2 (en) Borane mediated dehydrogenation process from silane and alkylsilane species for spacer and hardmask application
CN109075021B (zh) 利用间歇性空气-水暴露的改良自组装单层阻挡
CN110709967B (zh) 改善在氧化硅上的超薄非晶硅膜的连续性的预处理方法
CN108475695A (zh) 制造用于半导体应用的环绕式水平栅极器件的纳米线的方法
TW201943880A (zh) 在基板上形成層以應用於選擇性沉積製程之基板處理方法
WO2019241012A1 (en) Conformal carbon film deposition
US20180308685A1 (en) Low temperature selective epitaxial silicon deposition
KR20210158862A (ko) 인-시튜 원자층 증착 프로세스
TWI827709B (zh) 用於沉積摻雜磷之氮化矽膜的方法
US11859275B2 (en) Techniques to improve adhesion and defects for tungsten carbide film
US10593543B2 (en) Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
US10366879B2 (en) Dry and wet etch resistance for atomic layer deposited TiO2 for SIT spacer application
US20240203787A1 (en) Semiconductor device with a liner layer and method for fabricating the same
US20230395391A1 (en) Ruthenium carbide for dram capacitor mold patterning

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant