TWI670391B - Batch curing chamber with gas distribution and individual pumping - Google Patents

Batch curing chamber with gas distribution and individual pumping Download PDF

Info

Publication number
TWI670391B
TWI670391B TW104113237A TW104113237A TWI670391B TW I670391 B TWI670391 B TW I670391B TW 104113237 A TW104113237 A TW 104113237A TW 104113237 A TW104113237 A TW 104113237A TW I670391 B TWI670391 B TW I670391B
Authority
TW
Taiwan
Prior art keywords
substrate
sub
processing
chamber
loading opening
Prior art date
Application number
TW104113237A
Other languages
Chinese (zh)
Other versions
TW201542864A (en
Inventor
坎艾德柏
凡卡塔拉曼尙卡爾
賓森二世傑D
楊章喬
英格爾尼汀庫利許納拉歐
梁奇偉
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201542864A publication Critical patent/TW201542864A/en
Application granted granted Critical
Publication of TWI670391B publication Critical patent/TWI670391B/en

Links

Abstract

本發明揭露的實施例一般係關於經調整而在一個時間同時固化多個基板的批次處理腔室。批次處理腔室包括各自獨立控制溫度的多個處理子區域。批次處理腔室可包括第一與第二子處理區域,第一與第二子處理區域各自由在批次處理腔室外的基板傳送裝置服務。此外,安裝於批次固化腔室的裝載開口上的槽形蓋部減少在裝載與卸載期間進入腔室的周圍空氣之影響。 Embodiments of the present disclosure generally relate to batch processing chambers that are adjusted to simultaneously cure a plurality of substrates at one time. The batch processing chamber includes a plurality of processing sub-regions that each independently control the temperature. The batch processing chamber can include first and second sub-processing regions, each of which is serviced by a substrate transfer device external to the batch processing chamber. In addition, the channel-shaped cover portion mounted on the loading opening of the batch curing chamber reduces the effects of ambient air entering the chamber during loading and unloading.

Description

具有氣體分佈及個別泵送的批次固化腔室 Batch curing chamber with gas distribution and individual pumping

本發明揭露的實施例一般係關於用於處理多個基板(如半導體晶圓)設備與方法,且更特定言之,係關於固化設置於多個基板上的介電材料之設備與方法。 Embodiments of the present invention are generally directed to apparatus and methods for processing a plurality of substrates, such as semiconductor wafers, and more particularly, to apparatus and methods for curing dielectric materials disposed on a plurality of substrates.

自從半導體元件幾十年前引入開始,半導體元件在尺寸上已有顯著的減少。當今半導體製造設備常規地生產32nm、28nm與22nm特徵尺寸的元件,且研發新設備並實施於製造甚至更小尺寸的元件。減小的特徵尺寸使得元件上的結構的特徵減小空間大小。因此,元件上結構之寬度(如間隙、凹槽及類似物)可以縮小到一點,其中間隙深度對間隙寬度的深寬比變得很高使得以介電材料填充此等間隙成為問題。此是因為沉積的介電材料趨於「夾斷」的現象,其中高深寬比的間隙或其他結構的進入區域可能在由下而上的填充完成之前就關閉,而留下孔洞或脆弱點於結構內。 Since the introduction of semiconductor components began decades ago, semiconductor components have been significantly reduced in size. Today's semiconductor manufacturing equipment routinely produces components of 32 nm, 28 nm, and 22 nm feature sizes, and develops new devices and implements to fabricate even smaller sized components. The reduced feature size reduces the size of the structure of the features on the component. Therefore, the width of the structure on the element (such as a gap, a groove, and the like) can be reduced to a point where the aspect ratio of the gap depth to the gap width becomes high so that filling the gap with a dielectric material becomes a problem. This is because the deposited dielectric material tends to "pinch off", where high aspect ratio gaps or other structural entry areas may close before the bottom-up filling is completed, leaving holes or fragile points Within the structure.

多年來,許多技術已經發展到避免夾斷或「治癒」因夾斷形成的孔洞或縫隙。一個方法係以高流動性前驅物材 料可以液相施於旋轉基板表面(如SOG沉積技術)開始。此等流動性前驅物可以流入及填充很小的基板間隙而不會形成孔洞或脆弱縫隙。然而,一旦此等高流動性材料沉積,此等高流動性材料必硬化為固體(solid)介電材料。 Over the years, many techniques have been developed to avoid pinching or "cure" holes or gaps created by pinch-off. One method is to use high-flow precursor materials The material can be applied to the surface of a rotating substrate (such as SOG deposition technology). These fluid precursors can flow into and fill a small substrate gap without forming holes or fragile gaps. However, once such highly fluid materials are deposited, such highly fluid materials must harden into a solid dielectric material.

在許多例子中,硬化過程包括加熱處理以將揮發性元件自沉積材料移除,揮發性元件對於使初始沉積薄膜可流動係必須的。在此等元件移除後,具有高蝕刻抵抗力的硬化與密集介電材料被留下,如氧化矽。 In many instances, the hardening process includes a heat treatment to remove volatile elements from the deposited material, which is necessary to make the initial deposited film flowable. After these components are removed, hardened and dense dielectric materials with high etch resistance are left, such as yttrium oxide.

此等薄膜的流動性可能起因於包含於薄膜中的各種化學組成,但透過移除此等相同的化學組成來硬化或緻密化薄膜對於橫跨該組流動性沉積技術幾乎係一致有益的。此等硬化與緻密化過程可能係耗時的。因此,對於當前可用或正在開發的用於緻密化各式流動性薄膜的新後處理技術與設備係有其需求的。此需求與其他需求於本發明揭露中處理。 The fluidity of such films may result from the various chemical compositions contained in the film, but hardening or densifying the film by removing such identical chemical compositions is almost uniformly beneficial across the set of fluid deposition techniques. These hardening and densification processes can be time consuming. Therefore, there is a need for new aftertreatment techniques and equipment for densifying various flowable films that are currently available or under development. This need and other needs are addressed in the present disclosure.

本發明揭露的實施例一般係關於用於處理基板的設備與方法,如半導體晶圓,且更特定言之,係關於批次固化設置於多個基板上的介電材料之設備與方法。 Embodiments of the present invention are generally directed to apparatus and methods for processing substrates, such as semiconductor wafers, and more particularly, to apparatus and methods for batch curing dielectric materials disposed on a plurality of substrates.

本發明揭露的實施例可提供用於在基板的表面上形成介電材料之系統,該系統包括主機、生產介面、負載鎖定腔室、多個流動性CVD沉積腔室及批次處理腔室,生產介面包括至少一個大氣機械臂且經配置而接收一或多個卡匣的基板,負載鎖定腔室與主機耦接且經配置而自生產介面中的至少一個大氣機械臂接收一或多個基板,多個流動性CVD沉積 腔室各自與主機耦接,批次處理腔室與生產介面耦接,批次處理腔室包括多個子處理區域、裝載開口及蓋板,多個子處理區域各自經配置而自至少一個大氣機械臂接收基板並在自大氣機械臂接收的基板上執行固化處理,裝載開口於批次處理腔室的壁中形成,蓋板包括多個槽形開口並設置於負載開口上,其中多個槽形開口的各個經配置而允許至少一個大氣機械臂自批次處理腔室外的位置往多個子處理區域中的一個延伸一臂,以及其中當裝載開口打開時,多個槽形開口的各個經配置而減少裝載開口的自由區域。 Embodiments of the present disclosure may provide a system for forming a dielectric material on a surface of a substrate, the system including a host, a production interface, a load lock chamber, a plurality of fluid CVD deposition chambers, and a batch processing chamber, The production interface includes at least one atmospheric robotic arm configured to receive one or more cassettes, the load lock chamber coupled to the host and configured to receive one or more substrates from at least one of the atmospheric mechanical arms of the production interface , multiple fluid CVD deposition Each of the chambers is coupled to the host, the batch processing chamber is coupled to the production interface, the batch processing chamber includes a plurality of sub-processing regions, a loading opening, and a cover plate, each of the plurality of sub-processing regions being configured from the at least one atmospheric mechanical arm Receiving a substrate and performing a curing process on a substrate received from the atmospheric robot arm, the loading opening being formed in a wall of the batch processing chamber, the cover plate comprising a plurality of slotted openings and disposed on the load opening, wherein the plurality of slotted openings Each of the configurations allows at least one atmospheric robotic arm to extend from one location outside the batch processing chamber to one of the plurality of sub-processing regions, and wherein each of the plurality of slotted openings is configured to be reduced when the loading opening is open Load the free area of the opening.

本發明揭露的實施例可進一步提供批次基板處理腔室,包括多個子處理區域、裝載開口及蓋板,多個子處理區域各自經配置而自大氣機械臂接收基板並在自大氣機械臂接收的基板上執行固化處理,裝載開口於批次處理腔室的壁中形成,蓋板設置於負載開口上而包括多個槽形開口,多個槽形開口的各個經配置而允許至少一個大氣機械臂自批次處理腔室外的位置往多個子處理區域中的一個延伸一臂,以及其中當裝載開口打開時,多個槽形開口的各個經配置而減少裝載開口的自由區域。 Embodiments of the present disclosure may further provide a batch substrate processing chamber including a plurality of sub-processing regions, a loading opening, and a cover plate, each of the plurality of sub-processing regions being configured to receive the substrate from the atmospheric mechanical arm and received from the atmospheric mechanical arm A curing process is performed on the substrate, the loading opening is formed in the wall of the batch processing chamber, the cover plate is disposed on the load opening and includes a plurality of slotted openings, each of the plurality of slotted openings being configured to allow at least one atmospheric robotic arm An arm extends from one location outside the batch processing chamber to one of the plurality of sub-processing regions, and wherein each of the plurality of slotted openings is configured to reduce the free area of the loading opening when the loading opening is open.

100‧‧‧處理工具 100‧‧‧Processing tools

103A‧‧‧狹縫閥 103A‧‧‧Slit valve

103B‧‧‧腔室主體 103B‧‧‧ Chamber Body

103‧‧‧批次固化腔室 103‧‧‧ Batch curing chamber

104‧‧‧大氣機械臂 104‧‧‧Atmospheric manipulator

105‧‧‧生產介面 105‧‧‧Production interface

106‧‧‧負載鎖定腔室 106‧‧‧Load lock chamber

108a‧‧‧處理腔室 108a‧‧‧Processing chamber

109‧‧‧大氣夾持站 109‧‧‧Atmospheric clamping station

110‧‧‧第二機械臂 110‧‧‧second manipulator

112‧‧‧腔室 112‧‧‧ chamber

200‧‧‧處理腔室 200‧‧‧Processing chamber

201‧‧‧RPS 201‧‧‧RPS

202‧‧‧第一通道 202‧‧‧First Passage

204‧‧‧第二通道 204‧‧‧second channel

205‧‧‧氣體入口組件 205‧‧‧ gas inlet assembly

206‧‧‧擋板 206‧‧ ‧ baffle

212‧‧‧蓋件 212‧‧‧Cover parts

214‧‧‧孔 214‧‧‧ hole

215‧‧‧第一電漿區域 215‧‧‧First plasma area

220‧‧‧絕緣環 220‧‧‧Insulation ring

225‧‧‧噴頭 225‧‧‧ nozzle

233‧‧‧第二電漿區域 233‧‧‧Second plasma area

290‧‧‧激發的處理前驅物 290‧‧‧Excited processing precursors

300‧‧‧處理 300‧‧‧Process

302‧‧‧步驟 302‧‧‧Steps

304‧‧‧步驟 304‧‧‧Steps

306‧‧‧步驟 306‧‧‧Steps

310‧‧‧步驟 310‧‧‧Steps

400‧‧‧基板 400‧‧‧Substrate

402‧‧‧層 402‧‧‧ layer

404‧‧‧STI結構 404‧‧‧STI structure

406‧‧‧凹槽 406‧‧‧ Groove

408‧‧‧介電材料 408‧‧‧ dielectric materials

410‧‧‧平坦表面 410‧‧‧flat surface

500‧‧‧批次固化腔室 500‧‧‧ batch curing chamber

510‧‧‧腔室主體 510‧‧‧ Chamber body

511‧‧‧腔室蓋件 511‧‧‧Cell cover

512‧‧‧腔室壁 512‧‧‧ chamber wall

513‧‧‧腔室底板 513‧‧‧ chamber floor

514‧‧‧前級真空管線 514‧‧‧Pre-stage vacuum pipeline

515‧‧‧RPS歧管 515‧‧‧RPS manifold

516‧‧‧清洗氣體開口 516‧‧‧ cleaning gas opening

517‧‧‧裝載開口 517‧‧‧ loading opening

518‧‧‧槽形開口蓋部 518‧‧‧Slotted opening cover

519‧‧‧基板狹縫 519‧‧‧Slab slit

520‧‧‧裝載開口門 520‧‧‧ Loading the opening door

521‧‧‧開口 521‧‧‧ openings

522‧‧‧處理區域 522‧‧‧Processing area

523‧‧‧排氣入口陣列 523‧‧‧Exhaust inlet array

524‧‧‧處理子區域 524‧‧‧Processing sub-areas

525‧‧‧高度 525‧‧‧ Height

530‧‧‧固化站 530‧‧‧Cure station

531‧‧‧加熱基板基座 531‧‧‧heated substrate base

532‧‧‧噴頭 532‧‧‧ nozzle

533‧‧‧噴頭氣室 533‧‧‧ sprinkler chamber

534‧‧‧環狀氣室 534‧‧‧Circular air chamber

535‧‧‧固化站加熱器 535‧‧‧Cure station heater

537‧‧‧熱電偶 537‧‧‧ thermocouple

540‧‧‧基板升舉組件 540‧‧‧Substrate lift assembly

541‧‧‧升舉銷索引器 541‧‧‧Selling pin indexer

542‧‧‧升舉銷 542‧‧‧Upselling

543‧‧‧垂直軸 543‧‧‧ vertical axis

544‧‧‧升居機構 544‧‧‧Uphold institutions

550‧‧‧遠端電漿源 550‧‧‧Remote plasma source

701‧‧‧孔口 701‧‧‧孔口

702‧‧‧處理氣體 702‧‧‧Processing gas

801‧‧‧排氣入口 801‧‧‧Exhaust entrance

802‧‧‧排氣氣室 802‧‧‧Exhaust chamber

810‧‧‧支撐構件 810‧‧‧Support members

811‧‧‧流動平衡孔口 811‧‧‧Flow balance orifice

1001‧‧‧接觸表面 1001‧‧‧ contact surface

1002‧‧‧圓柱元件 1002‧‧‧Cylindrical components

本發明揭露之特徵已簡要概述於前,並在以下有更詳盡之討論,可以藉由參考所附圖式中繪示之本發明實施例以作瞭解。然而,值得注意的是,所附圖式只繪示了本發明揭露的典型實施例,而由於本發明可允許其他等效之實施例,所附圖式並不會視為本發明範圍之限制。 The features of the present invention have been briefly described in the foregoing, and will be understood by reference to the embodiments of the present invention. However, it is to be understood that the appended claims are not intended to .

第1圖係包括根據本發明揭露的實施例設置具有批次固化腔室的生產介面之處理工具的俯視圖;第2圖係具有分區電漿產生區域的流動性化學氣相沉積腔室的一個實施例的截面圖;第3圖係可於第1圖所示的處理腔室200與批次固化腔室103中實施的處理過程之一個實施例的流程圖;第4A-4C圖係對應於第3圖所示的處理過程的各式階段之基板的部分之概要截面圖;第5圖係根據本發明揭露的實施例設置的批次固化腔室之截面側視圖;第6圖係根據本發明揭露的實施例設置的於第5圖所示的用於批次固化腔室的槽形開口蓋部的等角視圖;第7圖係根據本發明揭露的實施例設置的多個固化站的部分之部分截面圖;第8A圖係根據本發明揭露的實施例排列之多個群組的排氣入口陣列之等角視圖;第8B圖係第8A圖所示的多個群組排氣入口陣列的平面圖;第8C圖係第8A圖所示的多個群組排氣入口陣列的側視圖;第9圖係第5圖所示的腔室蓋與多個基板升舉組件的升舉銷索引器(lift pin indexer)的部分之等角視圖;及第10圖係根據本發明揭露的實施例配置的升舉銷索引器的截面圖。 1 is a top plan view of a processing tool including a production interface having a batch curing chamber in accordance with an embodiment of the present disclosure; and FIG. 2 is an embodiment of a fluid chemical vapor deposition chamber having a divided plasma generating region. A cross-sectional view of an example; FIG. 3 is a flow chart of one embodiment of a process that can be performed in the processing chamber 200 and the batch curing chamber 103 shown in FIG. 1; FIG. 4A-4C corresponds to the 3 is a schematic cross-sectional view of a portion of a substrate at various stages of the process illustrated; FIG. 5 is a cross-sectional side view of a batch curing chamber provided in accordance with an embodiment of the present disclosure; FIG. 6 is a view of the present invention The disclosed embodiment is provided with an isometric view of the slotted opening cover for the batch curing chamber shown in FIG. 5; and FIG. 7 is a portion of a plurality of curing stations provided in accordance with an embodiment of the present disclosure. a partial cross-sectional view; FIG. 8A is an isometric view of a plurality of groups of exhaust inlet arrays arranged in accordance with an embodiment of the present disclosure; FIG. 8B is a plurality of group exhaust inlet arrays illustrated in FIG. 8A Plan view; Figure 8C is a plurality of pictures shown in Figure 8A Side view of a group of exhaust inlet arrays; Figure 9 is an isometric view of a portion of the chamber cover shown in Figure 5 and a lift pin indexer of a plurality of substrate lift assemblies; and 10th The drawings are cross-sectional views of a lift pin indexer configured in accordance with an embodiment of the present disclosure.

為便於理解,在可能的情況下,使用相同的數字編號代表圖示中相同的元件。可以考慮,一個實施例中的元件與特徵可有利地用於其它實施例中而無需贅述。 For the sake of understanding, the same reference numerals will be used to refer to the same elements in the drawings. It is contemplated that elements and features of one embodiment may be advantageously utilized in other embodiments without further recitation.

本發明揭露的實施例一般係關於經調整而在一個時間同時固化多個基板的批次處理腔室。該腔室包括第一與第二子處理區域,第一與第二子處理區域各自由在批次處理腔室外的基板傳送裝置服務,且各子處理區域可支撐(support)基板。在一個實施例中,第一子處理區域直接在第二子處理區域下,其中第一與第二子處理區域可透過蓋板而藉由基板傳送裝置進出,蓋板覆蓋腔室中形成的裝載開口之部分。 Embodiments of the present disclosure generally relate to batch processing chambers that are adjusted to simultaneously cure a plurality of substrates at one time. The chamber includes first and second sub-processing regions, each of which is serviced by a substrate transfer device external to the batch processing chamber, and each sub-processing region can support the substrate. In one embodiment, the first sub-processing area is directly under the second sub-processing area, wherein the first and second sub-processing areas are permeable to the substrate transport device through the cover plate, and the cover plate covers the load formed in the chamber Part of the opening.

第1圖係處理工具的一個實施例之俯視圖,該處理工具包括根據本發明揭露實施例設置的具有批次固化腔室103之生產介面105。處理工具100一般包括生產介面105、批次固化腔室103、傳送腔室112、大氣夾持站109及複數個成對處理腔室108a-b、108c-d及108e-f。在處理工具100中,一對FOUPs(前開口統一縱槽)102供應基板(如300mm直徑的晶圓),基板由大氣機械臂104的一臂接受並置放入負載鎖定腔室106。第二機械臂110設置於與負載鎖定腔室106耦接的傳送腔室112中。第二機械臂110用於將基板從負載鎖定腔室106傳送至與傳送腔室112耦接的處理腔室108a-f。 1 is a top plan view of one embodiment of a processing tool including a production interface 105 having a batch curing chamber 103 disposed in accordance with an disclosed embodiment of the present invention. The processing tool 100 generally includes a production interface 105, a batch curing chamber 103, a transfer chamber 112, an atmospheric clamping station 109, and a plurality of paired processing chambers 108a-b, 108c-d, and 108e-f. In the processing tool 100, a pair of FOUPs (front open unified longitudinal grooves) 102 supply substrates (e.g., 300 mm diameter wafers), and the substrates are received by an arm of the atmospheric mechanical arm 104 and placed in the load lock chamber 106. The second robot arm 110 is disposed in a transfer chamber 112 coupled to the load lock chamber 106. The second robotic arm 110 is used to transfer the substrate from the load lock chamber 106 to the processing chambers 108a-f that are coupled to the transfer chamber 112.

處理腔室108a-f可包括用於將基板上的流動性介電薄膜沉積、退火、固化與(或)蝕刻的一或多個系統元件。在一個配置中,三對處理腔室(如108a-b、108c-d與108e-f) 可用於將流動性介電材料沉積於基板上。 Processing chambers 108a-f can include one or more system components for depositing, annealing, curing, and/or etching a fluid dielectric film on a substrate. In one configuration, three pairs of processing chambers (eg 108a-b, 108c-d and 108e-f) It can be used to deposit a fluid dielectric material on a substrate.

在某些實施例中,批次固化腔室103經配置而同時在多個基板上執行批次固化處理,多個基板具有沉積於其上的流動性介電材料。在此等實施例中,批次固化腔室103一般經設置而在很多個基板上執行固化處理,在很多個基板上執行固化處理可以在成對處理腔室108a-b、108c-d與108e-f中同時進行薄膜沉積。因此,在第1圖中所示的設置中,批次固化腔室103有利地調整尺寸以在固化過程中在一個時間容納六個基板。因而,已經由成對處理腔室108a-b、108c-d與108e-f處理的全部基板可以同時進行固化處理,從而最大化處理工具100的基板產量。 In certain embodiments, the batch curing chamber 103 is configured to simultaneously perform a batch curing process on a plurality of substrates having a flowable dielectric material deposited thereon. In such embodiments, the batch curing chamber 103 is typically configured to perform a curing process on a plurality of substrates, and the curing process may be performed on a plurality of substrates in pairs of processing chambers 108a-b, 108c-d, and 108e. Film deposition was simultaneously performed in -f. Thus, in the arrangement shown in Figure 1, the batch curing chamber 103 is advantageously sized to accommodate six substrates at a time during the curing process. Thus, all substrates that have been processed by the paired processing chambers 108a-b, 108c-d, and 108e-f can be simultaneously cured to maximize substrate throughput of the processing tool 100.

此外,在多個處理腔室具有不同處理方法開始與結束時間的情況中,為了避免基板於批次固化腔室103中殘留顯著不同的時間,處理工具100可包括大氣夾持站109,大氣夾持站109用於夾持已經處理完的基板直至其他接續處理的基板以其沉積處理完成。大氣夾持站允許全部基板立刻置放於批次固化腔室103中。例如,大氣夾持站109經配置而暫時將基板儲存於批次固化腔室103外直至所需數量的基板可用於批次固化腔室103中處理。大氣機械臂104接著以快速連續的方式將基板裝載入批次固化腔室103中,使得沒有經薄膜沉積的基板相較任何其他經薄膜沉積的停留在相對高溫度的批次固化腔室103中多長了幾秒。因此,固化處理中基板與基板的變化可以被最小化或減少。 Moreover, in the case where the plurality of processing chambers have different processing method start and end times, in order to avoid the substrate remaining significantly different time in the batch curing chamber 103, the processing tool 100 may include an atmospheric clamping station 109, an atmospheric clamp The holding station 109 is used to hold the substrate that has been processed until the other successively processed substrates are completed by their deposition process. The atmospheric clamping station allows all of the substrates to be placed in the batch curing chamber 103 immediately. For example, the atmospheric clamping station 109 is configured to temporarily store the substrate outside of the batch curing chamber 103 until the desired number of substrates are available for processing in the batch curing chamber 103. The atmospheric robotic arm 104 then loads the substrate into the batch curing chamber 103 in a rapid continuous manner such that the substrate without the thin film deposition is in a relatively high temperature batch curing chamber 103 compared to any other thin film deposited How long it takes to be in the middle. Therefore, variations in the substrate and the substrate in the curing process can be minimized or reduced.

批次固化腔室103一般包括腔室主體103B與狹縫閥 103A。在基板由大氣機械臂104定位於腔室主體103B中後,狹縫閥103A用於密封關閉腔室主體103B的內部區域。批次固化處理與批次固化腔室103相對於以下第4-10圖進一步描述。 The batch curing chamber 103 generally includes a chamber body 103B and a slit valve 103A. After the substrate is positioned in the chamber body 103B by the atmospheric robot arm 104, the slit valve 103A is used to seal the inner region of the closed chamber body 103B. The batch curing process and batch curing chamber 103 are further described with respect to Figures 4-10 below.

流動性CVD腔室與沉積處理示範例Flow CVD chamber and deposition treatment examples

第2圖係帶有分區電漿產生區域的流動性化學氣相沉積腔室200的一個實施例之截面圖。處理腔室200可係處理工具100的處理腔室108a-f的任何一個,其經至少配置而用於將流動性介電材料沉積於基板上。在某些實施例中,處理工具100可包括任何其他適合的化學氣相沉積腔室而不是處理腔室200。 Figure 2 is a cross-sectional view of one embodiment of a fluid chemical vapor deposition chamber 200 with a zoned plasma generation zone. Processing chamber 200 can be any of processing chambers 108a-f of processing tool 100 that are at least configured for depositing a flowable dielectric material on a substrate. In certain embodiments, the processing tool 100 can include any other suitable chemical vapor deposition chamber than the processing chamber 200.

在薄膜沉積(如氧化矽、氮化矽、氮氧化矽或碳氧化矽沉積)期間,處理氣體可經由氣體入口組件205流入第一電漿區域215。處理氣體可在進入第一電漿區域215前於遠端電漿系統(RPS)201內激發。處理腔室200包括蓋件212與噴頭225。蓋件212圖示有一所施的AC電壓源以及噴頭225接地,與第一電漿區域215中的電漿產生一致。絕緣環220定位於蓋件212與噴頭225之間,使電容耦接的電漿(CCP)能夠於第一電漿區域215中形成。所示蓋件212與噴頭225有絕緣環220在蓋件212與噴頭225之間,而允許AC電位相對於噴頭225施於蓋件212。 The process gas may flow into the first plasma region 215 via the gas inlet assembly 205 during thin film deposition such as hafnium oxide, tantalum nitride, hafnium oxynitride or tantalum carbonium oxide. The process gas can be excited within the remote plasma system (RPS) 201 prior to entering the first plasma zone 215. Processing chamber 200 includes a cover member 212 and a showerhead 225. The cover member 212 illustrates a applied AC voltage source and the showerhead 225 is grounded to coincide with the plasma in the first plasma region 215. The insulating ring 220 is positioned between the cover member 212 and the showerhead 225 to enable capacitively coupled plasma (CCP) to be formed in the first plasma region 215. The cover member 212 and the showerhead 225 are shown with an insulating ring 220 between the cover member 212 and the showerhead 225 to allow AC potential to be applied to the cover member 212 relative to the showerhead 225.

蓋件212可係用於與處理腔室使用的雙源蓋件。兩個不同的供應通道在氣體入口組件205內是可見的。第一通道202攜帶穿過遠端電漿系統(RPS)201的氣體,而第二通 道204繞過RPS 201。第一通道202可用於處理氣體以及第二通道204可用於加工氣體(treatment gas)。流入第一電漿區域215的該等氣體可由擋板206散開。 Cover 212 can be used with a dual source cover for use with a processing chamber. Two different supply channels are visible within the gas inlet assembly 205. The first passage 202 carries gas passing through the remote plasma system (RPS) 201, while the second passage Lane 204 bypasses RPS 201. The first passage 202 can be used to process gas and the second passage 204 can be used for a treatment gas. The gases flowing into the first plasma region 215 may be dispersed by the baffle 206.

流體(如前驅物)可通過噴頭225流入第二電漿區域233。來自第一電漿區域215中的前驅物之激發的物質(species)移動通過噴頭225中的孔214並與自噴頭225流入第二電漿區域233的前驅物反應。少許或沒有電漿存在於第二電漿區域233中。前驅物的激發衍生物於第二電漿區域233中結合以於基板上形成流動性的介電材料。隨著介電材料生長,更近來加入的材料相較下面的材料具有更高的活動性。隨著蒸發減少的有機物含量而活動性減少。間隙可使用此技術由流動性介電材料填充,而在沉積完成後沒有留下傳統密度的有機物含量於介電材料內。固化步驟(所述於下)可用於進一步將有機物含量自沉積的介電材料中減少或移除。 A fluid, such as a precursor, can flow into the second plasma region 233 through the showerhead 225. The species excited from the precursor in the first plasma region 215 moves through the aperture 214 in the showerhead 225 and reacts with the precursor flowing from the showerhead 225 into the second plasma region 233. Little or no plasma is present in the second plasma zone 233. The excited derivative of the precursor is combined in the second plasma region 233 to form a fluid dielectric material on the substrate. As dielectric materials grow, more recently added materials have higher mobility than the underlying materials. The activity decreases as the organic content of the evaporation decreases. The gap can be filled with a fluid dielectric material using this technique without leaving a conventional density of organic content within the dielectric material after deposition is complete. The curing step (described below) can be used to further reduce or remove organic content from the deposited dielectric material.

單獨於第一電漿區域215中激發前驅物或於第一電漿區域215結合的遠端電漿系統(RPS)201激發前驅物提供若干好處。由於第一電漿區域215中的電漿,來自前驅物的激發物質之濃度可於第二電漿區域233內增加。此增加可能起因於第一電漿區域215中電漿的位置。相較於遠端電漿系統(RPS)201,第二電漿區域233位置更靠近第一電漿區域215,而留下更少的時間給激發的物質通過與其他氣體粒子、腔室壁及噴頭表面而離開激發態。 Exciting the precursor in the first plasma region 215 alone or in the first plasma region 215, the remote plasma system (RPS) 201 excites the precursor to provide several benefits. Due to the plasma in the first plasma region 215, the concentration of the excited species from the precursor may increase within the second plasma region 233. This increase may result from the location of the plasma in the first plasma region 215. Compared to the far end plasma system (RPS) 201, the second plasma region 233 is positioned closer to the first plasma region 215, leaving less time for the excited species to pass through with other gas particles, chamber walls, and The surface of the showerhead leaves the excited state.

來自前驅物的激發物質的濃度均勻性亦可於第二電 漿區域233內增加。此可能起因於第一電漿區域215的形狀,第一電漿區域215的形狀與第二電漿區域233的形狀相似。相對於穿過噴頭225中心附近的孔214之物質,遠端電漿系統(RPS)201中產生的激發物質為了穿過噴頭225邊緣附近的孔214移動更多距離。更多距離使得激發物質減少激發,以及,例如,可能導致基板邊緣附近較慢的生長率。於第一電漿區域215中激發前驅物緩和此變化。 The concentration uniformity of the excited substance from the precursor can also be used in the second electricity The slurry area 233 is increased. This may be due to the shape of the first plasma region 215, which is similar in shape to the second plasma region 233. The excitation material generated in the Far End Plasma System (RPS) 201 moves more distances through the aperture 214 near the edge of the showerhead 225 relative to the material passing through the aperture 214 near the center of the showerhead 225. More distances cause the excitation material to reduce excitation and, for example, may result in a slower growth rate near the edge of the substrate. The precursor is excited in the first plasma region 215 to mitigate this change.

除了前驅物,可能有其他氣體為了不同目的在不同時間引入。可引入加工氣體已將不必要的物質在沉積期間自腔室壁、基板、沉積的薄膜與(或)薄膜移除。加工氣體可包括以下群組中的氣體之至少一個,該群組包含H2、H2/N2混合物、NH3、NH4OH、O3、O2、H2O2與水蒸氣。加工氣體可於電漿中激發以及接著用於將剩餘的有機物含量自沉積薄膜減少或移除。在其他實施例中,可使用加工氣體而不用電漿。當加工氣體包括水蒸氣時,可使用質量流量計(MFM)與注入閥或藉由其他合適的水蒸氣產生器達成傳送。 In addition to the precursors, there may be other gases introduced at different times for different purposes. The introduction of process gases has removed unnecessary materials from the chamber walls, substrates, deposited films and/or films during deposition. The process gas can include at least one of the gases in the group comprising H 2 , H 2 /N 2 mixture, NH 3 , NH 4 OH, O 3 , O 2 , H 2 O 2 and water vapor. The process gas can be excited in the plasma and then used to reduce or remove the remaining organic content from the deposited film. In other embodiments, a process gas can be used instead of a plasma. When the process gas includes water vapor, a mass flow meter (MFM) can be used to communicate with the injection valve or by other suitable water vapor generators.

在一個實施例中,介電層可以藉由引入介電材料前驅物(如含矽前驅物)以及在第二電漿區域233中反應處理前驅物而沉積。介電材料前驅物的示範例係含矽前驅物,包含矽烷、乙矽烷、甲基矽烷、二甲基矽烷、三甲基矽烷、四甲基矽烷、四乙氧基矽烷(TEOS)、三乙氧基矽烷(TES)、八甲基環四矽氧烷(OMCTS)、四甲基二矽氧烷(TMDSO)、四甲基環四矽氧烷(TMCTS)、四甲基二乙氧基二矽氧烷(TMDDSO)、二甲基二甲氧基矽烷(DMDMS)或以上各者 之組合。用於氮化矽沉積的額外前驅物包括含SixNyHz前驅物(如甲矽烷胺(sillyl-amine)及其衍生物,包含三甲矽烷胺(trisillylamine,TSA)與二甲矽烷胺(disillylamine,DSA))、含SixNyHzOzz前驅物、含SixNyHzClzz前驅物,或以上各者之結合。 In one embodiment, the dielectric layer can be deposited by introducing a dielectric material precursor (such as a hafnium containing precursor) and reacting the precursor in a second plasma region 233. An example of a dielectric material precursor is a ruthenium-containing precursor comprising decane, acetane, methyl decane, dimethyl decane, trimethyl decane, tetramethyl decane, tetraethoxy decane (TEOS), triethyl ethane. Oxydecane (TES), octamethylcyclotetraoxane (OMCTS), tetramethyldioxane (TMDSO), tetramethylcyclotetraoxane (TMCTS), tetramethyldiethoxydi A combination of oxoxane (TMDDSO), dimethyldimethoxydecane (DMDMS) or a combination of the above. Additional precursors for the deposition of tantalum nitride include Si x N y H z precursors (such as sillyl-amine and its derivatives, including trisillylamine (TSA) and dimethyl decylamine ( Disillylamine, DSA)), a Si x N y H z O zz precursor, a Si x N y H z Cl zz precursor, or a combination of the above.

處理前驅物包括含氫化合物、含氧化合物、含氮化合物或以上各者之結合。適當的處理前驅物之示範例包括由以下群組中選擇的一或多個化合物,該群組包含H2、H2/N2混合物、NH3、NH4OH、O3、O2、H2O2、N2、含N2H4蒸氣的NxHy化合物、NO、N2O、NO2、水蒸氣或以上各者之組合。處理前驅物可係存有電漿(如在RPS單元中)以包括N*與(或)H*與(或)含O*基或電漿,例如,NH3、NH2 *、NH*、N*、H*、O*、N*O*或以上各者之組合。或者,處理前驅物可包括本說明書所述的前驅物中的一或多者。 The treatment precursor includes a hydrogen-containing compound, an oxygen-containing compound, a nitrogen-containing compound, or a combination of the above. Appropriate treatment of the precursor exemplary embodiment comprises one or more compounds selected from the following group, the group comprising H 2, H 2 / N 2 mixture, NH 3, NH 4 OH, O 3, O 2, H 2 O 2 , N 2 , N x H y compound containing N 2 H 4 vapor, NO, N 2 O, NO 2 , water vapor or a combination of the above. Processing system there may be a plasma precursor (e.g., the RPS unit) to include the N * and (or) H * and (or) O *-containing group or plasma, e.g., NH 3, NH 2 *, NH *, N * , H * , O * , N * O * or a combination of the above. Alternatively, the processing precursor can include one or more of the precursors described herein.

處理前驅物可係於第一電漿區域215中激發的電漿以產生處理氣體電漿與自由基(包括含N*與(或)H*與(或)O*的自由基或電漿),例如,NH3、NH2 *、NH*、N*、H*、O*、N*O*或以上各者之組合。或者,處理前驅物可在穿過遠端電漿系統後而在引入第一電漿區域215前已經係在電漿狀態。 The processing precursor can be tied to the plasma excited in the first plasma region 215 to produce a process gas plasma and free radicals (including radicals or plasmas containing N * and/or H * and/or O * ). For example, NH 3 , NH 2 * , NH * , N * , H * , O * , N * O * or a combination of the above. Alternatively, the processing precursor may be in a plasma state after passing through the remote plasma system and prior to introduction of the first plasma region 215.

激發的處理前驅物290接著被傳送入第二電漿區域233以為了透過孔214與前驅物反應。一旦在處理空間中,處理前驅物可混合並反應而沉積介電材料。 The excited process precursor 290 is then transferred to a second plasma zone 233 for reaction with the precursor through the pores 214. Once in the processing space, the processing precursor can be mixed and reacted to deposit a dielectric material.

在一個實施例中,於處理腔室200中執行的流動性CVD處理可將介電材料沉積作為含聚矽氮烷為基之矽的薄膜 (PSZ類的薄膜),其係可流動的且可填充在沉積了含聚矽氮烷為基之矽的膜之基板中界定的凹槽、特徵、穿孔或其他孔。 In one embodiment, the fluid CVD process performed in the processing chamber 200 can deposit a dielectric material as a film comprising a polyazane-based ruthenium. (PSZ-based film) which is flowable and can be filled with grooves, features, perforations or other holes defined in a substrate on which a film containing a polyazane-based film is deposited.

除了介電材料前驅物與處理前驅物外,可有其他氣體為了不同目的於不同時間引入。可引入加工氣體以在沉積期間將不必要的物質自腔室壁、基板、沉積薄膜與(或)薄膜移除,如氫、碳與氟。處理前驅物與(或)加工氣體可包括以下群組中的至少一個氣體,該群組包含H2、H2/N2混合物、NH3、NH4OH、O3、O2、H2O2、N2、N2H4蒸氣、NO、N2O、NO2、水蒸氣或以上各者之組合。加工氣體可於電漿中激發並接著用於將剩餘的有機物含量自沉積薄膜減少或移除。在其他實施例中,可使用加工氣體而不用電漿。加工氣體可通過RPS單元或繞過RPS單元而引入第一處理區域,並可進一步於第一電漿區域中激發。 In addition to the dielectric material precursor and the processing precursor, other gases may be introduced at different times for different purposes. Process gases can be introduced to remove unwanted materials from the chamber walls, substrates, deposited films and/or films, such as hydrogen, carbon and fluorine, during deposition. The process precursor and/or process gas may comprise at least one gas of the group consisting of H 2 , H 2 /N 2 mixture, NH 3 , NH 4 OH, O 3 , O 2 , H 2 O 2 , N 2 , N 2 H 4 vapor, NO, N 2 O, NO 2 , water vapor or a combination of the above. The process gas can be excited in the plasma and then used to reduce or remove the remaining organic content from the deposited film. In other embodiments, a process gas can be used instead of a plasma. The process gas can be introduced into the first processing zone through the RPS unit or bypassing the RPS unit and can be further excited in the first plasma zone.

氮化矽材料包括氮化矽、SixNy、含氫氮化矽、SixNyHz、氮氧化矽(包括含氫的氮氧化矽)、SixNyHzOzz與含鹵素的氮化矽(包括氯化的氮化矽,SixNyHzClzz)。沉積的介電材料可接著被轉換為氧化矽類的材料。 The tantalum nitride material includes tantalum nitride, Si x N y , barium hydrogen hydride nitride, SixNyHz, barium oxynitride (including hydrogen oxynitride), Si x N y H z O zz and halogen-containing tantalum nitride. (including chlorinated tantalum nitride, Si x N y H z Cl zz ). The deposited dielectric material can then be converted to a cerium oxide-like material.

沉積與批次固化處理程序示範例Deposition and batch curing process examples

第3圖係可於處理腔室200與批次固化腔室103中實施的處理300的一個實施例之流程圖。第4A-4C圖係對應於處理300的各式階段的基板之部分的概要截面圖。雖然處理300所示用於基板中或上界定的凹槽中形成介電材料,如淺凹槽隔離(STI)結構製造處理,但是處理300可用於在基 板上形成其他結構,如層間介電(ILD)結構。 FIG. 3 is a flow diagram of one embodiment of a process 300 that may be implemented in the processing chamber 200 and the batch curing chamber 103. 4A-4C is a schematic cross-sectional view of a portion of a substrate corresponding to each stage of the process 300. Although process 300 shows the formation of a dielectric material in a trench defined in or on the substrate, such as a shallow trench isolation (STI) structure fabrication process, process 300 can be used at the base Other structures are formed on the board, such as an interlayer dielectric (ILD) structure.

處理300在步驟302處開始,藉由傳送基板400(如第4A圖所示)至沉積處理腔室(如第2圖所示的流動性化學氣相(CVD)腔室200)。在一個實施例中,基板400可係具有於其上形成一層或多層的矽基板以形成結構,如淺凹槽隔離(STI)結構404。在另一個實施例中,基板400可係具有多個層(如薄膜堆疊)的矽基板,以用於形成不同圖案與(或)特徵。基板400可係如結晶矽(如Si<100>或Si<111>)、氧化矽、應變矽、矽鍺、摻雜或未摻雜的多晶矽、摻雜或未摻雜的矽晶圓以及圖案或非圖案的絕緣體上之晶圓矽(SOI)、摻雜碳的氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石、設置於矽上的金屬層或其類似物的材料。基板400可係任何各式形狀與尺寸,如200mm、300mm或450mm直徑的晶圓,或矩形或方形板。 Process 300 begins at step 302 by transferring substrate 400 (as shown in FIG. 4A) to a deposition processing chamber (such as fluidity chemical vapor (CVD) chamber 200 shown in FIG. 2). In one embodiment, substrate 400 can have a germanium substrate on which one or more layers are formed to form a structure, such as shallow trench isolation (STI) structure 404. In another embodiment, substrate 400 can be a tantalum substrate having multiple layers, such as a thin film stack, for forming different patterns and/or features. The substrate 400 may be, for example, a crystalline germanium (such as Si<100> or Si<111>), germanium oxide, strained germanium, germanium, doped or undoped polysilicon, doped or undoped germanium wafer, and pattern. Or non-patterned insulator wafer enthalpy (SOI), carbon-doped yttria, tantalum nitride, doped ytterbium, ytterbium, gallium arsenide, glass, sapphire, metal layer disposed on the yttrium or the like s material. The substrate 400 can be any of a variety of shapes and sizes, such as 200 mm, 300 mm, or 450 mm diameter wafers, or rectangular or square plates.

在第4A圖所示的實施例中,層402設置於基板400上並適合用於透過流動性介電材料沉積而製造STI結構404。在某些實施例中,層402可經蝕刻或圖案化(patterned)以於層402內形成凹槽406而用於形成淺凹槽隔離(STI)結構,STI結構可用於將積體電路中的元件彼此電絕緣。或者,在層402不存在的實施例中,本說明書所述的於層402上執行的處理可於基板400上執行。 In the embodiment illustrated in FIG. 4A, layer 402 is disposed on substrate 400 and is suitable for fabrication of STI structure 404 by deposition of a fluid dielectric material. In some embodiments, layer 402 can be etched or patterned to form recesses 406 in layer 402 for forming shallow trench isolation (STI) structures, which can be used in integrated circuits The components are electrically insulated from one another. Alternatively, in embodiments where layer 402 is not present, the processing performed on layer 402 described herein may be performed on substrate 400.

在步驟304,介電材料408沉積於基板400上而填充層402內界定的凹槽406,如第4B圖所示。介電材料408可藉由於處理腔室200中執行的流動性化學氣相沉積處理而 沉積,如相對於以上第2圖所述。在一個實施例中,介電材料408係由供應入處理腔室200的氣體混合物所沉積之含矽材料。 At step 304, dielectric material 408 is deposited on substrate 400 to fill recess 406 defined within layer 402, as shown in FIG. 4B. The dielectric material 408 can be processed by a fluid chemical vapor deposition process performed in the processing chamber 200. Deposition, as described in relation to Figure 2 above. In one embodiment, the dielectric material 408 is a ruthenium containing material deposited from a gas mixture supplied to the processing chamber 200.

在一個實施例中,用於形成介電材料408之供應入處理腔室200的氣體混合物可包括如上所討論的介電材料前驅物與處理前驅物。此外,處理前驅物的適當示範例可包括如上所討論的含氮前驅物。此外,處理前驅物亦可包括含氫化合物、含氧化合物或以上之組合,如NH3氣體。或者處理前驅物可包括所需前驅物中的一或多個。 In one embodiment, the gas mixture used to form the dielectric material 408 into the processing chamber 200 can include a dielectric material precursor and a processing precursor as discussed above. Moreover, suitable examples of processing precursors can include nitrogen-containing precursors as discussed above. Further, the treatment precursor may also include a hydrogen-containing compound, an oxygen-containing compound, or a combination of the above, such as NH 3 gas. Alternatively, the processing precursor can include one or more of the desired precursors.

在一個實施例中,沉積處理期間的基板溫度維持於預定溫度範圍內。在一個實施例中,基板溫度維持在小於約攝氏200度,如小於攝氏100度以允許基板上形成的介電材料408係流動性的以回流與填充於凹槽406內。相信相當低的基板溫度(如小於攝氏100度)可以協助將初始於基板表面形成的薄膜維持在液態狀的流動性狀態,以保持基板表面上形成的結果薄膜之流動性與黏滯性。隨著結果的薄膜於基板上形成具有一定程度的流動性與黏滯性,在接續的熱與濕處理之後,薄膜的接合結構可改變、轉換、取代成不同的功能群組或接合結構。在一個實施例中,處理腔室中的基板溫度維持在約室內溫度至約攝氏200度之間,如約小於攝氏100度,例如介於約攝氏30度至約攝氏80度之間。 In one embodiment, the substrate temperature during the deposition process is maintained within a predetermined temperature range. In one embodiment, the substrate temperature is maintained at less than about 200 degrees Celsius, such as less than 100 degrees Celsius to allow the dielectric material 408 formed on the substrate to be fluid to reflow and fill within the recess 406. It is believed that a relatively low substrate temperature (e.g., less than 100 degrees Celsius) can assist in maintaining the film formed initially on the surface of the substrate in a liquid flow state to maintain the fluidity and viscosity of the resulting film formed on the surface of the substrate. As the resulting film forms a degree of fluidity and viscosity on the substrate, the bonded structure of the film can be altered, converted, substituted into different functional groups or bonded structures after subsequent thermal and wet processing. In one embodiment, the substrate temperature in the processing chamber is maintained between about room temperature to about 200 degrees Celsius, such as less than about 100 degrees Celsius, such as between about 30 degrees Celsius and about 80 degrees Celsius.

介電材料前驅物可以約1sccm至約5000sccm之間的流率供應至處理腔室。處理前驅物可以約1sccm至約1000sccm之間的流率供應至處理腔室。或者,在處理期間,供應 的氣體混合物亦可控制在介電材料前驅物比處理前驅物之約0.1至100之間的流動比率。處理壓力維持在約0.10Torr至約10Torr之間,例如約0.1Torr至約1Torr之間,如約0.5Torr至0.7Torr之間。 The dielectric material precursor can be supplied to the processing chamber at a flow rate between about 1 sccm and about 5000 sccm. The processing precursor can be supplied to the processing chamber at a flow rate between about 1 sccm and about 1000 sccm. Or, during processing, supply The gas mixture can also be controlled to a flow ratio between about 0.1 and 100 of the dielectric material precursor than the treated precursor. The processing pressure is maintained between about 0.10 Torr and about 10 Torr, such as between about 0.1 Torr and about 1 Torr, such as between about 0.5 Torr and 0.7 Torr.

一或多個惰性氣體亦可包含有提供至處理腔室200的氣體混合物。惰性氣體可包括但不局限於稀有氣體(noble gas),如Ar、He、Xe及類似物。惰性氣體可以約1sccm至約50000sccm的流動速率供應至處理腔室。 The one or more inert gases may also include a gas mixture provided to the processing chamber 200. The inert gas may include, but is not limited to, a noble gas such as Ar, He, Xe, and the like. The inert gas may be supplied to the processing chamber at a flow rate of from about 1 sccm to about 50,000 sccm.

RF電源用於維持沉積期間的電漿。RF電源供應於約100kHz至約100MHz之間,如約350kHz或約13.56MHz。或者,VHF電源可用於提供高達約27MHz至200MHz之間的頻率。在一個實施例中,RF電源可供應於約1000瓦至10000瓦之間。基板至噴頭225的間隔可根據基板尺寸控制。在一個實施例中,處理間隔控制在約100密耳(mil)至約5英吋(inch)之間。 The RF power source is used to maintain the plasma during deposition. The RF power supply is supplied between about 100 kHz and about 100 MHz, such as about 350 kHz or about 13.56 MHz. Alternatively, a VHF power supply can be used to provide frequencies up to between about 27 MHz and 200 MHz. In one embodiment, the RF power source can be supplied between about 1000 watts and 10,000 watts. The spacing of the substrate to the showerhead 225 can be controlled according to the substrate size. In one embodiment, the processing interval is controlled between about 100 mils to about 5 inches.

在一個實施例中,於基板400上形成的介電材料408係具有氮或氫原子的含矽材料,如於基板上形成的SixNyHz或-Si-N-H-鍵結,其中x係1至200的整數,y、z係0至400的整數。由於提供於氣體混合物中的處理前驅物可在沉積期間提供氮與氫物質,介電材料408中形成的矽原子可包含-Si-N-H-、-Si-N-或-Si-H-或其他鍵結。Si-N、N-H、Si-H鍵結將進一步藉由接續的熱與濕處理以Si-O-Si鍵結取代以形成介電材料408而作為氧化矽層。 In one embodiment, the dielectric material 408 formed on the substrate 400 is a germanium-containing material having nitrogen or hydrogen atoms, such as a Si x N y Hz or -Si-NH- bond formed on a substrate, where x An integer from 1 to 200, y, z is an integer from 0 to 400. Since the processing precursor provided in the gas mixture can provide nitrogen and hydrogen species during deposition, the germanium atoms formed in the dielectric material 408 can comprise -Si-NH-, -Si-N- or -Si-H- or other Bonding. The Si-N, NH, Si-H bonds will further be replaced by Si-O-Si bonds by successive thermal and wet processes to form a dielectric material 408 as a ruthenium oxide layer.

在步驟306,在介電材料408於基板400上形成後, 基板400經固化與(或)熱處理。固化處理將水分與其他揮發性成份自沉積的介電材料408移除以形成固相介電材料408,如第4C圖所示。隨著介電材料408固化,沉積的介電材料408中的水分與溶劑排出,導致沉積的介電材料408重新填充與回流於界定於基板400中的凹槽406,從而於基板400上形成實質平坦的表面410。在一個實施例中,固化步驟306可於批次固化腔室103中執行。 After step 306, after the dielectric material 408 is formed on the substrate 400, The substrate 400 is cured and/or heat treated. The curing process removes moisture and other volatile components from the deposited dielectric material 408 to form a solid phase dielectric material 408, as shown in FIG. 4C. As the dielectric material 408 solidifies, the moisture and solvent in the deposited dielectric material 408 are expelled, causing the deposited dielectric material 408 to refill and reflow into the recess 406 defined in the substrate 400, thereby forming a substantial substance on the substrate 400. A flat surface 410. In one embodiment, the curing step 306 can be performed in the batch curing chamber 103.

在某些實施例中,固化溫度可控制於攝氏150度之下的溫度,如攝氏100度以下,例如約攝氏50度。固化時間可控制於約1秒至約10小時之間。例如,在一個實施例中,固化處理在約攝氏90度的溫度下執行8至10分鐘。在某些實施例中,在固化處理期間,使用加熱的淨化氣體與(或)惰性載體氣體(氬(Ar)或氮(N2)),並流動到基板上,例如經由加熱的噴頭。在其他實施例中,載體氣體可與臭氧(O3)結合於固化處理期間使用。在其他例子中,熱處理氣體於基板表面上的流動以及基板的加熱可以有效地將揮發性元件自薄膜移除,其中流動性介電薄膜已經於基板上形成。在此方法中,經由流動性CVD處理形成的薄膜(如於步驟304中沉積的薄膜)可以轉換為帶有小或沒有孔洞的密集、固體介電薄膜,甚至係當於帶有高深寬比特徵的基板上形成時。在某些實施例中,固化處理包括預熱步驟,在預熱步驟中,在處理氣體流動前,基板於加熱的基座上靜置一特定的持續時間(如約1秒至約10分鐘)。 In certain embodiments, the curing temperature can be controlled to a temperature below 150 degrees Celsius, such as below 100 degrees Celsius, such as about 50 degrees Celsius. The curing time can be controlled between about 1 second and about 10 hours. For example, in one embodiment, the curing process is performed at a temperature of about 90 degrees Celsius for 8 to 10 minutes. In certain embodiments, during the curing process, using a heated purge gas and (or) an inert carrier gas (argon (Ar) or nitrogen (N 2)), and flows to the substrate, e.g., via a heated nozzle. In other embodiments, the carrier gas with ozone (O 3) used in combination to cure during processing. In other examples, the flow of the heat treatment gas on the surface of the substrate and the heating of the substrate can effectively remove volatile elements from the film, wherein the fluid dielectric film has been formed on the substrate. In this method, the film formed by the fluid CVD process (such as the film deposited in step 304) can be converted into a dense, solid dielectric film with little or no holes, even with high aspect ratio features. When forming on the substrate. In certain embodiments, the curing process includes a preheating step in which the substrate is allowed to rest on the heated susceptor for a specified duration (eg, from about 1 second to about 10 minutes) prior to the flow of the process gas. .

在步驟310,在固化處理完成後,介電材料408可 選擇性暴露於熱退火處理以形成退火介電材料408。一般來說,熱退火處理於分別處理腔室中執行而不是以上所述的固化處理。步驟310可於其中執行之適當的熱退火腔室之示範例係可自應用材料公司等取得的CENTURA® RADIANCE® RTP腔室。值得注意的是,包含自其他製造商取得的其他類型之退火腔室或RTP腔室亦可用於執行如步驟310中所述的熱退火處理。 At step 310, after the curing process is completed, the dielectric material 408 can The thermal annealing process is selectively exposed to form an annealed dielectric material 408. Generally, the thermal annealing process is performed in separate processing chambers instead of the curing process described above. An example of a suitable thermal annealing chamber in which step 310 can be performed is a CENTURA® RADIANCE® RTP chamber available from Applied Materials, Inc., etc. It should be noted that other types of annealing chambers or RTP chambers that are available from other manufacturers may also be used to perform the thermal annealing process as described in step 310.

批次固化處理程序示範例Batch curing process example

第5圖係根據本揭露的實施例而設置的批次固化腔室500的側視截面圖。批次固化腔室500可用作第1圖中的批次固化腔室103以即可用於執行以上步驟306所述的批次固化處理。批次固化腔室500一般包括腔室主體510、設置於腔室主體510內的多個固化站530以及部分設置於腔室主體510內多個基板升舉組件540。 Figure 5 is a side cross-sectional view of a batch curing chamber 500 disposed in accordance with an embodiment of the present disclosure. The batch curing chamber 500 can be used as the batch curing chamber 103 in FIG. 1 to be used to perform the batch curing process described in the above step 306. The batch curing chamber 500 generally includes a chamber body 510, a plurality of curing stations 530 disposed within the chamber body 510, and a plurality of substrate lift assemblies 540 disposed partially within the chamber body 510.

腔室主體510包括與腔室蓋件511及腔室底板513耦接的腔室壁512。真空泵前級真空管線514(經配置而將處理與淨化氣體自腔室主體510泵送)通過腔室底板513而穿入腔室510。在其他實施例中,真空泵前級真空管線514可通過腔室壁512中的一或多個與(或)腔室蓋件511而穿入腔室510。真空泵前級真空管線514通過開口521而與腔室510的處理區域522流體耦接以及與鄰近於多個固化站530的各個設置之多個排氣入口陣列523之各者流體耦接。因此,在固化處理期間自基板排出的處理氣體、淨化氣體與揮發性化合物可以自處理區域522移除以及自位於多個固化站530之 間的處理子區域524之各個移除。多個排氣入口陣列523結合第8圖而有更詳盡之描述。 The chamber body 510 includes a chamber wall 512 that is coupled to the chamber cover 511 and the chamber floor 513. A vacuum pump front stage vacuum line 514 (configured to pump the purge gas from the chamber body 510) through the chamber floor 513 into the chamber 510. In other embodiments, the vacuum pump front stage vacuum line 514 can penetrate the chamber 510 through one or more of the chamber walls 512 and/or the chamber cover 511. The vacuum pump front stage vacuum line 514 is fluidly coupled to the processing region 522 of the chamber 510 through openings 521 and to each of the plurality of exhaust inlet arrays 523 disposed adjacent each of the plurality of curing stations 530. Thus, process gases, purge gases, and volatile compounds that are discharged from the substrate during the curing process can be removed from the processing zone 522 and from a plurality of curing stations 530 Each of the processing sub-regions 524 is removed. A plurality of exhaust gas inlet arrays 523 are described in more detail in connection with Figure 8.

腔室主體510亦可包括與腔室壁512中的一個耦接的RPS歧管515。在定期清洗處理期間,RPS歧管515經配置而將清洗氣體經由多個清洗氣體開口516導向各處理子區域524。清洗氣體可由遠端電漿源550產生。例如,NH3或任何其他清洗氣體可穿過遠端電漿源並接著用於移除腔室主體與多個固化站530的一或多個內表面上的不必要沉積物。在預定量的固化薄膜由批次固化腔室500處理之後,或在預定數量的基板被批次固化腔室500處理之後,此處理可在特定時間間隔執行。 The chamber body 510 can also include an RPS manifold 515 coupled to one of the chamber walls 512. During the periodic cleaning process, the RPS manifold 515 is configured to direct purge gas to each of the processing sub-regions 524 via a plurality of purge gas openings 516. The purge gas can be generated by a remote plasma source 550. For example, NH 3, or any other cleaning gases may pass through the distal end of a plasma source and then unnecessary deposits on the inner surface of one or more of the plurality of removable chamber body 530. curing station. This processing may be performed at specific time intervals after a predetermined amount of cured film is processed by the batch curing chamber 500, or after a predetermined number of substrates are processed by the batch curing chamber 500.

腔室主體510一般亦包括裝載開口517、槽形開口蓋部518(第6圖中所示更多細節)及裝載開口門520,裝載開口517於腔室壁512中的其中一個形成,槽形開口蓋部518設置有多個基板狹縫519,裝載開口門520經設置而在固化處理期間密封裝載開口517。一般來說,基板狹縫519的各個對應於固化站530的個別一個,以及與固化站530的個別一個實質對齊以當裝載開口門520在開啟位置中時,允許大氣機械臂104延伸一臂進入多個子處理區域524中的各個。第5圖中圖示裝載開口門520係在關閉位置。 The chamber body 510 also generally includes a loading opening 517, a slotted opening cover portion 518 (more details shown in FIG. 6), and a loading opening door 520 formed in one of the chamber walls 512. The opening cover portion 518 is provided with a plurality of substrate slits 519 that are configured to seal the loading opening 517 during the curing process. In general, each of the substrate slits 519 corresponds to an individual one of the curing stations 530 and substantially aligned with an individual one of the curing stations 530 to allow the atmospheric robotic arm 104 to extend one arm into the opening when the loading opening door 520 is in the open position. Each of the plurality of sub-processing regions 524. The loading opening door 520 is illustrated in Fig. 5 in the closed position.

裝載開口517經配置而允許基板裝載入多個固化站530中的各個而不用將裝載開口相對於多個固化站530或生產介面105重新定位。例如,當多個固化站530以堆疊陣列排列時,如第5圖所示,裝載開口517經配置而在兩個維度 (two dimensions,即高度與寬度)上橫跨堆疊陣列,使得堆疊陣列中的多個固化站530的全部或至少一大比例可由大氣機械臂104進出。因此,當固化站530以垂直堆疊陣列排列時,裝載開口517的高度525相當大以容納多個固化站530結合的高度。槽形開口蓋部518可係板或其他結構經配置而在裝載開口517打開時(如基板的裝載與卸載期間)最小化或減少裝載開口517的打開區域。因為裝載開口517具有相當大的高度525,所以裝載開口的自由區域係對應地大,而允許來自生產介面105的大量周圍空氣在槽形開口蓋部518不在時進入批次固化腔室500。大量進入批次固化腔室500的周圍空氣可能導致批次固化腔室500不必要的冷卻或批次固化腔室500中內部元件的氧化與(或)污染,以及亦導致批次固化腔室500中處理氣體與排出產物洩漏入生產介面105。因此,槽形開口蓋部518幫助避免粒子與(或)不必要的氣體或處理副產品自批次固化腔室500來回傳送。 The loading opening 517 is configured to allow the substrate to be loaded into each of the plurality of curing stations 530 without repositioning the loading opening relative to the plurality of curing stations 530 or production interface 105. For example, when multiple curing stations 530 are arranged in a stacked array, as shown in Figure 5, the loading opening 517 is configured in two dimensions Across the stacked array (two dimensions, height and width) such that all or at least a large proportion of the plurality of curing stations 530 in the stacked array can be accessed by the atmospheric robotic arm 104. Thus, when the curing stations 530 are arranged in a vertically stacked array, the height 525 of the loading openings 517 is relatively large to accommodate the combined height of the plurality of curing stations 530. The slotted opening cover portion 518 can be configured such that the tie plate or other structure is configured to minimize or reduce the open area of the loading opening 517 when the loading opening 517 is open, such as during loading and unloading of the substrate. Because the loading opening 517 has a substantial height 525, the free area of the loading opening is correspondingly large, allowing a large amount of ambient air from the production interface 105 to enter the batch curing chamber 500 when the slotted opening cover 518 is not present. The large amount of ambient air entering the batch curing chamber 500 may result in unnecessary cooling of the batch curing chamber 500 or oxidation and/or contamination of internal components in the batch curing chamber 500, as well as the batch curing chamber 500. The process gas and the exhaust product leak into the production interface 105. Thus, the slotted opening cover 518 helps to prevent particles and/or unnecessary gases or process byproducts from being transferred back and forth from the batch curing chamber 500.

第6圖係根據本揭露實施例設置的用於第5圖所示的批次固化腔室500的槽形開口蓋部518之等角視圖。槽形開口蓋部518可係板或其他結構經配置而在裝載開口517打開時(如基板的裝載與卸載期間)最小化或減少裝載開口517的打開區域。例如,多個基板狹縫519的尺寸可經選擇係實務上所能做出的小而沒有造成與通過裝載開口517裝載與卸載的基板之干涉。在此實施例中,可基於大氣機械臂104(示於第1圖)位置、槽形開口蓋部518、裝載開口517以及可能影響多個基板狹縫519相對於大氣機械臂104的個別位置之 批次固化腔室500的任何元件之容差疊加(tolerance stack-up)與腔室對腔室的變化而決定多個基板狹縫519的尺寸。因此,在此實施例中,多個基板狹縫可經配置而符合靜置於大氣機械臂104的臂上之基板的截面加上額外的自由區域以適應批次固化腔室500的元件、生產介面105、大氣機械臂104及類似物之容差疊加。 Figure 6 is an isometric view of a slotted opening cover portion 518 for the batch curing chamber 500 shown in Figure 5, disposed in accordance with an embodiment of the present disclosure. The slotted opening cover portion 518 can be configured such that the tie plate or other structure is configured to minimize or reduce the open area of the loading opening 517 when the loading opening 517 is open, such as during loading and unloading of the substrate. For example, the size of the plurality of substrate slits 519 can be selected to be small enough to cause interference with the substrate loaded and unloaded through the loading opening 517. In this embodiment, the position of the atmospheric robotic arm 104 (shown in FIG. 1), the slotted opening cover portion 518, the loading opening 517, and the individual positions of the plurality of substrate slits 519 relative to the atmospheric mechanical arm 104 may be utilized. The tolerance stack-up of any component of the batch curing chamber 500 and the chamber-to-chamber variation determine the size of the plurality of substrate slits 519. Thus, in this embodiment, the plurality of substrate slits can be configured to conform to the cross-section of the substrate resting on the arms of the atmospheric robot arm 104 plus additional free areas to accommodate the components of the batch curing chamber 500, production. The tolerances of interface 105, atmospheric robot arm 104, and the like are superimposed.

為了減少基板裝載入批次固化腔室500時裝載開口517的自由區域,槽形開口蓋部518大幅減少或最小化周圍空氣進入的入口以及處理與淨化氣體自批次固化腔室500出去的出口。因此,儘管裝載開口517相當大尺寸,但是很少或沒有處理氣體與(或)揮發性成分在基板裝載與卸載期間離開批次固化腔室500。此外,避免了周圍空氣自生產介面105進入或熱輻射離開批次固化腔室500導致的批次固化腔室500之不必要冷卻。 In order to reduce the free area of the loading opening 517 when the substrate is loaded into the batch curing chamber 500, the slotted opening cover portion 518 substantially reduces or minimizes the inlet of ambient air entry and the processing and purge gas exiting the batch curing chamber 500. Export. Thus, despite the relatively large size of the loading opening 517, little or no process gas and/or volatile components leave the batch curing chamber 500 during substrate loading and unloading. In addition, unnecessary cooling of the batch curing chamber 500 caused by ambient air entering or thermally radiating away from the batch curing chamber 500 from the production interface 105 is avoided.

第7圖係根據本揭露實施例配置的多個固化站530的部分之部分截面圖。設置於腔室主體510內的多個固化站530之各個包括加熱基板基座531、定位於加熱基座531上的噴頭532、於加熱基座531與噴頭532之間形成的噴頭氣室533、與噴頭氣室533和處理氣體板(未圖示)流體耦接的環狀氣室534、固化站加熱器535以及熱電偶537。為求清楚,可鄰近於固化站530設置的排氣入口陣列523自第7圖省略。處理子區域524位於多個固化站530的各個之間。 Figure 7 is a partial cross-sectional view of a portion of a plurality of curing stations 530 configured in accordance with an embodiment of the present disclosure. Each of the plurality of curing stations 530 disposed in the chamber body 510 includes a heating substrate base 531, a shower head 532 positioned on the heating base 531, a shower head chamber 533 formed between the heating base 531 and the shower head 532, An annular plenum 534, a curing station heater 535, and a thermocouple 537 are fluidly coupled to the spray chamber 533 and the process gas plate (not shown). For clarity, the exhaust inlet array 523, which may be disposed adjacent to the curing station 530, is omitted from FIG. Processing sub-region 524 is located between each of a plurality of curing stations 530.

加熱基板基座531經配置而支撐以及在某些實施例中於固化處理期間加熱基板。噴頭532經配置而均勻分配進 入噴頭氣室533至鄰近處理子區域524的處理氣體(即固化氣體)與淨化氣體。此外,加熱基板基座531與噴頭532經配置而形成所示的噴頭氣室533。值得注意的是穿過噴頭氣室533並進入處理子區域524的氣體可藉由與處理子區域524相聯的加熱基板基座531加熱,該處理子區域524不同於且鄰近於氣體流入的處理子區域524。或者或更甚者,穿過噴頭氣室533與進入處理子區域524的氣體可藉由氣體通過的噴頭532加熱。 The heated substrate pedestal 531 is configured to support and, in certain embodiments, heat the substrate during the curing process. The showerhead 532 is configured to be evenly distributed The process gas (i.e., the solidification gas) entering the nozzle chamber 533 to the adjacent processing sub-region 524 is purged with the purge gas. Further, the heating substrate base 531 and the shower head 532 are disposed to form the shower head chamber 533 as shown. It is noted that the gas passing through the showerhead chamber 533 and entering the processing sub-region 524 can be heated by the heated substrate base 531 associated with the processing sub-region 524, which is different from and adjacent to the inflow of gas. Sub-region 524. Or, moreover, gas passing through the showerhead plenum 533 and entering the processing sub-region 524 may be heated by a showerhead 532 through which the gas passes.

在某些實施例中,通過噴頭氣室533並進入處理子區域524的處理與(或)淨化氣體可首先穿過與噴頭氣室533流體耦接的環狀氣室534,如第7圖所示。環狀氣室534經配置有複數個孔口701,孔口701經調整尺寸而相較於當處理氣體702流動通過噴頭氣室533時於處理氣體702上產生的流動阻力而在處理氣體702上產生更大的流動阻力(即壓降)。在此方法中,雖然環狀氣室534可透過單一入口或少量入口而與處理氣體板耦接,但是進入噴頭氣室533的處理氣體702的流動在噴頭532周圍附近係實質均勻的。一般來說,進入噴頭氣室533的處理氣體702之均勻流動促成通過噴頭532進入處理子區域524的均勻流動。為了進一步促成處理氣體702的均勻流動,孔口701可於環狀氣室534的內周附近對稱分佈。 In some embodiments, the process and/or purge gas passing through the showerhead 533 and into the process sub-region 524 may first pass through an annular plenum 534 that is fluidly coupled to the showerhead plenum 533, as shown in FIG. Show. The annular plenum 534 is configured with a plurality of orifices 701 that are sized to be on the process gas 702 as compared to the flow resistance generated on the process gas 702 as the process gas 702 flows through the showerhead plenum 533. Produces greater flow resistance (ie pressure drop). In this method, although the annular plenum 534 can be coupled to the process gas plate through a single inlet or a small number of inlets, the flow of process gas 702 into the ejector chamber 533 is substantially uniform near the periphery of the showerhead 532. In general, the uniform flow of process gas 702 into the nozzle plenum 533 facilitates uniform flow into the process sub-region 524 through the showerhead 532. To further facilitate uniform flow of the process gas 702, the orifices 701 can be symmetrically distributed about the inner circumference of the annular plenum 534.

促成進入噴頭氣室533的處理氣體702之均勻流動的孔口701最大自由區域可基於孔口701的數量、噴頭氣室533的尺寸、噴頭532產生的流動阻力以及處理氣體702的約 略流動速率等來決定。此孔口701的最大自由區域可藉由所屬領域中具有通常知識者就以上所述之知識來決定。 The maximum free area of the orifice 701 that facilitates uniform flow of the process gas 702 into the spray chamber 533 can be based on the number of orifices 701, the size of the spray chamber 533, the flow resistance generated by the spray head 532, and the process gas 702. The flow rate is determined by a slight flow rate. The maximum free area of this aperture 701 can be determined by the knowledge of those of ordinary skill in the art in view of the above.

批次固化腔室500可包括固化站加熱器535與熱電偶537,其在一起而使個別閉迴路溫度控制能夠用於多個固化站530的各個。因此,批次固化腔室500可以處理多個基板而沒有多個固化站530間溫度變化導致的基板對基板變化的風險。沒有固化站加熱器535的個別溫度控制,批次固化腔室500的處理子區域524之頂部與底部處理的基板通常相較於中心處理子區域524中處理的基板暴露於較低溫度,其可以嚴重影響固化處理晶圓至晶圓批次處理的結果。 The batch curing chamber 500 can include a curing station heater 535 and a thermocouple 537 that together enable individual closed loop temperature control for each of the plurality of curing stations 530. Therefore, the batch curing chamber 500 can process a plurality of substrates without the risk of substrate-to-substrate variation caused by temperature variations between the plurality of curing stations 530. Without the individual temperature control of the curing station heater 535, the top and bottom processed substrates of the batch curing chamber 500 are typically exposed to lower temperatures than the substrates processed in the central processing sub-region 524, which may Seriously affects the results of curing wafer-to-wafer batch processing.

在某些實施例中,熱電偶537與固化站加熱器535皆設置於加熱基板基座531中,如第7圖所示。在此等實施例中,噴頭532與環狀氣室534的壁經由傳導與輻射加熱傳遞而加熱至接近加熱基板基座531的溫度。因此,穿過環狀氣室534的處理氣體、噴頭氣室533與噴頭532亦加熱至接近加熱基板基座531的溫度。熱電偶537提供溫度反饋給加熱基板基座531以及因而進入處理子區域524中的一個之處理氣體的溫度閉迴路控制。或者,可設置熱電偶537與噴頭532接觸以及(或)與進入進入處理子區域524中的一個之處理氣體接觸。 In some embodiments, thermocouple 537 and curing station heater 535 are both disposed in heated substrate base 531, as shown in FIG. In such embodiments, the showerhead 532 and the wall of the annular plenum 534 are heated to a temperature near the heated substrate base 531 via conduction and radiant heat transfer. Therefore, the process gas passing through the annular gas chamber 534, the shower head chamber 533 and the shower head 532 are also heated to a temperature close to the heating substrate base 531. Thermocouple 537 provides temperature feedback to the closed circuit control of the process gas that heats substrate base 531 and thus enters one of processing sub-regions 524. Alternatively, thermocouple 537 can be placed in contact with showerhead 532 and/or in contact with process gas entering one of processing sub-regions 524.

如以上所述,多個排氣入口陣列523鄰近多個固化站530的各者而設置。在處理子區域524中的一個中的基板上執行的某些固化處理中,自基板上形成的介電材料排出之揮發性成分可形成粒子,如SiO2粒子。此等粒子可能靜置於 正在處理的基板上,此係非常不受歡迎的。因此,批次固化腔室500中的淨化與處理氣體的流動模式可以影響處理子區域524中正在處理的基板之污染。排氣入口陣列523經配置而將揮發性成份與粒子(如果形成的話)自正處理的基板排出。在某些實施例中,兩個或兩個以上的排氣入口陣列523鄰近於各固化站530如以對稱排列的方式設置,如第7與8A-8C圖所示。 As described above, a plurality of exhaust gas inlet arrays 523 are disposed adjacent to each of the plurality of curing stations 530. In some of the curing processes performed on the substrate in one of the processing sub-regions 524, the volatile components expelled from the dielectric material formed on the substrate may form particles, such as SiO 2 particles. These particles may be placed on the substrate being processed, which is highly undesirable. Thus, the flow patterns of purge and process gases in the batch curing chamber 500 can affect the contamination of the substrate being processed in the processing sub-region 524. The exhaust inlet array 523 is configured to vent volatile components and particles (if formed) from the substrate being processed. In some embodiments, two or more exhaust gas inlet arrays 523 are disposed adjacent to each curing station 530, such as in a symmetric arrangement, as shown in Figures 7 and 8A-8C.

第8A圖係根據本揭露實施例排列的多個群組排氣入口陣列523之等角視圖。第8B圖係第8A圖所示的多個群組排氣入口陣列523之平面圖以及第8C圖係第8A圖所示的多個群組排氣入口陣列523之側視圖。為求清楚,批次固化腔室500的大部分其他元件被省略。如第8A-8C圖所示的實施例所示,一群組的四個排氣入口陣列523鄰近於特定固化站530定位,總共有六群組的四個排氣入口陣列523。在其他實施例中,一群組的多於或少於四個排氣入口陣列523可鄰近於單一固化站530定位。 8A is an isometric view of a plurality of group exhaust inlet arrays 523 arranged in accordance with an embodiment of the present disclosure. 8B is a plan view of a plurality of group exhaust inlet arrays 523 shown in FIG. 8A and a side view of a plurality of group exhaust inlet arrays 523 shown in FIG. 8C. For the sake of clarity, most of the other components of the batch curing chamber 500 are omitted. As shown in the embodiment shown in Figures 8A-8C, a group of four exhaust inlet arrays 523 are positioned adjacent to a particular curing station 530, with a total of six groups of four exhaust inlet arrays 523. In other embodiments, more or less than four exhaust inlet arrays 523 of a group may be positioned adjacent to a single curing station 530.

各排氣入口陣列523包括與排氣氣室802流體耦接的複數個排氣入口801,排氣氣室802位於排氣入口陣列523內。在某些實施例中,各排氣入口陣列523與支撐構件810機械耦接,支撐構件810結構性支撐以及定位與其耦接的排氣入口陣列523。在第8A-C圖所示的實施例中,批次固化腔室500包括四個分開的支撐構件810,而在其他實施例中,批次固化構件500可經配置有多於或少於總共四個支撐構件810。此外,各排氣入口陣列523與排氣歧管流體耦接(為求 清楚而未圖示),排氣歧管接著與批次固化腔室500的前級真空管線514流體耦接。在某些實施例中,支撐構件810的一或多個亦可設置為排氣歧管。 Each exhaust inlet array 523 includes a plurality of exhaust inlets 801 that are fluidly coupled to an exhaust plenum 802 that is located within the exhaust inlet array 523. In some embodiments, each exhaust inlet array 523 is mechanically coupled to a support member 810 that structurally supports and positions the exhaust inlet array 523 coupled thereto. In the embodiment illustrated in Figures 8A-C, the batch curing chamber 500 includes four separate support members 810, while in other embodiments, the batch curing member 500 can be configured with more or less than a total. Four support members 810. In addition, each exhaust inlet array 523 is fluidly coupled to the exhaust manifold (for Clear and not shown), the exhaust manifold is then fluidly coupled to the foreline vacuum line 514 of the batch curing chamber 500. In some embodiments, one or more of the support members 810 can also be configured as an exhaust manifold.

在某些實施例中,排氣入口陣列523的部分或全部可包括流動平衡孔口811。在此等實施例中,各流動平衡孔口811經配置而限制流動至相聯的排氣入口陣列523,使得通過各排氣入口陣列523的處理氣體與排出成分之流動相對於鄰近的排氣入口陣列523係相等或實質相等的。在某些實施例中,流動平衡孔口811係固定孔口。在此等實施例中,各固定孔口的特定尺寸可使用電腦模擬、流動視覺化、試誤法(trial-and-error methods)或以上各者之組合而決定。在其他實施例中,流動平衡孔口811的部分或全部係可調整孔口(如針閥),其可以在製造的時間設定(在該領域中)以及(或)回應批次固化腔室500中的排氣平衡問題。 In some embodiments, some or all of the exhaust gas inlet array 523 can include a flow balancing orifice 811. In such embodiments, each flow balancing orifice 811 is configured to restrict flow to the associated exhaust gas inlet array 523 such that the flow of process gas and exhaust components through each exhaust gas inlet array 523 relative to adjacent exhaust gases The inlet arrays 523 are equal or substantially equal. In certain embodiments, the flow balancing orifice 811 is a fixed orifice. In such embodiments, the particular dimensions of each of the fixed apertures may be determined using computer simulations, flow visualization, trial-and-error methods, or a combination of the above. In other embodiments, some or all of the flow balancing orifice 811 can be an adjustable orifice (such as a needle valve) that can be set at the time of manufacture (in the field) and/or in response to the batch curing chamber 500. The problem of exhaust gas balance.

多個基板升舉組件540經配置而在裝載與卸載期間將個別基板自大氣機械臂104移除以及將個別基板置放在大氣機械臂104上。此外,多個基板升舉組件540經配置而在批次固化腔室500中的處理期間同時定位多個基板。例如,在某些實施例中,多個基板升舉組件540經配置而同時將正處理的各基板定位入處理位置以及入預熱位置。一般來說,當在處理位置時,基板定位靠近噴頭532,而在預熱位置時,基板定位在加熱基板基座531上。 The plurality of substrate lift assemblies 540 are configured to remove individual substrates from the atmospheric robotic arm 104 during loading and unloading and to place individual substrates on the atmospheric robotic arm 104. In addition, a plurality of substrate lift assemblies 540 are configured to simultaneously position a plurality of substrates during processing in the batch curing chamber 500. For example, in some embodiments, a plurality of substrate lift assemblies 540 are configured to simultaneously position each substrate being processed into a processing position and into a preheating position. Generally, the substrate is positioned adjacent to the showerhead 532 when in the processing position, and the substrate is positioned on the heated substrate base 531 when in the preheated position.

多個基板升舉組件540包括多個升舉銷索引器541,如三個或三個以上。在第5圖所示的實施例中,多個基 板升舉組件540包括三個升舉銷索引器541,但是只有一個是可見的。第9圖係腔室蓋件511與多個基板升舉組件540的全部三個升舉銷索引器541的部分之等角視圖。為求清楚,腔室壁512與腔室底板513自第9圖省略。三個升舉銷索引器541的各者部分設置於腔室主體510內且與升舉機構544(示於第5圖中以及為求清楚而於第9圖中省略)耦接。升舉機構544可係適合用於將基板定位於上述裝載、卸載、預熱以及處理位置中的任何機械致動器。例如,升舉機構可包括氣動致動器、步進馬達以及類似物。 The plurality of substrate lift assemblies 540 include a plurality of lift pin indexers 541, such as three or more. In the embodiment shown in FIG. 5, a plurality of bases The board lift assembly 540 includes three lift pin indexers 541, but only one is visible. FIG. 9 is an isometric view of a portion of the chamber cover member 511 and all three lift pin indexers 541 of the plurality of substrate lift assemblies 540. For clarity, chamber wall 512 and chamber bottom plate 513 are omitted from Figure 9. Each of the three lift pin indexers 541 is disposed within the chamber body 510 and coupled to the lift mechanism 544 (shown in FIG. 5 and omitted in FIG. 9 for clarity). The lift mechanism 544 can be any mechanical actuator suitable for positioning the substrate in the loading, unloading, preheating, and processing positions described above. For example, the lift mechanism can include a pneumatic actuator, a stepper motor, and the like.

第10圖係根據本揭露實施例設置的升舉銷索引器541之截面圖。如圖所示,升舉銷索引器541一般包括用於批次固化腔室500中的處理子區域524的各個之升舉銷542。因此,在第5、9與10圖中所示的示範例,各升舉銷索引器541包括與垂直軸543耦接的六個升舉銷542。三個升舉銷索引器541可以同時將六個基板定位於處理位置或同時將六個基板設定於預熱位置中各別加熱基板基座531上。 Figure 10 is a cross-sectional view of a lift pin indexer 541 provided in accordance with an embodiment of the present disclosure. As shown, the lift pin indexer 541 generally includes lift pins 542 for each of the process sub-regions 524 in the batch cure chamber 500. Thus, in the examples shown in Figures 5, 9 and 10, each lift pin indexer 541 includes six lift pins 542 that are coupled to a vertical axis 543. The three lift pin indexers 541 can simultaneously position the six substrates at the processing position or simultaneously set the six substrates on the respective heated substrate base 531 in the preheating position.

在某些實施例中,各升舉銷542經配置有低接觸、熱絕緣的接觸表面1001以減少與(或)最小化處理期間自基板至升舉銷542的熱傳遞。如此,基板上所謂的「冷點」在處理期間被減少或去除,從而改善批次固化腔室500中正在固化的介電薄膜之均勻性。在某些實施例中,接觸表面1001形成有圓柱元件1002,使得基板與接觸表面1001間的接觸表面縮減為線或點接觸。此外,圓柱元件1002可由相較於常用於形成升舉銷542的材料(如鋁與不鏽鋼)具有較低熱傳導 係數的材料形成。例如,在某些實施例中,圓柱元件1002可由藍寶石(Al2O3)形成。 In certain embodiments, each lift pin 542 is configured with a low contact, thermally insulated contact surface 1001 to reduce heat transfer from the substrate to the lift pins 542 during the process of minimizing (or). As such, the so-called "cold spots" on the substrate are reduced or removed during processing, thereby improving the uniformity of the dielectric film being cured in the batch curing chamber 500. In some embodiments, the contact surface 1001 is formed with a cylindrical member 1002 such that the contact surface between the substrate and the contact surface 1001 is reduced to line or point contact. Further, the cylindrical member 1002 may be formed of a material having a lower thermal conductivity than a material commonly used to form the lift pin 542, such as aluminum and stainless steel. For example, in certain embodiments, the cylindrical element 1002 can be formed from sapphire (Al 2 O 3 ).

概括之,本發明揭露的一或多個實施例提供用於固化設置在多個基板上的介電材料而沒有一般與批次處理相關聯的基板至基板的變化的系統與方法。特定言之,批次固化腔室包括各自獨立作溫度控制的多個處理子區域。此外,裝載於腔室的裝載開口上的槽形蓋部大幅減少裝載與卸載期間進入腔室的周圍空氣之影響。 In summary, one or more embodiments of the present disclosure provide systems and methods for curing dielectric materials disposed on a plurality of substrates without substrate-to-substrate variations typically associated with batch processing. In particular, the batch curing chamber includes a plurality of processing sub-regions that are each independently temperature controlled. In addition, the slotted cover portion mounted on the loading opening of the chamber substantially reduces the effects of ambient air entering the chamber during loading and unloading.

雖然前面所述係針對本發明揭露的實施例,但在不背離本發明基本範圍下,可設計其他與進一步的實施例,而本發明範圍由以下申請專利範圍所界定。 While the foregoing is directed to the embodiments of the present invention, the invention and the embodiments of the present invention are defined by the scope of the following claims.

Claims (20)

一種用於在一基板的一表面上形成一介電材料的系統,該系統包括:一主機;一生產介面,該生產介面包含至少一個大氣機械臂並經配置而接收一或多個卡匣的基板;一鎖定負載腔室,該鎖定負載腔室與該主機耦接並經配置而自該生產介面中的該至少一個大氣機械臂接收一或多個基板;多個流動性的CVD腔室,該等多個流動性的CVD腔室各自與該主機耦接;及一批次處理腔室,該批次處理腔室與該生產介面耦接,該批次處理腔室包含:多個子處理區域,該等多個子處理區域各自配置而自該至少一個大氣機械臂接收一基板並在自該大氣機械臂接收的該基板上執行一固化處理;一裝載開口,該裝載開口形成於該批次處理腔室的一壁中;一第一門,該第一門設置於該裝載開口上;及一蓋板,該蓋板包含多個槽形開口且設置於該裝載開口上,其中該第一門設置於該等多個槽形開口中的所有槽形開口上,該第一門經配置以利用圍繞在該等多個槽形開口周圍的一密封表面來密封該裝載開口; 該等多個槽形開口的各個經配置而允許該至少一個大氣機械臂自該批次處理腔室之外的一位置往該等多個子處理區域中的一個子處理區域延伸一臂,及當該裝載開口打開時,該等多個槽形開口的各個經配置而減少該裝載開口的該自由區域。 A system for forming a dielectric material on a surface of a substrate, the system comprising: a host; a production interface, the production interface including at least one atmospheric robot arm and configured to receive one or more cassettes a substrate; a lock load chamber coupled to the host and configured to receive one or more substrates from the at least one atmospheric robot arm in the production interface; a plurality of fluid CVD chambers, The plurality of fluidity CVD chambers are each coupled to the host; and a batch of processing chambers coupled to the production interface, the batch processing chamber comprising: a plurality of sub-processing regions The plurality of sub-processing regions are each configured to receive a substrate from the at least one atmospheric mechanical arm and perform a curing process on the substrate received from the atmospheric mechanical arm; a loading opening formed in the batch processing a first door, the first door is disposed on the loading opening; and a cover plate including a plurality of slotted openings and disposed on the loading opening, wherein the first door Setting All of these plurality of channel-shaped opening in the slot-like opening, the first door is configured to utilize a plurality of such sealing surface surrounding the slotted openings around the loading opening is sealed; Each of the plurality of slotted openings is configured to allow the at least one atmospheric robotic arm to extend an arm from a position outside the batch processing chamber to a sub-processing area of the plurality of sub-processing regions, and Each of the plurality of slotted openings is configured to reduce the free area of the loading opening when the loading opening is open. 如請求項1所述之系統,其中該等多個槽形開口的各個對應於該等多個子處理區域中的一個子處理區域,及該等多個槽形開口中的每一者具有相對於該門的形狀和該裝載開口的形狀為非對稱的形狀。 The system of claim 1, wherein each of the plurality of slotted openings corresponds to one of the plurality of sub-processing regions, and each of the plurality of slotted openings has a relative The shape of the door and the shape of the loading opening are asymmetrical. 請求項2所述之系統,其中該等多個槽形開口的各個與該等多個子處理區域中的相對應的一個子處理區域實質對齊,及該等多個槽形開口中的每一者包含具有比該門的形狀和該裝載開口的形狀更多的邊緣的形狀。 The system of claim 2, wherein each of the plurality of slotted openings is substantially aligned with a corresponding one of the plurality of sub-processed regions, and each of the plurality of slotted openings A shape having more edges than the shape of the door and the shape of the loading opening is included. 如請求項1所述之系統,其中該裝載開口經配置而允許一基板被裝載入該等多個固化站的各個而不需將該裝載開口相對於該等多個固化站或該生產介面重新定位。 The system of claim 1 wherein the loading opening is configured to allow a substrate to be loaded into each of the plurality of curing stations without the loading opening being relative to the plurality of curing stations or the production interface re-locate. 如請求項1所述之系統,其中該等多個流動性CVD腔室各自經配置而將一流動性介電層經由一CVD處理沉積於一基板上。 The system of claim 1 wherein each of the plurality of fluid CVD chambers are configured to deposit a fluid dielectric layer on a substrate via a CVD process. 如請求項1所述之系統,其中該等多個子處理區域以一堆疊陣列排列及該裝載開口經配置而在兩個維度上橫越該堆疊陣列。 The system of claim 1, wherein the plurality of sub-processing regions are arranged in a stacked array and the loading opening is configured to traverse the stacked array in two dimensions. 如請求項1所述之系統,其中該生產介面進一步包括至少一個大氣夾持站,在基板於該批次處理腔室中處理前,該至少一個大氣夾持站經配置而暫時儲存該批次處理腔室外的基板。 The system of claim 1 wherein the production interface further comprises at least one atmospheric clamping station configured to temporarily store the batch prior to processing the substrate in the batch processing chamber The substrate outside the processing chamber. 如請求項1所述之系統,其中該批次處理腔室中的該等多個子處理區域的一總數量係等於與該主機耦接的該等多個流動性CVD腔室的一總數量。 The system of claim 1 wherein a total number of the plurality of sub-processing regions in the batch processing chamber is equal to a total number of the plurality of fluid CVD chambers coupled to the host. 如請求項1所述之系統,其中該批次處理腔室中的該等多個子處理區域的各個被設置於一加熱基板基座與一噴頭之間。 The system of claim 1, wherein each of the plurality of sub-processing regions in the batch processing chamber is disposed between a heated substrate base and a showerhead. 如請求項9所述之系統,其中該噴頭經配置而將一處理氣體獨立傳送至設置於該子處理區域中的一基板。 The system of claim 9, wherein the showerhead is configured to independently transfer a process gas to a substrate disposed in the sub-processing region. 如請求項9所述之系統,其中該加熱基板基座經配置而在處理期間將設置於該子處理區域中的一基板獨立加熱。 The system of claim 9, wherein the heated substrate base is configured to independently heat a substrate disposed in the sub-processing region during processing. 一種批次基板處理腔室,包括: 多個子處理區域,該等多個子處理區域各自配置而自一大氣機械臂接收一基板並在自該大氣機械臂接收的該基板上執行一固化處理;一裝載開口,該裝載開口形成於該批次處理腔室的一壁中;一第一門,該第一門設置於該裝載開口上;及一蓋板,該蓋板設置於該裝載開口上,該蓋板包含多個槽形開口,其中該第一門設置於該等多個槽形開口中的所有槽形開口上,該第一門經配置以利用圍繞在該等多個槽形開口周圍的一密封表面來密封該裝載開口;該等多個槽形開口的各個經配置而允許該至少一個大氣機械臂自該批次處理腔室之外的一位置往該等多個子處理區域中的一個子處理區域延伸一臂,及其中當該裝載開口打開時,該等多個槽形開口的各個經配置而減少該裝載開口的該自由區域。 A batch substrate processing chamber comprising: a plurality of sub-processing regions, each of the plurality of sub-processing regions being configured to receive a substrate from an atmospheric mechanical arm and performing a curing process on the substrate received from the atmospheric mechanical arm; a loading opening formed in the batch a first door, the first door is disposed on the loading opening; and a cover plate disposed on the loading opening, the cover plate includes a plurality of slotted openings, Wherein the first door is disposed on all of the plurality of slotted openings in the plurality of slotted openings, the first door configured to seal the loading opening with a sealing surface surrounding the plurality of slotted openings; Each of the plurality of slotted openings is configured to allow the at least one atmospheric robotic arm to extend an arm from a position outside the batch processing chamber to a sub-processing area of the plurality of sub-processing regions, and Each of the plurality of slotted openings is configured to reduce the free area of the loading opening when the loading opening is open. 如請求項12所述之批次處理腔室,其中該等多個槽形開口的各個對應於該等多個子處理區域中的一個子處理區域,及該等多個槽形開口中的每一者具有相對於該門的形狀和該裝載開口的形狀為非對稱的形狀。 The batch processing chamber of claim 12, wherein each of the plurality of slotted openings corresponds to one of the plurality of sub-processing regions, and each of the plurality of slotted openings The person has a shape that is asymmetric with respect to the shape of the door and the shape of the loading opening. 如請求項13所述之批次處理腔室,其中該等多個槽形開口的各個與該等多個子處理區域中的相對應的一個子處理區 域實質對齊,及該等多個槽形開口中的每一者包含具有比該門的形狀和該裝載開口的形狀更多的邊緣的形狀。 The batch processing chamber of claim 13, wherein each of the plurality of slotted openings corresponds to a corresponding one of the plurality of sub-processing regions The domains are substantially aligned, and each of the plurality of slotted openings includes a shape having more edges than the shape of the door and the shape of the loading opening. 如請求項12所述之批次處理腔室,其中該裝載開口經配置而允許一基板被裝載入該等多個固化站的各個而不需將該裝載開口相對於該等多個固化站重新定位。 The batch processing chamber of claim 12, wherein the loading opening is configured to allow a substrate to be loaded into each of the plurality of curing stations without the loading opening being relative to the plurality of curing stations re-locate. 如請求項12所述之批次處理腔室,其中該等多個子處理區域以一堆疊陣列排列。 The batch processing chamber of claim 12, wherein the plurality of sub-processing regions are arranged in a stacked array. 如請求項16所述之批次處理腔室,其中該裝載開口經配置而在兩個維度上橫越該堆疊陣列。 The batch processing chamber of claim 16, wherein the loading opening is configured to traverse the stacked array in two dimensions. 如請求項12所述之批次處理腔室,其中該批次處理腔室中的該等多個子處理區域的各個被設置於一加熱基板基座與一噴頭之間。 The batch processing chamber of claim 12, wherein each of the plurality of sub-processing regions in the batch processing chamber is disposed between a heated substrate base and a showerhead. 如請求項18所述之批次處理腔室,其中該噴頭經配置而將一處理氣體獨立傳送至一鄰近的子處理區域。 The batch processing chamber of claim 18, wherein the showerhead is configured to independently transfer a process gas to an adjacent sub-treatment region. 如請求項18所述之批次處理腔室,其中該加熱基板基座經配置而獨立控制設置於該加熱基板基座上的一基板的一溫度。 The batch processing chamber of claim 18, wherein the heated substrate base is configured to independently control a temperature of a substrate disposed on the substrate of the heating substrate.
TW104113237A 2014-05-14 2015-04-24 Batch curing chamber with gas distribution and individual pumping TWI670391B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201461996817P 2014-05-14 2014-05-14
US61/996,817 2014-05-14

Publications (2)

Publication Number Publication Date
TW201542864A TW201542864A (en) 2015-11-16
TWI670391B true TWI670391B (en) 2019-09-01

Family

ID=55220832

Family Applications (3)

Application Number Title Priority Date Filing Date
TW108125124A TWI773910B (en) 2014-05-14 2015-04-24 Batch curing chamber with gas distribution and individual pumping
TW104113237A TWI670391B (en) 2014-05-14 2015-04-24 Batch curing chamber with gas distribution and individual pumping
TW111126246A TWI813375B (en) 2014-05-14 2015-04-24 Batch curing chamber with gas distribution and individual pumping

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW108125124A TWI773910B (en) 2014-05-14 2015-04-24 Batch curing chamber with gas distribution and individual pumping

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW111126246A TWI813375B (en) 2014-05-14 2015-04-24 Batch curing chamber with gas distribution and individual pumping

Country Status (1)

Country Link
TW (3) TWI773910B (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6296735B1 (en) * 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
US20030173347A1 (en) * 2002-03-15 2003-09-18 Guiver Harold Chris Vacuum thermal annealer
US20070209593A1 (en) * 2006-03-07 2007-09-13 Ravinder Aggarwal Semiconductor wafer cooling device
US20090016853A1 (en) * 2007-07-09 2009-01-15 Woo Sik Yoo In-line wafer robotic processing system

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5021112B2 (en) * 2000-08-11 2012-09-05 キヤノンアネルバ株式会社 Vacuum processing equipment
KR100491161B1 (en) * 2002-11-26 2005-05-24 주식회사 테라세미콘 Semiconductor manufacturing system for thermal process
KR101223489B1 (en) * 2010-06-30 2013-01-17 삼성디스플레이 주식회사 Apparatus for Processing Substrate
JP5579775B2 (en) * 2012-05-18 2014-08-27 リオン株式会社 Measuring system

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6296735B1 (en) * 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US20030173347A1 (en) * 2002-03-15 2003-09-18 Guiver Harold Chris Vacuum thermal annealer
US20070209593A1 (en) * 2006-03-07 2007-09-13 Ravinder Aggarwal Semiconductor wafer cooling device
US20090016853A1 (en) * 2007-07-09 2009-01-15 Woo Sik Yoo In-line wafer robotic processing system

Also Published As

Publication number Publication date
TWI773910B (en) 2022-08-11
TW202006181A (en) 2020-02-01
TWI813375B (en) 2023-08-21
TW202244313A (en) 2022-11-16
TW201542864A (en) 2015-11-16
TW202346635A (en) 2023-12-01

Similar Documents

Publication Publication Date Title
US20220341042A1 (en) Batch curing chamber with gas distribution and individual pumping
KR102493002B1 (en) Suppressing interfacial reactions by varying wafer temperature throughout deposition
US10428426B2 (en) Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
KR20200104923A (en) Processing methods for silicon nitride thin films
US20100099266A1 (en) Etch reactor suitable for etching high aspect ratio features
US20160284567A1 (en) Pulsed nitride encapsulation
WO2015030968A1 (en) Low temperature plasma anneal process for sublimative etch processes
WO2021011950A1 (en) Modulation of oxidation profile for substrate processing
TWI670391B (en) Batch curing chamber with gas distribution and individual pumping
KR102599830B1 (en) Defect smoothing
TWI837045B (en) Batch curing chamber with gas distribution and individual pumping
KR102662595B1 (en) Suppressing interfacial reactions by varying wafer temperature throughout deposition
JP2004289166A (en) Batch-type remote plasma processing apparatus