TW202346635A - Batch curing chamber with gas distribution and individual pumping - Google Patents

Batch curing chamber with gas distribution and individual pumping Download PDF

Info

Publication number
TW202346635A
TW202346635A TW112128289A TW112128289A TW202346635A TW 202346635 A TW202346635 A TW 202346635A TW 112128289 A TW112128289 A TW 112128289A TW 112128289 A TW112128289 A TW 112128289A TW 202346635 A TW202346635 A TW 202346635A
Authority
TW
Taiwan
Prior art keywords
exhaust
chamber
curing
processing
substrate
Prior art date
Application number
TW112128289A
Other languages
Chinese (zh)
Other versions
TWI837045B (en
Inventor
艾德柏 坎
尚卡爾 凡卡塔拉曼
傑D 賓森二世
章喬 楊
尼汀庫利許納拉歐 英格爾
奇偉 梁
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202346635A publication Critical patent/TW202346635A/en
Application granted granted Critical
Publication of TWI837045B publication Critical patent/TWI837045B/en

Links

Landscapes

  • Heating, Cooling, Or Curing Plastics Or The Like In General (AREA)
  • Chemical Vapour Deposition (AREA)
  • Polyurethanes Or Polyureas (AREA)

Abstract

Embodiments of the present disclosure generally relate to a batch processing chamber that is adapted to simultaneously cure multiple substrates at one time. The batch processing chamber includes multiple processing sub-regions that are each independently temperature controlled. The batch processing chamber may include a first and a second sub-processing region that are each serviced by a substrate transport device external to the batch processing chamber. In addition, a slotted cover mounted on the loading opening of the batch curing chamber reduces the effect of ambient air entering the chamber during loading and unloading.

Description

具有氣體分佈及個別泵送的批次固化腔室Batch curing chamber with gas distribution and individual pumping

本發明揭露的實施例一般係關於用於處理多個基板(如半導體晶圓)設備與方法,且更特定言之,係關於固化設置於多個基板上的介電材料之設備與方法。Embodiments disclosed herein generally relate to apparatus and methods for processing a plurality of substrates, such as semiconductor wafers, and more particularly, to apparatus and methods for curing dielectric materials disposed on a plurality of substrates.

自從半導體元件幾十年前引入開始,半導體元件在尺寸上已有顯著的減少。當今半導體製造設備常規地生產32nm、28nm與22nm特徵尺寸的元件,且研發新設備並實施於製造甚至更小尺寸的元件。減小的特徵尺寸使得元件上的結構的特徵減小空間大小。因此,元件上結構之寬度(如間隙、凹槽及類似物)可以縮小到一點,其中間隙深度對間隙寬度的深寬比變得很高使得以介電材料填充此等間隙成為問題。此是因為沉積的介電材料趨於「夾斷」的現象,其中高深寬比的間隙或其他結構的進入區域可能在由下而上的填充完成之前就關閉,而留下孔洞或脆弱點於結構內。Semiconductor components have decreased significantly in size since their introduction decades ago. Today's semiconductor manufacturing equipment routinely produces devices with 32nm, 28nm, and 22nm feature sizes, and new equipment is being developed and implemented to manufacture even smaller-sized devices. The reduced feature size allows features of the structure on the component to be reduced in spatial size. Therefore, the width of structures on the device (such as gaps, recesses, and the like) can be reduced to a point where the aspect ratio of gap depth to gap width becomes so high that filling such gaps with dielectric material becomes problematic. This is because deposited dielectric materials tend to "pinch-off," a phenomenon in which high-aspect-ratio gaps or entry areas for other structures may close before bottom-up filling is complete, leaving holes or weak points in the within the structure.

多年來,許多技術已經發展到避免夾斷或「治癒」因夾斷形成的孔洞或縫隙。一個方法係以高流動性前驅物材料可以液相施於旋轉基板表面(如SOG沉積技術)開始。此等流動性前驅物可以流入及填充很小的基板間隙而不會形成孔洞或脆弱縫隙。然而,一旦此等高流動性材料沉積,此等高流動性材料必硬化為固體(solid)介電材料。Over the years, many techniques have been developed to avoid pinching or to "heal" the holes or gaps created by pinching. One method begins with a highly mobile precursor material that can be applied in liquid phase to the surface of a rotating substrate (such as SOG deposition technology). These mobile precursors can flow into and fill small substrate gaps without forming holes or fragile gaps. However, once such high flow materials are deposited, the high flow materials must harden into solid dielectric materials.

在許多例子中,硬化過程包括加熱處理以將揮發性元件自沉積材料移除,揮發性元件對於使初始沉積薄膜可流動係必須的。在此等元件移除後,具有高蝕刻抵抗力的硬化與密集介電材料被留下,如氧化矽。In many examples, the hardening process includes a heat treatment to remove volatile elements from the deposited material that are necessary to render the initially deposited film flowable. After these components are removed, a hardened and dense dielectric material with high etch resistance is left, such as silicon oxide.

此等薄膜的流動性可能起因於包含於薄膜中的各種化學組成,但透過移除此等相同的化學組成來硬化或緻密化薄膜對於橫跨該組流動性沉積技術幾乎係一致有益的。此等硬化與緻密化過程可能係耗時的。因此,對於當前可用或正在開發的用於緻密化各式流動性薄膜的新後處理技術與設備係有其需求的。此需求與其他需求於本發明揭露中處理。The flowability of such films may result from various chemical compositions contained in the film, but hardening or densifying the film by removing these same chemical compositions is almost uniformly beneficial across this group of flowability deposition techniques. This hardening and densification process can be time consuming. Therefore, there is a need for new post-processing technologies and equipment currently available or under development for densifying various flowable films. This need and other needs are addressed in the present disclosure.

本發明揭露的實施例一般係關於用於處理基板的設備與方法,如半導體晶圓,且更特定言之,係關於批次固化設置於多個基板上的介電材料之設備與方法。Embodiments disclosed herein generally relate to apparatus and methods for processing substrates, such as semiconductor wafers, and more particularly, to apparatus and methods for batch curing dielectric materials disposed on multiple substrates.

本發明揭露的實施例可提供用於在基板的表面上形成介電材料之系統,該系統包括主機、生產介面、負載鎖定腔室、多個流動性CVD沉積腔室及批次處理腔室,生產介面包括至少一個大氣機械臂且經配置而接收一或多個卡匣的基板,負載鎖定腔室與主機耦接且經配置而自生產介面中的至少一個大氣機械臂接收一或多個基板,多個流動性CVD沉積腔室各自與主機耦接,批次處理腔室與生產介面耦接,批次處理腔室包括多個子處理區域、裝載開口及蓋板,多個子處理區域各自經配置而自至少一個大氣機械臂接收基板並在自大氣機械臂接收的基板上執行固化處理,裝載開口於批次處理腔室的壁中形成,蓋板包括多個槽形開口並設置於負載開口上,其中多個槽形開口的各個經配置而允許至少一個大氣機械臂自批次處理腔室外的位置往多個子處理區域中的一個延伸一臂,以及其中當裝載開口打開時,多個槽形開口的各個經配置而減少裝載開口的自由區域。Embodiments disclosed herein may provide a system for forming dielectric material on a surface of a substrate, including a host computer, a production interface, a load lock chamber, a plurality of flow CVD deposition chambers, and a batch processing chamber. The production interface includes at least one atmospheric robotic arm and is configured to receive one or more substrates of the cassette, and the load lock chamber is coupled to the host machine and configured to receive one or more substrates from the at least one atmospheric robotic arm in the production interface , multiple fluid CVD deposition chambers are each coupled to the host, the batch processing chamber is coupled to the production interface, the batch processing chamber includes multiple sub-processing areas, loading openings and covers, and the multiple sub-processing areas are each configured and receiving substrates from at least one atmospheric robotic arm and performing a curing process on the substrates received from the atmospheric robotic arm, a loading opening is formed in a wall of the batch processing chamber, and the cover plate includes a plurality of slot-shaped openings and is disposed on the loading opening , wherein each of the plurality of slot-shaped openings is configured to allow at least one atmospheric robotic arm to extend an arm from a location outside the batch processing chamber to one of the plurality of sub-processing areas, and wherein when the loading opening is open, the plurality of slot-shaped openings Each of the openings is configured to reduce the free area for loading the opening.

本發明揭露的實施例可進一步提供批次基板處理腔室,包括多個子處理區域、裝載開口及蓋板,多個子處理區域各自經配置而自大氣機械臂接收基板並在自大氣機械臂接收的基板上執行固化處理,裝載開口於批次處理腔室的壁中形成,蓋板設置於負載開口上而包括多個槽形開口,多個槽形開口的各個經配置而允許至少一個大氣機械臂自批次處理腔室外的位置往多個子處理區域中的一個延伸一臂,以及其中當裝載開口打開時,多個槽形開口的各個經配置而減少裝載開口的自由區域。Embodiments disclosed herein may further provide a batch substrate processing chamber including a plurality of sub-processing areas, a loading opening and a cover, each of the plurality of sub-processing areas being configured to receive a substrate from an atmospheric robotic arm and upon receiving the substrate from the atmospheric robotic arm. A curing process is performed on the substrate, a load opening is formed in a wall of the batch processing chamber, a cover is disposed over the load opening and includes a plurality of slot-shaped openings, each of the plurality of slot-shaped openings being configured to allow at least one atmospheric robotic arm An arm extends from a location outside the batch processing chamber to one of the plurality of sub-processing areas, and wherein each of the plurality of slot-shaped openings is configured to reduce the free area of the loading opening when the loading opening is open.

本發明揭露的實施例一般係關於經調整而在一個時間同時固化多個基板的批次處理腔室。該腔室包括第一與第二子處理區域,第一與第二子處理區域各自由在批次處理腔室外的基板傳送裝置服務,且各子處理區域可支撐(support)基板。在一個實施例中,第一子處理區域直接在第二子處理區域下,其中第一與第二子處理區域可透過蓋板而藉由基板傳送裝置進出,蓋板覆蓋腔室中形成的裝載開口之部分。Embodiments disclosed herein generally relate to batch processing chambers adapted to simultaneously cure multiple substrates at a time. The chamber includes first and second sub-processing areas, each of the first and second sub-processing areas is served by a substrate transfer device outside the batch processing chamber, and each sub-processing area can support a substrate. In one embodiment, the first sub-processing area is directly under the second sub-processing area, wherein the first and second sub-processing areas are accessible by the substrate transfer device through a cover plate covering the load formed in the chamber. The opening part.

第1圖係處理工具的一個實施例之俯視圖,該處理工具包括根據本發明揭露實施例設置的具有批次固化腔室103之生產介面105。處理工具100一般包括生產介面105、批次固化腔室103、傳送腔室112、大氣夾持站109及複數個成對處理腔室108a-b、108c-d及108e-f。在處理工具100中,一對FOUPs(前開口統一縱槽)102供應基板(如300mm直徑的晶圓),基板由大氣機械臂104的一臂接受並置放入負載鎖定腔室106。第二機械臂110設置於與負載鎖定腔室106耦接的傳送腔室112中。第二機械臂110用於將基板從負載鎖定腔室106傳送至與傳送腔室112耦接的處理腔室108a-f。Figure 1 is a top view of an embodiment of a processing tool including a production interface 105 having a batch curing chamber 103 configured in accordance with the disclosed embodiments of the present invention. The processing tool 100 generally includes a production interface 105, a batch curing chamber 103, a transfer chamber 112, an atmospheric holding station 109, and a plurality of paired processing chambers 108a-b, 108c-d, and 108e-f. In the processing tool 100 , a pair of FOUPs (front opening unified slots) 102 supply substrates (eg, 300 mm diameter wafers), which are received by one arm of the atmospheric robotic arm 104 and placed into a load lock chamber 106 . The second robotic arm 110 is disposed in the transfer chamber 112 coupled to the load lock chamber 106 . The second robotic arm 110 is used to transfer substrates from the load lock chamber 106 to the processing chambers 108a-f coupled to the transfer chamber 112.

處理腔室108a-f可包括用於將基板上的流動性介電薄膜沉積、退火、固化與(或)蝕刻的一或多個系統元件。在一個配置中,三對處理腔室(如108 a-b、108c-d與108e-f)可用於將流動性介電材料沉積於基板上。Processing chambers 108a-f may include one or more system components for depositing, annealing, curing, and/or etching flowable dielectric films on substrates. In one configuration, three pairs of processing chambers (eg, 108 a-b, 108c-d, and 108e-f) may be used to deposit flowable dielectric material onto a substrate.

在某些實施例中,批次固化腔室103經配置而同時在多個基板上執行批次固化處理,多個基板具有沉積於其上的流動性介電材料。在此等實施例中,批次固化腔室103一般經設置而在很多個基板上執行固化處理,在很多個基板上執行固化處理可以在成對處理腔室108a-b、108c-d與108e-f中同時進行薄膜沉積。因此,在第1圖中所示的設置中,批次固化腔室103有利地調整尺寸以在固化過程中在一個時間容納六個基板。因而,已經由成對處理腔室108a-b、108c-d與108e-f處理的全部基板可以同時進行固化處理,從而最大化處理工具100的基板產量。In certain embodiments, the batch cure chamber 103 is configured to simultaneously perform a batch cure process on multiple substrates having flowable dielectric materials deposited thereon. In such embodiments, batch curing chamber 103 is typically configured to perform curing processes on a plurality of substrates, which may be performed in pairs of processing chambers 108a-b, 108c-d, and 108e. Simultaneous film deposition in -f. Thus, in the arrangement shown in Figure 1, the batch cure chamber 103 is advantageously sized to accommodate six substrates at a time during the cure process. Thus, all substrates that have been processed by pairs of processing chambers 108a-b, 108c-d, and 108e-f can be cured simultaneously, thereby maximizing substrate throughput of processing tool 100.

此外,在多個處理腔室具有不同處理方法開始與結束時間的情況中,為了避免基板於批次固化腔室103中殘留顯著不同的時間,處理工具100可包括大氣夾持站109,大氣夾持站109用於夾持已經處理完的基板直至其他接續處理的基板以其沉積處理完成。大氣夾持站允許全部基板立刻置放於批次固化腔室103中。例如,大氣夾持站109經配置而暫時將基板儲存於批次固化腔室103外直至所需數量的基板可用於批次固化腔室103中處理。大氣機械臂104接著以快速連續的方式將基板裝載入批次固化腔室103中,使得沒有經薄膜沉積的基板相較任何其他經薄膜沉積的停留在相對高溫度的批次固化腔室103中多長了幾秒。因此,固化處理中基板與基板的變化可以被最小化或減少。In addition, in the case of multiple processing chambers with different processing method start and end times, in order to avoid substrates remaining in the batch curing chamber 103 for significantly different times, the processing tool 100 may include an atmospheric clamping station 109. The holding station 109 is used to hold the processed substrate until the deposition processing of other subsequently processed substrates is completed. The atmospheric clamping station allows all substrates to be placed in the batch curing chamber 103 at once. For example, the atmospheric holding station 109 is configured to temporarily store substrates outside the batch curing chamber 103 until a required number of substrates are available for processing in the batch curing chamber 103 . The atmospheric robot 104 then loads the substrates into the batch curing chamber 103 in rapid succession such that the substrates that have not been thin film deposited remain at a relatively high temperature in the batch curing chamber 103 compared to any other thin film deposited ones. It was a few seconds longer. Therefore, substrate-to-substrate variations during the curing process can be minimized or reduced.

批次固化腔室103一般包括腔室主體103B與狹縫閥103A。在基板由大氣機械臂104定位於腔室主體103B中後,狹縫閥103A用於密封關閉腔室主體103B的內部區域。批次固化處理與批次固化腔室103相對於以下第4-10圖進一步描述。 流動性CVD腔室與沉積處理示範例 The batch curing chamber 103 generally includes a chamber body 103B and a slit valve 103A. After the substrate is positioned in the chamber body 103B by the atmospheric manipulator 104, the slit valve 103A is used to sealingly close the interior area of the chamber body 103B. The batch curing process and batch curing chamber 103 are further described below with respect to Figures 4-10. Flow CVD Chamber and Deposition Processing Demonstration Example

第2圖係帶有分區電漿產生區域的流動性化學氣相沉積腔室200的一個實施例之截面圖。處理腔室200可係處理工具100的處理腔室108a-f的任何一個,其經至少配置而用於將流動性介電材料沉積於基板上。在某些實施例中,處理工具100可包括任何其他適合的化學氣相沉積腔室而不是處理腔室200。Figure 2 is a cross-sectional view of one embodiment of a mobile chemical vapor deposition chamber 200 with zoned plasma generation regions. Processing chamber 200 may be any of processing chambers 108a-f of processing tool 100 configured at least for depositing flowable dielectric material onto a substrate. In some embodiments, processing tool 100 may include any other suitable chemical vapor deposition chamber instead of processing chamber 200 .

在薄膜沉積(如氧化矽、氮化矽、氮氧化矽或碳氧化矽沉積)期間,處理氣體可經由氣體入口組件205流入第一電漿區域215。處理氣體可在進入第一電漿區域215前於遠端電漿系統(RPS)201內激發。處理腔室200包括蓋件212與噴頭225。蓋件212圖示有一所施的AC電壓源以及噴頭225接地,與第一電漿區域215中的電漿產生一致。絕緣環220定位於蓋件212與噴頭225之間,使電容耦接的電漿(CCP)能夠於第一電漿區域215中形成。所示蓋件212與噴頭225有絕緣環220在蓋件212與噴頭225之間,而允許AC電位相對於噴頭225施於蓋件212。During thin film deposition (eg, silicon oxide, silicon nitride, silicon oxynitride, or silicon oxycarbon deposition), process gases may flow into the first plasma region 215 via the gas inlet assembly 205 . The process gas may be excited within the remote plasma system (RPS) 201 before entering the first plasma region 215. The processing chamber 200 includes a cover 212 and a spray head 225 . Cover 212 is shown with an AC voltage source applied and shower head 225 grounded, consistent with plasma generation in first plasma region 215 . The insulating ring 220 is positioned between the cover 212 and the showerhead 225 to enable capacitively coupled plasma (CCP) to be formed in the first plasma region 215 . The cover 212 and the shower head 225 are shown with an insulating ring 220 between the cover 212 and the shower head 225, allowing AC potential to be applied to the cover 212 relative to the shower head 225.

蓋件212可係用於與處理腔室使用的雙源蓋件。兩個不同的供應通道在氣體入口組件205內是可見的。第一通道202攜帶穿過遠端電漿系統(RPS)201的氣體,而第二通道204繞過RPS 201。第一通道202可用於處理氣體以及第二通道204可用於加工氣體(treatment gas)。流入第一電漿區域215的該等氣體可由擋板206散開。Cover 212 may be a dual source cover for use with a processing chamber. Two different supply channels are visible within gas inlet assembly 205. The first channel 202 carries gas through the remote plasma system (RPS) 201 while the second channel 204 bypasses the RPS 201 . The first channel 202 may be used for treatment gas and the second channel 204 may be used for treatment gas. The gas flowing into the first plasma region 215 can be dispersed by the baffle 206 .

流體(如前驅物)可通過噴頭225流入第二電漿區域233。來自第一電漿區域215中的前驅物之激發的物質(species)移動通過噴頭225中的孔214並與自噴頭225流入第二電漿區域233的前驅物反應。少許或沒有電漿存在於第二電漿區域233中。前驅物的激發衍生物於第二電漿區域233中結合以於基板上形成流動性的介電材料。隨著介電材料生長,更近來加入的材料相較下面的材料具有更高的活動性。隨著蒸發減少的有機物含量而活動性減少。間隙可使用此技術由流動性介電材料填充,而在沉積完成後沒有留下傳統密度的有機物含量於介電材料內。固化步驟(所述於下)可用於進一步將有機物含量自沉積的介電材料中減少或移除。Fluid (eg, precursor) may flow into the second plasma region 233 through the nozzle 225 . Excited species from the precursor in the first plasma region 215 move through the aperture 214 in the showerhead 225 and react with the precursor flowing from the showerhead 225 into the second plasma region 233 . Little or no plasma is present in the second plasma region 233 . The excited derivatives of the precursor combine in the second plasma region 233 to form a fluid dielectric material on the substrate. As dielectric materials grow, more recently added materials become more mobile than the materials below. Mobility decreases as evaporation reduces organic matter content. Gaps can be filled with flowable dielectric material using this technique without leaving traditional densities of organic content in the dielectric material after deposition. The curing step (described below) can be used to further reduce or remove organic content from the deposited dielectric material.

單獨於第一電漿區域215中激發前驅物或於第一電漿區域215結合的遠端電漿系統(RPS)201激發前驅物提供若干好處。由於第一電漿區域215中的電漿,來自前驅物的激發物質之濃度可於第二電漿區域233內增加。此增加可能起因於第一電漿區域215中電漿的位置。相較於遠端電漿系統(RPS)201,第二電漿區域233位置更靠近第一電漿區域215,而留下更少的時間給激發的物質通過與其他氣體粒子、腔室壁及噴頭表面而離開激發態。Exciting the precursor in the first plasma region 215 alone or in combination with the remote plasma system (RPS) 201 in the first plasma region 215 provides several benefits. Due to the plasma in the first plasma region 215, the concentration of excited species from the precursor may increase in the second plasma region 233. This increase may result from the location of the plasma in the first plasma region 215. Compared with the remote plasma system (RPS) 201, the second plasma region 233 is located closer to the first plasma region 215, leaving less time for the excited species to pass through and interact with other gas particles, chamber walls, and The nozzle surface leaves the excited state.

來自前驅物的激發物質的濃度均勻性亦可於第二電漿區域233內增加。此可能起因於第一電漿區域215的形狀,第一電漿區域215的形狀與第二電漿區域233的形狀相似。相對於穿過噴頭225中心附近的孔214之物質,遠端電漿系統(RPS)201中產生的激發物質為了穿過噴頭225邊緣附近的孔214移動更多距離。更多距離使得激發物質減少激發,以及,例如,可能導致基板邊緣附近較慢的生長率。於第一電漿區域215中激發前驅物緩和此變化。The concentration uniformity of excited species from the precursor may also be increased within the second plasma region 233 . This may arise from the shape of the first plasma region 215, which is similar to the shape of the second plasma region 233. The excited species generated in the remote plasma system (RPS) 201 travel a greater distance to pass through the holes 214 near the edge of the nozzle 225 than the material that passes through the aperture 214 near the center of the nozzle 225 . Greater distance results in less excitation of the excited species and, for example, may result in slower growth rates near the edge of the substrate. Exciting precursors in the first plasma region 215 mitigates this change.

除了前驅物,可能有其他氣體為了不同目的在不同時間引入。可引入加工氣體已將不必要的物質在沉積期間自腔室壁、基板、沉積的薄膜與(或)薄膜移除。加工氣體可包括以下群組中的氣體之至少一個,該群組包含H 2、H 2/N 2混合物、NH 3、NH 4OH、O 3、O 2、H 2O 2與水蒸氣。加工氣體可於電漿中激發以及接著用於將剩餘的有機物含量自沉積薄膜減少或移除。在其他實施例中,可使用加工氣體而不用電漿。當加工氣體包括水蒸氣時,可使用質量流量計(MFM)與注入閥或藉由其他合適的水蒸氣產生器達成傳送。 In addition to the precursors, there may be other gases introduced at different times for different purposes. Process gases may be introduced to remove unnecessary materials from the chamber walls, substrate, deposited film and/or film during deposition. The process gas may include at least one gas from the group including H2 , H2 / N2 mixtures, NH3 , NH4OH , O3 , O2 , H2O2 , and water vapor. Process gases can be excited in the plasma and then used to reduce or remove remaining organic content from the deposited film. In other embodiments, process gases may be used instead of plasma. When the process gas includes water vapor, delivery can be achieved using a mass flow meter (MFM) with an injection valve or other suitable water vapor generator.

在一個實施例中,介電層可以藉由引入介電材料前驅物(如含矽前驅物)以及在第二電漿區域233中反應處理前驅物而沉積。介電材料前驅物的示範例係含矽前驅物,包含矽烷、乙矽烷、甲基矽烷、二甲基矽烷、三甲基矽烷、四甲基矽烷、四乙氧基矽烷(TEOS)、三乙氧基矽烷(TES)、八甲基環四矽氧烷(OMCTS)、四甲基二矽氧烷(TMDSO)、四甲基環四矽氧烷(TMCTS)、四甲基二乙氧基二矽氧烷(TMDDSO)、二甲基二甲氧基矽烷(DMDMS)或以上各者之組合。用於氮化矽沉積的額外前驅物包括含Si xN yH z前驅物(如甲矽烷胺(sillyl-amine)及其衍生物,包含三甲矽烷胺(trisillylamine,TSA)與 二甲矽烷胺(disillylamine,DSA))、含Si xN yH zO zz前驅物、含Si xN yH zCl zz前驅物,或以上各者之結合。 In one embodiment, the dielectric layer may be deposited by introducing a dielectric material precursor (eg, a silicon-containing precursor) and reactively processing the precursor in the second plasma region 233 . Examples of dielectric material precursors are silicon-containing precursors, including silane, ethyl silane, methyl silane, dimethyl silane, trimethyl silane, tetramethyl silane, tetraethoxysilane (TEOS), triethyl silane Oxysilane (TES), octamethylcyclotetrasiloxane (OMCTS), tetramethyldisiloxane (TMDSO), tetramethylcyclotetrasiloxane (TMCTS), tetramethyldiethoxydisiloxane Siloxane (TMDDSO), dimethyldimethoxysilane (DMDMS) or a combination of the above. Additional precursors for silicon nitride deposition include Six N y H z -containing precursors such as silyl-amine and its derivatives, including trisillylamine (TSA) and dimethylsilylamine ( disillylamine (DSA)), Six N y H z O zz -containing precursors, Six N y H z Cl zz -containing precursors, or a combination of the above.

處理前驅物包括含氫化合物、含氧化合物、含氮化合物或以上各者之結合。適當的處理前驅物之示範例包括由以下群組中選擇的一或多個化合物,該群組包含H 2、H 2/N 2混合物、NH 3、NH 4OH、O 3、O 2、H 2O 2、N 2、含N 2H 4蒸氣的N xH y化合物、NO、N 2O、NO 2、水蒸氣或以上各者之組合。處理前驅物可係存有電漿(如在RPS單元中)以包括N *與(或)H *與(或)含O *基或電漿,例如,NH 3、NH 2 *、NH *、N *、H *、O *、N *O *或以上各者之組合。或者,處理前驅物可包括本說明書所述的前驅物中的一或多者。 Treatment precursors include hydrogen-containing compounds, oxygen-containing compounds, nitrogen-containing compounds, or combinations thereof. Exemplary examples of suitable treatment precursors include one or more compounds selected from the group consisting of H 2 , H 2 /N 2 mixtures, NH 3 , NH 4 OH, O 3 , O 2 , H 2 O 2 , N 2 , N x H y compounds containing N 2 H 4 vapor, NO, N 2 O, NO 2 , water vapor or a combination of the above. The treatment precursor may be a plasma present (eg in an RPS unit) to include N * and/or H * and/or O * containing groups or plasmas, e.g., NH3 , NH2 * , NH * , N * , H * , O * , N * O * or a combination of the above. Alternatively, the processing precursor may include one or more of the precursors described herein.

處理前驅物可係於第一電漿區域215中激發的電漿以產生處理氣體電漿與自由基(包括含N *與(或)H *與(或)O *的自由基或電漿),例如,NH 3、NH 2 *、NH *、N *、H *、O *、N *O *或以上各者之組合。或者,處理前驅物可在穿過遠端電漿系統後而在引入第一電漿區域215前已經係在電漿狀態。 The treatment precursor may be based on the plasma excited in the first plasma region 215 to generate treatment gas plasma and free radicals (including free radicals or plasma containing N * and/or H * and/or O * ) , for example, NH 3 , NH 2 * , NH * , N * , H * , O * , N * O * or a combination of the above. Alternatively, the process precursor may be already tethered to the plasma state after passing through the remote plasma system before being introduced into the first plasma region 215 .

激發的處理前驅物290接著被傳送入第二電漿區域233以為了透過孔214與前驅物反應。一旦在處理空間中,處理前驅物可混合並反應而沉積介電材料。The excited process precursor 290 is then transported into the second plasma region 233 in order to react with the precursor through the aperture 214 . Once in the processing space, the processing precursors can mix and react to deposit dielectric material.

在一個實施例中,於處理腔室200中執行的流動性CVD處理可將介電材料沉積作為含聚矽氮烷為基之矽的薄膜(PSZ類的薄膜),其係可流動的且可填充在沉積了含聚矽氮烷為基之矽的膜之基板中界定的凹槽、特徵、穿孔或其他孔。In one embodiment, a flow CVD process performed in process chamber 200 may deposit the dielectric material as a polysilazane-based silicon-containing film (PSZ-like film) that is flowable and Filling grooves, features, perforations, or other holes defined in a substrate on which a polysilazane-based silicon-containing film is deposited.

除了介電材料前驅物與處理前驅物外,可有其他氣體為了不同目的於不同時間引入。可引入加工氣體以在沉積期間將不必要的物質自腔室壁、基板、沉積薄膜與(或)薄膜移除,如氫、碳與氟。處理前驅物與(或)加工氣體可包括以下群組中的至少一個氣體,該群組包含H 2、H 2/N 2混合物、NH 3、NH 4OH、O 3、O 2、H 2O 2、N 2、N 2H 4蒸氣、NO、N 2O、NO 2、水蒸氣或以上各者之組合。加工氣體可於電漿中激發並接著用於將剩餘的有機物含量自沉積薄膜減少或移除。在其他實施例中,可使用加工氣體而不用電漿。加工氣體可通過RPS單元或繞過RPS單元而引入第一處理區域,並可進一步於第一電漿區域中激發。 In addition to the dielectric material precursor and process precursor, other gases may be introduced at different times for different purposes. Process gases may be introduced to remove unnecessary materials, such as hydrogen, carbon, and fluorine, from the chamber walls, substrate, deposited films, and/or films during deposition. The processing precursor and/or processing gas may include at least one gas from the group consisting of H 2 , H 2 /N 2 mixture, NH 3 , NH 4 OH, O 3 , O 2 , H 2 O 2. N 2 , N 2 H 4 vapor, NO, N 2 O, NO 2 , water vapor or a combination of the above. Process gases can be excited in the plasma and then used to reduce or remove remaining organic content from the deposited film. In other embodiments, process gases may be used instead of plasma. The processing gas can be introduced into the first processing zone through or bypassing the RPS unit and can be further excited in the first plasma zone.

氮化矽材料包括氮化矽、Si xN y、含氫氮化矽、SixNyHz、氮氧化矽(包括含氫的氮氧化矽)、Si xN yH zO zz與含鹵素的氮化矽(包括氯化的氮化矽,Si xN yH zCl zz)。沉積的介電材料可接著被轉換為氧化矽類的材料。 沉積與批次固化處理程序示範例 Silicon nitride materials include silicon nitride, Six N y , hydrogen - containing silicon nitride, SixNyHz, silicon oxynitride (including hydrogen-containing silicon oxynitride ), Si (Including chlorinated silicon nitride, Si x N y H z Cl zz ). The deposited dielectric material can then be converted to a silicon oxide-based material. Sample Deposition and Batch Curing Processing Procedure

第3圖係可於處理腔室200與批次固化腔室103中實施的處理300的一個實施例之流程圖。第4A-4C圖係對應於處理300的各式階段的基板之部分的概要截面圖。雖然處理300所示用於基板中或上界定的凹槽中形成介電材料,如淺凹槽隔離(STI)結構製造處理,但是處理300可用於在基板上形成其他結構,如層間介電(ILD)結構。Figure 3 is a flow diagram of one embodiment of a process 300 that may be implemented in the processing chamber 200 and the batch curing chamber 103. Figures 4A-4C are schematic cross-sectional views of portions of a substrate corresponding to various stages of processing 300. Although process 300 is shown for use in forming dielectric material in recesses defined in or on a substrate, such as a shallow trench isolation (STI) structure fabrication process, process 300 may be used to form other structures on a substrate, such as interlayer dielectric (interlayer dielectric) ILD) structure.

處理300在步驟302處開始,藉由傳送基板400(如第4A圖所示)至沉積處理腔室(如第2圖所示的流動性化學氣相(CVD)腔室200)。在一個實施例中,基板400可係具有於其上形成一層或多層的矽基板以形成結構,如淺凹槽隔離(STI)結構404。在另一個實施例中,基板400可係具有多個層(如薄膜堆疊)的矽基板,以用於形成不同圖案與(或)特徵。基板400可係如結晶矽(如Si<100>或Si<111>)、氧化矽、應變矽、矽鍺、摻雜或未摻雜的多晶矽、摻雜或未摻雜的矽晶圓以及圖案或非圖案的絕緣體上之晶圓矽(SOI)、摻雜碳的氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石、設置於矽上的金屬層或其類似物的材料。基板400可係任何各式形狀與尺寸,如200mm、300mm或450mm直徑的晶圓,或矩形或方形板。Process 300 begins at step 302 by transporting substrate 400 (shown in Figure 4A) to a deposition processing chamber (such as mobile chemical vapor (CVD) chamber 200 shown in Figure 2). In one embodiment, substrate 400 may be a silicon substrate with one or more layers formed thereon to form structures, such as shallow trench isolation (STI) structures 404 . In another embodiment, the substrate 400 may be a silicon substrate having multiple layers (such as thin film stacks) for forming different patterns and/or features. The substrate 400 may be, for example, crystalline silicon (such as Si<100> or Si<111>), silicon oxide, strained silicon, silicon germanium, doped or undoped polycrystalline silicon, doped or undoped silicon wafers, and patterns or non-patterned silicon on insulator (SOI), carbon doped silicon oxide, silicon nitride, doped silicon, germanium, gallium arsenide, glass, sapphire, metal layers disposed on silicon, or the like s material. The substrate 400 can be of any shape and size, such as a 200mm, 300mm or 450mm diameter wafer, or a rectangular or square plate.

在第4A圖所示的實施例中,層402設置於基板400上並適合用於透過流動性介電材料沉積而製造STI結構404。在某些實施例中,層402可經蝕刻或圖案化(patterned)以於層402內形成凹槽406而用於形成淺凹槽隔離(STI)結構,STI結構可用於將積體電路中的元件彼此電絕緣。或者,在層402不存在的實施例中,本說明書所述的於層402上執行的處理可於基板400上執行。In the embodiment shown in Figure 4A, layer 402 is disposed on substrate 400 and is suitable for fabricating STI structure 404 by deposition of flowable dielectric material. In some embodiments, layer 402 may be etched or patterned to form grooves 406 in layer 402 for forming a shallow trench isolation (STI) structure, which may be used to integrate components in an integrated circuit. The components are electrically insulated from each other. Alternatively, in embodiments where layer 402 is not present, the processes described herein as being performed on layer 402 may be performed on substrate 400 .

在步驟304,介電材料408沉積於基板400上而填充層402內界定的凹槽406,如第4B圖所示。介電材料408可藉由於處理腔室200中執行的流動性化學氣相沉積處理而沉積,如相對於以上第2圖所述。在一個實施例中,介電材料408係由供應入處理腔室200的氣體混合物所沉積之含矽材料。In step 304, dielectric material 408 is deposited on substrate 400 to fill recesses 406 defined in layer 402, as shown in Figure 4B. Dielectric material 408 may be deposited by a flow chemical vapor deposition process performed in processing chamber 200, as described with respect to Figure 2 above. In one embodiment, dielectric material 408 is a silicon-containing material deposited from a gas mixture supplied into processing chamber 200 .

在一個實施例中,用於形成介電材料408之供應入處理腔室200的氣體混合物可包括如上所討論的介電材料前驅物與處理前驅物。此外,處理前驅物的適當示範例可包括如上所討論的含氮前驅物。此外,處理前驅物亦可包括含氫化合物、含氧化合物或以上之組合,如NH 3氣體。或者處理前驅物可包括所需前驅物中的一或多個。 In one embodiment, the gas mixture supplied to the processing chamber 200 for forming the dielectric material 408 may include a dielectric material precursor and a processing precursor as discussed above. Additionally, suitable exemplary examples of processing precursors may include nitrogen-containing precursors as discussed above. In addition, the treatment precursor may also include hydrogen-containing compounds, oxygen-containing compounds, or a combination thereof, such as NH 3 gas. Alternatively, the processing precursor may include one or more of the required precursors.

在一個實施例中,沉積處理期間的基板溫度維持於預定溫度範圍內。在一個實施例中,基板溫度維持在小於約攝氏200度,如小於攝氏100度以允許基板上形成的介電材料408係流動性的以回流與填充於凹槽406內。相信相當低的基板溫度(如小於攝氏100度)可以協助將初始於基板表面形成的薄膜維持在液態狀的流動性狀態,以保持基板表面上形成的結果薄膜之流動性與黏滯性。隨著結果的薄膜於基板上形成具有一定程度的流動性與黏滯性,在接續的熱與濕處理之後,薄膜的接合結構可改變、轉換、取代成不同的功能群組或接合結構。在一個實施例中,處理腔室中的基板溫度維持在約室內溫度至約攝氏200度之間,如約小於攝氏100度,例如介於約攝氏30度至約攝氏80度之間。In one embodiment, the substrate temperature during the deposition process is maintained within a predetermined temperature range. In one embodiment, the substrate temperature is maintained at less than about 200 degrees Celsius, such as less than 100 degrees Celsius to allow fluidity of the dielectric material 408 formed on the substrate to reflow and fill the recesses 406 . It is believed that a relatively low substrate temperature (such as less than 100 degrees Celsius) can help maintain the fluidity of the film initially formed on the substrate surface in a liquid state to maintain the fluidity and viscosity of the resulting film formed on the substrate surface. As the resulting film forms on the substrate with a certain degree of fluidity and viscosity, the joint structure of the film can be changed, converted, or replaced into different functional groups or joint structures after subsequent heat and moisture treatments. In one embodiment, the substrate temperature in the processing chamber is maintained between about room temperature and about 200 degrees Celsius, such as about less than 100 degrees Celsius, such as between about 30 degrees Celsius and about 80 degrees Celsius.

介電材料前驅物可以約1 sccm至約5000 sccm之間的流率供應至處理腔室。處理前驅物可以約1 sccm至約1000 sccm之間的流率供應至處理腔室。或者,在處理期間,供應的氣體混合物亦可控制在介電材料前驅物比處理前驅物之約0.1至100之間的流動比率。處理壓力維持在約0.10Torr至約10Torr之間,例如約0.1Torr至約1Torr之間,如約0.5Torr至0.7Torr之間。The dielectric material precursor may be supplied to the processing chamber at a flow rate between about 1 sccm and about 5000 sccm. The processing precursor may be supplied to the processing chamber at a flow rate between about 1 sccm and about 1000 sccm. Alternatively, the supplied gas mixture may be controlled to have a flow ratio between about 0.1 and 100 of the dielectric material precursor to the process precursor during processing. The process pressure is maintained between about 0.10 Torr and about 10 Torr, such as between about 0.1 Torr and about 1 Torr, such as between about 0.5 Torr and 0.7 Torr.

一或多個惰性氣體亦可包含有提供至處理腔室200的氣體混合物。惰性氣體可包括但不局限於稀有氣體(noble gas),如Ar、He、Xe及類似物。惰性氣體可以約1 sccm至約50000 sccm的流動速率供應至處理腔室。One or more inert gases may also be included in the gas mixture provided to the processing chamber 200. Inert gases may include, but are not limited to, noble gases such as Ar, He, Xe and the like. The inert gas may be supplied to the processing chamber at a flow rate of about 1 sccm to about 50,000 sccm.

RF電源用於維持沉積期間的電漿。RF電源供應於約100kHz至約100MHz之間,如約350kHz或約13.56MHz。或者,VHF電源可用於提供高達約27MHz至200MHz之間的頻率。在一個實施例中,RF電源可供應於約1000瓦至10000瓦之間。基板至噴頭225的間隔可根據基板尺寸控制。在一個實施例中,處理間隔控制在約100密耳(mil)至約5英吋(inch)之間。RF power is used to maintain the plasma during deposition. The RF power supply is between about 100kHz and about 100MHz, such as about 350kHz or about 13.56MHz. Alternatively, a VHF power supply can be used to provide frequencies up to between approximately 27MHz and 200MHz. In one embodiment, RF power may be supplied between approximately 1,000 watts and 10,000 watts. The distance from the substrate to the nozzle 225 can be controlled according to the size of the substrate. In one embodiment, the treatment interval is controlled between about 100 mils and about 5 inches.

在一個實施例中,於基板400上形成的介電材料408係具有氮或氫原子的含矽材料,如於基板上形成的Si xN yH z或—Si—N—H—鍵結,其中x係1至200的整數,y、z係0至400的整數。由於提供於氣體混合物中的處理前驅物可在沉積期間提供氮與氫物質,介電材料408中形成的矽原子可包含—Si—N—H—、—Si—N—或—Si—H—或其他鍵結。Si—N、N—H、Si—H鍵結將進一步藉由接續的熱與濕處理以Si—O—Si鍵結取代以形成介電材料408而作為氧化矽層。 In one embodiment, the dielectric material 408 formed on the substrate 400 is a silicon-containing material having nitrogen or hydrogen atoms, such as Six N y H z or -Si-N-H- bonds formed on the substrate, Among them, x is an integer from 1 to 200, and y and z are integers from 0 to 400. Since process precursors provided in the gas mixture can provide nitrogen and hydrogen species during deposition, the silicon atoms formed in dielectric material 408 can include -Si—N—H—, —Si—N—, or —Si—H— or other bonds. The Si—N, N—H, and Si—H bonds will be further replaced by Si—O—Si bonds through subsequent heat and moisture treatments to form dielectric material 408 as the silicon oxide layer.

在步驟306,在介電材料408於基板400上形成後,基板400經固化與(或)熱處理。固化處理將水分與其他揮發性成份自沉積的介電材料408移除以形成固相介電材料408,如第4C圖所示。隨著介電材料408固化,沉積的介電材料408中的水分與溶劑排出,導致沉積的介電材料408重新填充與回流於界定於基板400中的凹槽406,從而於基板400上形成實質平坦的表面410。在一個實施例中,固化步驟306可於批次固化腔室103中執行。In step 306, after the dielectric material 408 is formed on the substrate 400, the substrate 400 is cured and/or heat treated. The curing process removes moisture and other volatile components from the deposited dielectric material 408 to form a solid phase dielectric material 408, as shown in Figure 4C. As the dielectric material 408 solidifies, moisture and solvent in the deposited dielectric material 408 are expelled, causing the deposited dielectric material 408 to refill and reflow into the recesses 406 defined in the substrate 400, thereby forming a solid material on the substrate 400. Flat surface 410. In one embodiment, curing step 306 may be performed in batch curing chamber 103 .

在某些實施例中,固化溫度可控制於攝氏150度之下的溫度,如攝氏100度以下,例如約攝氏50度。固化時間可控制於約1秒至約10小時之間。例如,在一個實施例中,固化處理在約攝氏90度的溫度下執行8至10分鐘。在某些實施例中,在固化處理期間,使用加熱的淨化氣體與(或)惰性載體氣體(氬(Ar)或氮(N 2)),並流動到基板上,例如經由加熱的噴頭。在其他實施例中,載體氣體可與臭氧(O 3)結合於固化處理期間使用。在其他例子中,熱處理氣體於基板表面上的流動以及基板的加熱可以有效地將揮發性元件自薄膜移除,其中流動性介電薄膜已經於基板上形成。在此方法中,經由流動性CVD處理形成的薄膜(如於步驟304中沉積的薄膜)可以轉換為帶有小或沒有孔洞的密集、固體介電薄膜,甚至係當於帶有高深寬比特徵的基板上形成時。在某些實施例中,固化處理包括預熱步驟,在預熱步驟中,在處理氣體流動前,基板於加熱的基座上靜置一特定的持續時間(如約1秒至約10分鐘)。 In some embodiments, the curing temperature can be controlled to a temperature below 150 degrees Celsius, such as below 100 degrees Celsius, for example, about 50 degrees Celsius. The curing time can be controlled from about 1 second to about 10 hours. For example, in one embodiment, the curing process is performed at a temperature of approximately 90 degrees Celsius for 8 to 10 minutes. In certain embodiments, a heated purge gas and/or an inert carrier gas (argon (Ar) or nitrogen (N 2 )) is used and flowed onto the substrate during the curing process, such as via a heated showerhead. In other embodiments, a carrier gas may be used in combination with ozone ( O3 ) during the curing process. In other examples, the flow of heat treatment gases over the substrate surface and heating of the substrate can effectively remove volatile components from the film on which the flowable dielectric film has been formed. In this method, films formed by a flow CVD process (such as the film deposited in step 304) can be converted into dense, solid dielectric films with small or no holes, even equivalent to high aspect ratio features. formed on the substrate. In some embodiments, the curing process includes a preheating step in which the substrate is allowed to rest on a heated susceptor for a specified duration (e.g., from about 1 second to about 10 minutes) before processing gas flows. .

在步驟310,在固化處理完成後,介電材料408可選擇性暴露於熱退火處理以形成退火介電材料408。一般來說,熱退火處理於分別處理腔室中執行而不是以上所述的固化處理。步驟310可於其中執行之適當的熱退火腔室之示範例係可自應用材料公司等取得的CENTURA® RADIANCE® RTP腔室。值得注意的是,包含自其他製造商取得的其他類型之退火腔室或RTP腔室亦可用於執行如步驟310中所述的熱退火處理。 批次固化處理程序示範例 At step 310, after the curing process is complete, the dielectric material 408 may be selectively exposed to a thermal anneal process to form the annealed dielectric material 408. Generally, the thermal annealing process is performed in a separate processing chamber rather than the curing process described above. An example of a suitable thermal annealing chamber in which step 310 may be performed is the CENTURA® RADIANCE® RTP chamber available from Applied Materials, among others. It is worth noting that other types of annealing chambers or RTP chambers, including those obtained from other manufacturers, may also be used to perform the thermal annealing process as described in step 310. Batch curing process example

第5圖係根據本揭露的實施例而設置的批次固化腔室500的側視截面圖。批次固化腔室500可用作第1圖中的批次固化腔室103以即可用於執行以上步驟306所述的批次固化處理。批次固化腔室500一般包括腔室主體510、設置於腔室主體510內的多個固化站530以及部分設置於腔室主體510內多個基板升舉組件540。Figure 5 is a side cross-sectional view of a batch curing chamber 500 configured in accordance with embodiments of the present disclosure. The batch curing chamber 500 can be used as the batch curing chamber 103 in Figure 1 and can be used to perform the batch curing process described in step 306 above. The batch curing chamber 500 generally includes a chamber body 510, a plurality of curing stations 530 disposed in the chamber body 510, and a plurality of substrate lifting assemblies 540 partially disposed in the chamber body 510.

腔室主體510包括與腔室蓋件511及腔室底板513耦接的腔室壁512。真空泵前級真空管線514(經配置而將處理與淨化氣體自腔室主體510泵送)通過腔室底板513而穿入腔室510。在其他實施例中,真空泵前級真空管線514可通過腔室壁512中的一或多個與(或)腔室蓋件511而穿入腔室510。真空泵前級真空管線514通過開口521而與腔室510的處理區域522流體耦接以及與鄰近於多個固化站530的各個設置之多個排氣入口陣列523之各者流體耦接。因此,在固化處理期間自基板排出的處理氣體、淨化氣體與揮發性化合物可以自處理區域522移除以及自位於多個固化站530之間的處理子區域524之各個移除。多個排氣入口陣列523結合第8圖而有更詳盡之描述。The chamber body 510 includes a chamber wall 512 coupled with a chamber cover 511 and a chamber floor 513 . Vacuum pump foreline 514 (configured to pump process and purge gases from chamber body 510 ) penetrates chamber 510 through chamber floor 513 . In other embodiments, the vacuum pump foreline line 514 may penetrate the chamber 510 through one or more of the chamber walls 512 and/or the chamber cover 511 . Vacuum pump foreline line 514 is fluidly coupled with processing region 522 of chamber 510 through opening 521 and with each of a plurality of exhaust inlet arrays 523 disposed adjacent each of a plurality of solidification stations 530 . Accordingly, processing gases, purge gases, and volatile compounds exhausted from the substrate during the curing process may be removed from the processing region 522 and from each of the processing sub-regions 524 located between the plurality of curing stations 530 . The plurality of exhaust inlet arrays 523 are described in greater detail in conjunction with FIG. 8 .

腔室主體510亦可包括與腔室壁512中的一個耦接的RPS歧管515。在定期清洗處理期間,RPS歧管515經配置而將清洗氣體經由多個清洗氣體開口516導向各處理子區域524。清洗氣體可由遠端電漿源550產生。例如,NH 3或任何其他清洗氣體可穿過遠端電漿源並接著用於移除腔室主體與多個固化站530的一或多個內表面上的不必要沉積物。在預定量的固化薄膜由批次固化腔室500處理之後,或在預定數量的基板被批次固化腔室500處理之後,此處理可在特定時間間隔執行。 The chamber body 510 may also include an RPS manifold 515 coupled to one of the chamber walls 512 . During a periodic cleaning process, the RPS manifold 515 is configured to direct cleaning gas to each processing sub-region 524 via a plurality of cleaning gas openings 516 . Purge gas may be generated by remote plasma source 550. For example, NH 3 or any other purge gas may be passed through the remote plasma source and then used to remove unwanted deposits on one or more interior surfaces of the chamber body and plurality of curing stations 530 . This processing may be performed at specific time intervals after a predetermined amount of cured film is processed by the batch curing chamber 500, or after a predetermined number of substrates are processed by the batch curing chamber 500.

腔室主體510一般亦包括裝載開口517、槽形開口蓋部518(第6圖中所示更多細節)及裝載開口門520,裝載開口517於腔室壁512中的其中一個形成,槽形開口蓋部518設置有多個基板狹縫519,裝載開口門520經設置而在固化處理期間密封裝載開口517。一般來說,基板狹縫519的各個對應於固化站530的個別一個,以及與固化站530的個別一個實質對齊以當裝載開口門520在開啟位置中時,允許大氣機械臂104延伸一臂進入多個子處理區域524中的各個。第5圖中圖示裝載開口門520係在關閉位置。The chamber body 510 also generally includes a loading opening 517 formed in one of the chamber walls 512, a channel-shaped opening cover 518 (shown in greater detail in Figure 6), and a loading opening door 520. The opening cover 518 is provided with a plurality of substrate slits 519 and a loading opening door 520 is provided to seal the loading opening 517 during the curing process. Generally, each of the substrate slots 519 corresponds to an individual one of the curing stations 530 and is substantially aligned with an individual one of the curing stations 530 to allow one-arm extension of the atmospheric robotic arm 104 when the load opening door 520 is in the open position. Each of the plurality of sub-processing areas 524. The load opening door 520 is shown in Figure 5 in a closed position.

裝載開口517經配置而允許基板裝載入多個固化站530中的各個而不用將裝載開口相對於多個固化站530或生產介面105重新定位。例如,當多個固化站530以堆疊陣列排列時,如第5圖所示,裝載開口517經配置而在兩個維度(two dimensions,即高度與寬度)上橫跨堆疊陣列,使得堆疊陣列中的多個固化站530的全部或至少一大比例可由大氣機械臂104進出。因此,當固化站530以垂直堆疊陣列排列時,裝載開口517的高度525相當大以容納多個固化站530結合的高度。槽形開口蓋部518可係板或其他結構經配置而在裝載開口517打開時(如基板的裝載與卸載期間)最小化或減少裝載開口517的打開區域。因為裝載開口517具有相當大的高度525,所以裝載開口的自由區域係對應地大,而允許來自生產介面105的大量周圍空氣在槽形開口蓋部518不在時進入批次固化腔室500。大量進入批次固化腔室500的周圍空氣可能導致批次固化腔室500不必要的冷卻或批次固化腔室500中內部元件的氧化與(或)污染,以及亦導致批次固化腔室500中處理氣體與排出產物洩漏入生產介面105。因此,槽形開口蓋部518幫助避免粒子與(或)不必要的氣體或處理副產品自批次固化腔室500來回傳送。The loading opening 517 is configured to allow substrates to be loaded into each of the plurality of curing stations 530 without repositioning the loading opening relative to the plurality of curing stations 530 or the production interface 105 . For example, when multiple curing stations 530 are arranged in a stacked array, as shown in Figure 5, the loading opening 517 is configured to span the stacked array in two dimensions (ie, height and width) such that the stacked array All or at least a large proportion of the plurality of curing stations 530 may be accessed by the atmospheric robotic arm 104 . Therefore, when the curing stations 530 are arranged in a vertically stacked array, the height 525 of the loading opening 517 is considerable to accommodate the combined height of multiple curing stations 530. The slotted opening cover 518 may be a tie plate or other structure configured to minimize or reduce the opening area of the loading opening 517 when the loading opening 517 is open (eg, during loading and unloading of substrates). Because the loading opening 517 has a considerable height 525, the free area of the loading opening is correspondingly large, allowing a large amount of ambient air from the production interface 105 to enter the batch curing chamber 500 when the slotted opening cover 518 is not present. Large amounts of ambient air entering the batch curing chamber 500 may cause unnecessary cooling of the batch curing chamber 500 or oxidation and/or contamination of internal components in the batch curing chamber 500 , and may also cause the batch curing chamber 500 to The process gas and exhaust products leak into the production interface 105. Thus, the slotted opening cover 518 helps prevent particles and/or unnecessary gases or process by-products from being transported back and forth from the batch curing chamber 500 .

第6圖係根據本揭露實施例設置的用於第5圖所示的批次固化腔室500的槽形開口蓋部518之等角視圖。槽形開口蓋部518可係板或其他結構經配置而在裝載開口517打開時(如基板的裝載與卸載期間)最小化或減少裝載開口517的打開區域。例如,多個基板狹縫519的尺寸可經選擇係實務上所能做出的小而沒有造成與通過裝載開口517裝載與卸載的基板之干涉。在此實施例中,可基於大氣機械臂104(示於第1圖)位置、槽形開口蓋部518、裝載開口517以及可能影響多個基板狹縫519相對於大氣機械臂104的個別位置之批次固化腔室500的任何元件之容差疊加(tolerance stack-up)與腔室對腔室的變化而決定多個基板狹縫519的尺寸。因此,在此實施例中,多個基板狹縫可經配置而符合靜置於大氣機械臂104的臂上之基板的截面加上額外的自由區域以適應批次固化腔室500的元件、生產介面105、大氣機械臂104及類似物之容差疊加。Figure 6 is an isometric view of a slotted opening cover 518 for the batch curing chamber 500 shown in Figure 5, provided in accordance with an embodiment of the present disclosure. The slotted opening cover 518 may be a tie plate or other structure configured to minimize or reduce the opening area of the loading opening 517 when the loading opening 517 is open (eg, during loading and unloading of substrates). For example, the size of the plurality of substrate slits 519 may be selected to be as small as is practical without causing interference with loading and unloading of substrates through the loading opening 517 . In this embodiment, the position of the atmospheric manipulator 104 (shown in FIG. 1 ), the slotted opening cover 518 , the loading opening 517 , and the individual positions of the plurality of substrate slits 519 relative to the atmospheric manipulator 104 may be affected. The tolerance stack-up of any component of the batch curing chamber 500 and the chamber-to-chamber variation determine the size of the plurality of substrate slits 519 . Thus, in this embodiment, multiple substrate slits may be configured to conform to the cross-section of the substrate resting on the arm of the atmospheric robotic arm 104 plus additional free area to accommodate components, production of the batch curing chamber 500 Tolerance stacking of interface 105, atmospheric manipulator 104, and the like.

為了減少基板裝載入批次固化腔室500時裝載開口517的自由區域,槽形開口蓋部518大幅減少或最小化周圍空氣進入的入口以及處理與淨化氣體自批次固化腔室500出去的出口。因此,儘管裝載開口517相當大尺寸,但是很少或沒有處理氣體與(或)揮發性成分在基板裝載與卸載期間離開批次固化腔室500。此外,避免了周圍空氣自生產介面105進入或熱輻射離開批次固化腔室500導致的批次固化腔室500之不必要冷卻。In order to reduce the free area of the loading opening 517 when loading substrates into the batch curing chamber 500, the slotted opening cover 518 greatly reduces or minimizes the entry of ambient air and the exit of the process and purge gases from the batch curing chamber 500. exit. Therefore, despite the considerable size of loading opening 517, little or no process gases and/or volatile components exit batch curing chamber 500 during substrate loading and unloading. Furthermore, unnecessary cooling of the batch curing chamber 500 caused by ambient air entering from the production interface 105 or thermal radiation leaving the batch curing chamber 500 is avoided.

第7圖係根據本揭露實施例配置的多個固化站530的部分之部分截面圖。設置於腔室主體510內的多個固化站530之各個包括加熱基板基座531、定位於加熱基座531上的噴頭532、於加熱基座531與噴頭532之間形成的噴頭氣室533、與噴頭氣室533和處理氣體板(未圖示)流體耦接的環狀氣室534、固化站加熱器535以及熱電偶537。為求清楚,可鄰近於固化站530設置的排氣入口陣列523自第7圖省略。處理子區域524位於多個固化站530的各個之間。Figure 7 is a partial cross-sectional view of a portion of a plurality of curing stations 530 configured in accordance with embodiments of the present disclosure. Each of the plurality of curing stations 530 provided in the chamber body 510 includes a heating substrate base 531, a nozzle 532 positioned on the heating base 531, a nozzle air chamber 533 formed between the heating base 531 and the nozzle 532, An annular plenum 534, a curing station heater 535, and a thermocouple 537 are fluidly coupled to the showerhead plenum 533 and process gas plate (not shown). For clarity, the exhaust inlet array 523, which may be disposed adjacent to the curing station 530, is omitted from Figure 7. A processing sub-area 524 is located between each of the plurality of curing stations 530 .

加熱基板基座531經配置而支撐以及在某些實施例中於固化處理期間加熱基板。噴頭532經配置而均勻分配進入噴頭氣室533至鄰近處理子區域524的處理氣體(即固化氣體)與淨化氣體。此外,加熱基板基座531與噴頭532經配置而形成所示的噴頭氣室533。值得注意的是穿過噴頭氣室533並進入處理子區域524的氣體可藉由與處理子區域524相聯的加熱基板基座531加熱,該處理子區域524不同於且鄰近於氣體流入的處理子區域524。或者或更甚者,穿過噴頭氣室533與進入處理子區域524的氣體可藉由氣體通過的噴頭532加熱。Heated substrate base 531 is configured to support and, in some embodiments, heat the substrate during the curing process. The showerhead 532 is configured to evenly distribute the processing gas (ie, solidification gas) and purge gas entering the showerhead gas chamber 533 to the adjacent processing sub-region 524 . Additionally, the heated substrate base 531 and the showerhead 532 are configured to form the showerhead plenum 533 as shown. It is worth noting that the gas passing through the showerhead plenum 533 and entering the processing sub-region 524 can be heated by the heated substrate base 531 associated with the processing sub-region 524, which is different from and adjacent to the processing into which the gas flows. Subarea 524. Alternatively, or even more, the gas passing through the showerhead plenum 533 and entering the processing sub-region 524 may be heated by the showerhead 532 through which the gas passes.

在某些實施例中,通過噴頭氣室533並進入處理子區域524的處理與(或)淨化氣體可首先穿過與噴頭氣室533流體耦接的環狀氣室534,如第7圖所示。環狀氣室534經配置有複數個孔口701,孔口701經調整尺寸而相較於當處理氣體702流動通過噴頭氣室533時於處理氣體702上產生的流動阻力而在處理氣體702上產生更大的流動阻力(即壓降)。在此方法中,雖然環狀氣室534可透過單一入口或少量入口而與處理氣體板耦接,但是進入噴頭氣室533的處理氣體702的流動在噴頭532周圍附近係實質均勻的。一般來說,進入噴頭氣室533的處理氣體702之均勻流動促成通過噴頭532進入處理子區域524的均勻流動。為了進一步促成處理氣體702的均勻流動,孔口701可於環狀氣室534的內周附近對稱分佈。In some embodiments, the processing and/or purge gases passing through the showerhead plenum 533 and entering the processing sub-region 524 may first pass through an annular plenum 534 fluidly coupled to the showerhead plenum 533, as shown in FIG. 7 Show. The annular gas chamber 534 is configured with a plurality of orifices 701 , the orifices 701 being sized to provide greater resistance to the flow of the process gas 702 than the flow resistance created on the process gas 702 when the process gas 702 flows through the nozzle gas chamber 533 . Produces greater flow resistance (i.e. pressure drop). In this approach, although the annular plenum 534 may be coupled to the process gas plate through a single inlet or a small number of inlets, the flow of process gas 702 into the showerhead plenum 533 is substantially uniform around the showerhead 532 . Generally speaking, uniform flow of process gas 702 into showerhead plenum 533 promotes uniform flow through showerhead 532 into processing sub-region 524 . In order to further promote a uniform flow of the processing gas 702, the orifices 701 may be symmetrically distributed near the inner circumference of the annular gas chamber 534.

促成進入噴頭氣室533的處理氣體702之均勻流動的孔口701最大自由區域可基於孔口701的數量、噴頭氣室533的尺寸、噴頭532產生的流動阻力以及處理氣體702的約略流動速率等來決定。此孔口701的最大自由區域可藉由所屬領域中具有通常知識者就以上所述之知識來決定。The maximum free area of the orifices 701 that promotes uniform flow of the processing gas 702 entering the nozzle gas chamber 533 may be based on the number of orifices 701 , the size of the nozzle gas chamber 533 , the flow resistance generated by the nozzle 532 and the approximate flow rate of the processing gas 702 , etc. to decide. The maximum free area of the orifice 701 can be determined by those with ordinary knowledge in the art based on the above knowledge.

批次固化腔室500可包括固化站加熱器535與熱電偶537,其在一起而使個別閉迴路溫度控制能夠用於多個固化站530的各個。因此,批次固化腔室500可以處理多個基板而沒有多個固化站530間溫度變化導致的基板對基板變化的風險。沒有固化站加熱器535的個別溫度控制,批次固化腔室500的處理子區域524之頂部與底部處理的基板通常相較於中心處理子區域524中處理的基板暴露於較低溫度,其可以嚴重影響固化處理晶圓至晶圓批次處理的結果。The batch curing chamber 500 may include a curing station heater 535 and a thermocouple 537 that together enable individual closed loop temperature control for each of the plurality of curing stations 530 . Thus, the batch curing chamber 500 can process multiple substrates without the risk of substrate-to-substrate variation due to temperature variations among the multiple curing stations 530 . Without individual temperature control of the curing station heaters 535, substrates processed at the top and bottom of the processing sub-region 524 of the batch curing chamber 500 are generally exposed to lower temperatures than substrates processed in the central processing sub-region 524, which may Severely affects curing process wafer-to-wafer batch processing results.

在某些實施例中,熱電偶537與固化站加熱器535皆設置於加熱基板基座531中,如第7圖所示。在此等實施例中,噴頭532與環狀氣室534的壁經由傳導與輻射加熱傳遞而加熱至接近加熱基板基座531的溫度。因此,穿過環狀氣室534的處理氣體、噴頭氣室533與噴頭532亦加熱至接近加熱基板基座531的溫度。熱電偶537提供溫度反饋給加熱基板基座531以及因而進入處理子區域524中的一個之處理氣體的溫度閉迴路控制。或者,可設置熱電偶537與噴頭532接觸以及(或)與進入進入處理子區域524中的一個之處理氣體接觸。In some embodiments, both the thermocouple 537 and the curing station heater 535 are disposed in the heated substrate base 531, as shown in FIG. 7 . In these embodiments, the showerhead 532 and the walls of the annular gas chamber 534 are heated to a temperature close to the heated substrate base 531 via conductive and radiant heat transfer. Therefore, the processing gas passing through the annular gas chamber 534 , the showerhead gas chamber 533 and the showerhead 532 are also heated to a temperature close to the temperature of the heated substrate base 531 . The thermocouple 537 provides temperature feedback to the heated substrate pedestal 531 and thus closed loop control of the temperature of the process gas entering one of the processing sub-regions 524 . Alternatively, a thermocouple 537 may be positioned in contact with the showerhead 532 and/or in contact with the process gas entering one of the processing sub-regions 524 .

如以上所述,多個排氣入口陣列523鄰近多個固化站530的各者而設置。在處理子區域524中的一個中的基板上執行的某些固化處理中,自基板上形成的介電材料排出之揮發性成分可形成粒子,如SiO2粒子。此等粒子可能靜置於正在處理的基板上,此係非常不受歡迎的。因此,批次固化腔室500中的淨化與處理氣體的流動模式可以影響處理子區域524中正在處理的基板之污染。排氣入口陣列523經配置而將揮發性成份與粒子(如果形成的話)自正處理的基板排出。在某些實施例中,兩個或兩個以上的排氣入口陣列523鄰近於各固化站530如以對稱排列的方式設置,如第7與8A-8C圖所示。As described above, a plurality of exhaust inlet arrays 523 are disposed adjacent each of a plurality of curing stations 530 . During certain curing processes performed on the substrate in one of the processing sub-regions 524, volatile components expelled from the dielectric material formed on the substrate may form particles, such as SiO2 particles. Such particles may settle on the substrate being processed, which is highly undesirable. Accordingly, the flow patterns of purge and process gases in batch curing chamber 500 can affect contamination of substrates being processed in processing sub-region 524. The exhaust inlet array 523 is configured to exhaust volatile components and particles, if formed, from the substrate being processed. In some embodiments, two or more exhaust inlet arrays 523 are disposed adjacent to each curing station 530 in a symmetrical arrangement, as shown in Figures 7 and 8A-8C.

第8A圖係根據本揭露實施例排列的多個群組排氣入口陣列523之等角視圖。第8B圖係第8A圖所示的多個群組排氣入口陣列523之平面圖以及第8C圖係第8A圖所示的多個群組排氣入口陣列523之側視圖。為求清楚,批次固化腔室500的大部分其他元件被省略。如第8A-8C圖所示的實施例所示,一群組的四個排氣入口陣列523鄰近於特定固化站530定位,總共有六群組的四個排氣入口陣列523。在其他實施例中,一群組的多於或少於四個排氣入口陣列523可鄰近於單一固化站530定位。Figure 8A is an isometric view of a plurality of grouped exhaust inlet arrays 523 arranged in accordance with embodiments of the present disclosure. Figure 8B is a plan view of the plurality of groups of exhaust inlet arrays 523 shown in Figure 8A and Figure 8C is a side view of the plurality of groups of exhaust inlet arrays 523 shown in Figure 8A. Most other elements of batch curing chamber 500 have been omitted for clarity. As shown in the embodiment shown in Figures 8A-8C, a group of four exhaust inlet arrays 523 are positioned adjacent a particular curing station 530, for a total of six groups of four exhaust inlet arrays 523. In other embodiments, a group of more or less than four exhaust inlet arrays 523 may be positioned adjacent a single curing station 530 .

各排氣入口陣列523包括與排氣氣室802流體耦接的複數個排氣入口801,排氣氣室802位於排氣入口陣列523內。在某些實施例中,各排氣入口陣列523與支撐構件810機械耦接,支撐構件810結構性支撐以及定位與其耦接的排氣入口陣列523。在第8A-C圖所示的實施例中,批次固化腔室500包括四個分開的支撐構件810,而在其他實施例中,批次固化構件500可經配置有多於或少於總共四個支撐構件810。此外,各排氣入口陣列523與排氣歧管流體耦接(為求清楚而未圖示),排氣歧管接著與批次固化腔室500的前級真空管線514流體耦接。在某些實施例中,支撐構件810的一或多個亦可設置為排氣歧管。Each exhaust inlet array 523 includes a plurality of exhaust inlets 801 fluidly coupled with an exhaust air chamber 802 located within the exhaust inlet array 523 . In certain embodiments, each exhaust inlet array 523 is mechanically coupled to a support member 810 that structurally supports and positions the exhaust inlet array 523 coupled thereto. In the embodiment shown in Figures 8A-C, the batch curing chamber 500 includes four separate support members 810, while in other embodiments, the batch curing member 500 may be configured to have more or less than a total of Four support members 810. Additionally, each exhaust inlet array 523 is fluidly coupled to an exhaust manifold (not shown for clarity), which in turn is fluidly coupled to the foreline 514 of the batch curing chamber 500 . In some embodiments, one or more of the support members 810 may also be configured as an exhaust manifold.

在某些實施例中,排氣入口陣列523的部分或全部可包括流動平衡孔口811。在此等實施例中,各流動平衡孔口811經配置而限制流動至相聯的排氣入口陣列523,使得通過各排氣入口陣列523的處理氣體與排出成分之流動相對於鄰近的排氣入口陣列523係相等或實質相等的。在某些實施例中,流動平衡孔口811係固定孔口。在此等實施例中,各固定孔口的特定尺寸可使用電腦模擬、流動視覺化、試誤法(trial-and-error methods)或以上各者之組合而決定。在其他實施例中,流動平衡孔口811的部分或全部係可調整孔口(如針閥),其可以在製造的時間設定(在該領域中)以及(或)回應批次固化腔室500中的排氣平衡問題。In certain embodiments, some or all of exhaust inlet array 523 may include flow balancing orifices 811 . In these embodiments, each flow balancing orifice 811 is configured to restrict flow to an associated exhaust inlet array 523 such that the flow of process gas and exhaust components through each exhaust inlet array 523 is relative to the flow of adjacent exhaust gases. The entry arrays 523 are equal or substantially equal. In some embodiments, flow balancing orifices 811 are fixed orifices. In these embodiments, the specific size of each fixed orifice may be determined using computer simulation, flow visualization, trial-and-error methods, or a combination thereof. In other embodiments, some or all of the flow balance orifice 811 is an adjustable orifice (such as a needle valve) that can be set at the time of manufacture (in the field) and/or in response to the batch curing chamber 500 exhaust balance issues.

多個基板升舉組件540經配置而在裝載與卸載期間將個別基板自大氣機械臂104移除以及將個別基板置放在大氣機械臂104上。此外,多個基板升舉組件540經配置而在批次固化腔室500中的處理期間同時定位多個基板。例如,在某些實施例中,多個基板升舉組件540經配置而同時將正處理的各基板定位入處理位置以及入預熱位置。一般來說,當在處理位置時,基板定位靠近噴頭532,而在預熱位置時,基板定位在加熱基板基座531上。A plurality of substrate lift assemblies 540 are configured to remove individual substrates from and place individual substrates on the atmospheric robotic arm 104 during loading and unloading. Additionally, multiple substrate lift assemblies 540 are configured to simultaneously position multiple substrates during processing in batch curing chamber 500 . For example, in some embodiments, multiple substrate lift assemblies 540 are configured to simultaneously position each substrate being processed into a processing position and into a preheating position. Generally, when in the processing position, the substrate is positioned close to the showerhead 532, and when in the preheating position, the substrate is positioned on the heated substrate base 531.

多個基板升舉組件540包括多個升舉銷索引器541,如三個或三個以上。在第5圖所示的實施例中,多個基板升舉組件540包括三個升舉銷索引器541,但是只有一個是可見的。第9圖係腔室蓋件511與多個基板升舉組件540的全部三個升舉銷索引器541的部分之等角視圖。為求清楚,腔室壁512與腔室底板513自第9圖省略。三個升舉銷索引器541的各者部分設置於腔室主體510內且與升舉機構544(示於第5圖中以及為求清楚而於第9圖中省略)耦接。升舉機構544可係適合用於將基板定位於上述裝載、卸載、預熱以及處理位置中的任何機械致動器。例如,升舉機構可包括氣動致動器、步進馬達以及類似物。The plurality of substrate lifting assemblies 540 includes a plurality of lifting pin indexers 541, such as three or more. In the embodiment shown in Figure 5, multiple substrate lift assemblies 540 include three lift pin indexers 541, but only one is visible. Figure 9 is an isometric view of a portion of the chamber cover 511 and all three lift pin indexers 541 of the plurality of substrate lift assemblies 540. For clarity, the chamber wall 512 and the chamber floor 513 are omitted from Figure 9 . Each of the three lift pin indexers 541 is partially disposed within the chamber body 510 and coupled to a lift mechanism 544 (shown in Figure 5 and omitted from Figure 9 for clarity). Lift mechanism 544 may be any mechanical actuator suitable for positioning substrates in the loading, unloading, preheating, and processing positions described above. For example, the lift mechanism may include pneumatic actuators, stepper motors, and the like.

第10圖係根據本揭露實施例設置的升舉銷索引器541之截面圖。如圖所示,升舉銷索引器541一般包括用於批次固化腔室500中的處理子區域524的各個之升舉銷542。因此,在第5、9與10圖中所示的示範例,各升舉銷索引器541包括與垂直軸543耦接的六個升舉銷542。三個升舉銷索引器541可以同時將六個基板定位於處理位置或同時將六個基板設定於預熱位置中各別加熱基板基座531上。Figure 10 is a cross-sectional view of a lift pin indexer 541 provided in accordance with an embodiment of the present disclosure. As shown, lift pin indexer 541 generally includes lift pins 542 for each of the processing sub-regions 524 in batch cure chamber 500. Therefore, in the examples shown in FIGS. 5 , 9 and 10 , each lift pin indexer 541 includes six lift pins 542 coupled with a vertical axis 543 . The three lift pin indexers 541 can simultaneously position six substrates in the processing position or simultaneously set the six substrates in the preheating position on respective heated substrate bases 531 .

在某些實施例中,各升舉銷542經配置有低接觸、熱絕緣的接觸表面1001以減少與(或)最小化處理期間自基板至升舉銷542的熱傳遞。如此,基板上所謂的「冷點」在處理期間被減少或去除,從而改善批次固化腔室500中正在固化的介電薄膜之均勻性。在某些實施例中,接觸表面1001形成有圓柱元件1002,使得基板與接觸表面1001間的接觸表面縮減為線或點接觸。此外,圓柱元件1002可由相較於常用於形成升舉銷542的材料(如鋁與不鏽鋼)具有較低熱傳導係數的材料形成。例如,在某些實施例中,圓柱元件1002可由藍寶石(Al 2O 3)形成。 In certain embodiments, each lift pin 542 is configured with a low-contact, thermally insulating contact surface 1001 to reduce and/or minimize heat transfer from the substrate to the lift pin 542 during processing. In this manner, so-called "cold spots" on the substrate are reduced or eliminated during processing, thereby improving the uniformity of the dielectric films being cured in the batch curing chamber 500. In some embodiments, contact surface 1001 is formed with cylindrical elements 1002 such that the contact surface between the substrate and contact surface 1001 is reduced to line or point contact. Additionally, cylindrical element 1002 may be formed from a material that has a lower thermal conductivity than materials commonly used to form lift pin 542, such as aluminum and stainless steel. For example, in some embodiments, cylindrical element 1002 may be formed from sapphire (Al 2 O 3 ).

概括之,本發明揭露的一或多個實施例提供用於固化設置在多個基板上的介電材料而沒有一般與批次處理相關聯的基板至基板的變化的系統與方法。特定言之,批次固化腔室包括各自獨立作溫度控制的多個處理子區域。此外,裝載於腔室的裝載開口上的槽形蓋部大幅減少裝載與卸載期間進入腔室的周圍空氣之影響。In summary, one or more embodiments of the present disclosure provide systems and methods for curing dielectric materials disposed on multiple substrates without the substrate-to-substrate variation typically associated with batch processing. Specifically, the batch curing chamber includes multiple processing sub-areas that are each independently temperature controlled. Additionally, a channel-shaped cover that fits over the loading opening of the chamber significantly reduces the impact of ambient air entering the chamber during loading and unloading.

雖然前面所述係針對本發明揭露的實施例,但在不背離本發明基本範圍下,可設計其他與進一步的實施例,而本發明範圍由以下申請專利範圍所界定。Although the foregoing description is directed to the disclosed embodiments of the present invention, other and further embodiments can be designed without departing from the basic scope of the present invention, and the scope of the present invention is defined by the following patent claims.

100:處理工具 103A:狹縫閥 103B:腔室主體 103:批次固化腔室 104:大氣機械臂 105:生產介面 106:負載鎖定腔室 108a:處理腔室 109:大氣夾持站 110:第二機械臂 112:腔室 200:處理腔室 201:RPS 202:第一通道 204:第二通道 205:氣體入口組件 206:擋板 212:蓋件 214:孔 215:第一電漿區域 220:絕緣環 225:噴頭 233:第二電漿區域 290:激發的處理前驅物 300:處理 302:步驟 304:步驟 306:步驟 310:步驟 400:基板 402:層 404:STI結構 406:凹槽 408:介電材料 410:平坦表面 500:批次固化腔室 510:腔室主體 511:腔室蓋件 512:腔室壁 513:腔室底板 514:前級真空管線 515:RPS歧管 516:清洗氣體開口 517:裝載開口 518:槽形開口蓋部 519:基板狹縫 520:裝載開口門 521:開口 522:處理區域 523:排氣入口陣列 524:處理子區域 525:高度 530:固化站 531:加熱基板基座 532:噴頭 533:噴頭氣室 534:環狀氣室 535:固化站加熱器 537:熱電偶 540:基板升舉組件 541:升舉銷索引器 542:升舉銷 543:垂直軸 544:升舉機構 550:遠端電漿源 701:孔口 702:處理氣體 801:排氣入口 802:排氣氣室 810:支撐構件 811:流動平衡孔口 1001:接觸表面 1002:圓柱元件 100:Processing Tools 103A: Slit valve 103B: Chamber body 103: Batch curing chamber 104:Atmospheric Robotic Arm 105:Production interface 106:Load lock chamber 108a: Processing chamber 109:Atmospheric clamping station 110:Second robotic arm 112: Chamber 200: Processing chamber 201:RPS 202:First channel 204:Second channel 205:Gas inlet assembly 206:Baffle 212:Cover 214:hole 215:First plasma region 220:Insulation ring 225:Nozzle 233:Second Plasma Region 290: Inspired processing precursors 300: Processing 302: Step 304: Step 306: Step 310: Steps 400:Substrate 402:Layer 404:STI structure 406: Groove 408:Dielectric materials 410: Flat surface 500: Batch Curing Chamber 510: Chamber body 511: Chamber cover 512: Chamber wall 513: Chamber floor 514: Prestage vacuum line 515:RPS manifold 516:Purge gas opening 517:Loading opening 518: Grooved opening cover 519:Substrate slit 520:Loading opening door 521:Open your mouth 522: Processing area 523:Exhaust inlet array 524: Process sub-region 525:Height 530:Cure Station 531: Heated substrate base 532:Nozzle 533:Nozzle air chamber 534: Annular air chamber 535: Curing station heater 537: Thermocouple 540:Substrate lift assembly 541: Lift pin indexer 542: Lift pin 543:Vertical axis 544:Lifting mechanism 550:Remote plasma source 701: Orifice 702: Handling Gas 801:Exhaust inlet 802: Exhaust air chamber 810:Supporting members 811: Flow balance orifice 1001: Contact surface 1002: Cylindrical element

本發明揭露之特徵已簡要概述於前,並在以下有更詳盡之討論,可以藉由參考所附圖式中繪示之本發明實施例以作瞭解。然而,值得注意的是,所附圖式只繪示了本發明揭露的典型實施例,而由於本發明可允許其他等效之實施例,所附圖式並不會視為本發明範圍之限制。The features disclosed in the invention have been briefly summarized above and are discussed in more detail below, which may be understood by reference to the embodiments of the invention illustrated in the accompanying drawings. It is worth noting, however, that the appended drawings illustrate only typical embodiments of the present invention and are therefore not to be considered limiting of the scope of the present invention, since the present invention may admit other equally effective embodiments. .

第1圖係包括根據本發明揭露的實施例設置具有批次固化腔室的生產介面之處理工具的俯視圖;Figure 1 is a top view of a processing tool equipped with a production interface having a batch curing chamber according to an embodiment disclosed in the present invention;

第2圖係具有分區電漿產生區域的流動性化學氣相沉積腔室的一個實施例的截面圖;Figure 2 is a cross-sectional view of one embodiment of a mobile chemical vapor deposition chamber having zoned plasma generation areas;

第3圖係可於第1圖所示的處理腔室200與批次固化腔室103中實施的處理過程之一個實施例的流程圖;Figure 3 is a flow chart of one embodiment of a process that can be implemented in the processing chamber 200 and batch curing chamber 103 shown in Figure 1;

第4A-4C圖係對應於第3圖所示的處理過程的各式階段之基板的部分之概要截面圖;Figures 4A-4C are schematic cross-sectional views of portions of a substrate corresponding to various stages of the processing shown in Figure 3;

第5圖係根據本發明揭露的實施例設置的批次固化腔室之截面側視圖;Figure 5 is a cross-sectional side view of a batch curing chamber configured according to an embodiment disclosed in the present invention;

第6圖係根據本發明揭露的實施例設置的於第5圖所示的用於批次固化腔室的槽形開口蓋部的等角視圖;Figure 6 is an isometric view of the slotted opening cover shown in Figure 5 for the batch curing chamber provided in accordance with the disclosed embodiments of the present invention;

第7圖係根據本發明揭露的實施例設置的多個固化站的部分之部分截面圖;Figure 7 is a partial cross-sectional view of a portion of a plurality of curing stations provided in accordance with the disclosed embodiments of the present invention;

第8A圖係根據本發明揭露的實施例排列之多個群組的排氣入口陣列之等角視圖;Figure 8A is an isometric view of a plurality of groups of exhaust inlet arrays arranged in accordance with embodiments disclosed herein;

第8B圖係第8A圖所示的多個群組排氣入口陣列的平面圖;Figure 8B is a plan view of the multiple group exhaust inlet array shown in Figure 8A;

第8C圖係第8A圖所示的多個群組排氣入口陣列的側視圖;Figure 8C is a side view of the multiple group exhaust inlet array shown in Figure 8A;

第9圖係第5圖所示的腔室蓋與多個基板升舉組件的升舉銷索引器(lift pin indexer)的部分之等角視圖;及Figure 9 is an isometric view of portions of the chamber cover and lift pin indexers of the plurality of substrate lift assemblies shown in Figure 5; and

第10圖係根據本發明揭露的實施例配置的升舉銷索引器的截面圖。Figure 10 is a cross-sectional view of a lift pin indexer configured in accordance with the disclosed embodiments of the present invention.

為便於理解,在可能的情況下,使用相同的數字編號代表圖示中相同的元件。可以考慮,一個實施例中的元件與特徵可有利地用於其它實施例中而無需贅述。To facilitate understanding, the same numerals have been used to refer to the same elements in the illustrations where possible. It is contemplated that elements and features in one embodiment may be advantageously used in other embodiments without repeated description.

國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無 Domestic storage information (please note in order of storage institution, date and number) without Overseas storage information (please note in order of storage country, institution, date, and number) without

500:批次固化腔室 500: Batch Curing Chamber

510:腔室主體 510: Chamber body

511:腔室蓋件 511: Chamber cover

512:腔室壁 512: Chamber wall

513:腔室底板 513: Chamber floor

514:前級真空管線 514: Prestage vacuum line

515:RPS歧管 515:RPS manifold

516:清洗氣體開口 516:Purge gas opening

517:裝載開口 517:Loading opening

518:槽形開口蓋部 518: Grooved opening cover

519:基板狹縫 519:Substrate slit

520:裝載開口門 520:Loading opening door

521:開口 521:Open your mouth

522:處理區域 522: Processing area

523:排氣入口陣列 523:Exhaust inlet array

524:處理子區域 524: Process sub-region

525:高度 525:Height

530:固化站 530:Cure Station

531:加熱基板基座 531: Heated substrate base

532:噴頭 532:Nozzle

533:噴頭氣室 533:Nozzle air chamber

534:環狀氣室 534: Annular air chamber

535:固化站加熱器 535: Curing station heater

537:熱電偶 537: Thermocouple

540:基板升舉組件 540:Substrate lift assembly

541:升舉銷索引器 541: Lift pin indexer

542:升舉銷 542: Lift pin

543:垂直軸 543:Vertical axis

544:升舉機構 544:Lifting mechanism

550:遠端電漿源 550:Remote plasma source

Claims (10)

一種批次處理腔室,包含: 複數個固化站,該複數個固化站排置在一堆疊中,每個固化站包含:一加熱基座、設置在該加熱基座上的一噴頭,及設置在該噴頭與該加熱基座之間的一處理區域;及 複數個排氣組件,每個排氣組件圍繞一不同的加熱基座延伸,每個排氣組件包括複數個排氣陣列,每個排氣陣列圍繞該加熱基座的一不同角度部分延伸,並且每個排氣組件配置成從該等固化站的一不同的固化站的該處理區域排出氣體,其中 每個排氣陣列包括一個流量平衡機構,以相對於通過那個排氣組件的一個或多個其他排氣陣列的一氣流獨立地控制該通過該排氣陣列的一氣流。 A batch processing chamber containing: A plurality of curing stations are arranged in a stack. Each curing station includes: a heating base, a nozzle disposed on the heating base, and a nozzle disposed between the nozzle and the heating base. a treatment area between; and a plurality of exhaust assemblies, each exhaust assembly extending around a different heating base, each exhaust assembly including a plurality of exhaust arrays, each exhaust array extending around a different angular portion of the heating base, and Each exhaust assembly is configured to exhaust gases from the process area of a different one of the curing stations, wherein Each exhaust array includes a flow balancing mechanism to independently control an airflow through that exhaust array relative to an airflow through one or more other exhaust arrays of that exhaust assembly. 如請求項1所述之批次處理腔室,其中 每個排氣組件的該複數個排氣陣列包括四個排氣陣列,並且 每個排氣陣列與其他排氣陣列間隔開。 The batch processing chamber as claimed in claim 1, wherein The plurality of exhaust arrays for each exhaust assembly includes four exhaust arrays, and Each exhaust array is spaced apart from other exhaust arrays. 如請求項1所述之批次處理腔室,其中每個排氣陣列包括複數個排氣入口。The batch processing chamber of claim 1, wherein each exhaust array includes a plurality of exhaust inlets. 如請求項1所述之批次處理腔室,其中每個流量平衡機構是可調整的。The batch processing chamber of claim 1, wherein each flow balancing mechanism is adjustable. 如請求項1所述之批次處理腔室,其中每個流量平衡機構包含:一針閥。The batch processing chamber as claimed in claim 1, wherein each flow balancing mechanism includes: a needle valve. 一種批次處理腔室,包含: 複數個固化站,該複數個固化站排置在一堆疊中,每個固化站包含:一加熱基座、設置在該加熱基座上的一噴頭,及設置在該噴頭與該加熱基座之間的一處理區域,其中 用於每一固化站的該噴頭的一第一氣室流體耦合到那個固化站的該處理區域,且 用於該噴頭的該第一氣室部分地藉由為在該堆疊中的下一個固化站的一部分的該加熱基座的一個或多個表面而形成;及 複數個排氣組件,每個排氣組件圍繞一不同的加熱基座延伸,每個排氣組件包括複數個排氣陣列,每個排氣陣列圍繞該加熱基座的一不同角度部分延伸,並且每個排氣組件配置成從該等固化站的一不同的固化站的該處理區域排出氣體,其中 每個排氣陣列包括一個流量平衡機構,以相對於通過那個排氣組件的一個或多個其他排氣陣列的一氣流獨立地控制該通過該排氣陣列的一氣流。 A batch processing chamber containing: A plurality of curing stations are arranged in a stack. Each curing station includes: a heating base, a nozzle disposed on the heating base, and a nozzle disposed between the nozzle and the heating base. a processing area between a first plenum of the showerhead for each curing station is fluidly coupled to the processing area of that curing station, and The first plenum for the showerhead is formed in part by one or more surfaces of the heated base that are part of the next curing station in the stack; and a plurality of exhaust assemblies, each exhaust assembly extending around a different heating base, each exhaust assembly including a plurality of exhaust arrays, each exhaust array extending around a different angular portion of the heating base, and Each exhaust assembly is configured to exhaust gases from the processing area of a different one of the curing stations, wherein Each exhaust array includes a flow balancing mechanism to independently control an airflow through that exhaust array relative to an airflow through one or more other exhaust arrays of that exhaust assembly. 如請求項6所述之批次處理腔室,進一步包含一環形氣室,該環形氣室圍繞並且流體耦合到該第一氣室。The batch processing chamber of claim 6, further comprising an annular air chamber surrounding and fluidly coupled to the first air chamber. 如請求項7所述之批次處理腔室,其中每個環形氣室藉由該噴頭的一個或多個表面和為該堆疊中的下一個固化站的一部分的該加熱基座的一個或多個表面而形成。The batch processing chamber of claim 7, wherein each annular plenum is formed by one or more surfaces of the spray head and one or more surfaces of the heated base that is part of the next curing station in the stack. formed on a surface. 如請求項6所述之批次處理腔室,其中 每個排氣組件的該複數個排氣陣列包括四個排氣陣列,並且 每個排氣陣列與其他排氣陣列間隔開。 The batch processing chamber of claim 6, wherein The plurality of exhaust arrays for each exhaust assembly includes four exhaust arrays, and Each exhaust array is spaced apart from other exhaust arrays. 如請求項6所述之批次處理腔室,其中每個流量平衡機構是可調整的。The batch processing chamber of claim 6, wherein each flow balancing mechanism is adjustable.
TW112128289A 2014-05-14 2015-04-24 Batch curing chamber with gas distribution and individual pumping TWI837045B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201461996817P 2014-05-14 2014-05-14
US61/996,817 2014-05-14

Publications (2)

Publication Number Publication Date
TW202346635A true TW202346635A (en) 2023-12-01
TWI837045B TWI837045B (en) 2024-03-21

Family

ID=55220832

Family Applications (4)

Application Number Title Priority Date Filing Date
TW108125124A TWI773910B (en) 2014-05-14 2015-04-24 Batch curing chamber with gas distribution and individual pumping
TW112128289A TWI837045B (en) 2014-05-14 2015-04-24 Batch curing chamber with gas distribution and individual pumping
TW111126246A TWI813375B (en) 2014-05-14 2015-04-24 Batch curing chamber with gas distribution and individual pumping
TW104113237A TWI670391B (en) 2014-05-14 2015-04-24 Batch curing chamber with gas distribution and individual pumping

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW108125124A TWI773910B (en) 2014-05-14 2015-04-24 Batch curing chamber with gas distribution and individual pumping

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW111126246A TWI813375B (en) 2014-05-14 2015-04-24 Batch curing chamber with gas distribution and individual pumping
TW104113237A TWI670391B (en) 2014-05-14 2015-04-24 Batch curing chamber with gas distribution and individual pumping

Country Status (1)

Country Link
TW (4) TWI773910B (en)

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6296735B1 (en) * 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JP5021112B2 (en) * 2000-08-11 2012-09-05 キヤノンアネルバ株式会社 Vacuum processing equipment
US7256370B2 (en) * 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
KR100491161B1 (en) * 2002-11-26 2005-05-24 주식회사 테라세미콘 Semiconductor manufacturing system for thermal process
US20070209593A1 (en) * 2006-03-07 2007-09-13 Ravinder Aggarwal Semiconductor wafer cooling device
US20090016853A1 (en) * 2007-07-09 2009-01-15 Woo Sik Yoo In-line wafer robotic processing system
US8277165B2 (en) * 2007-09-22 2012-10-02 Dynamic Micro System Semiconductor Equipment GmbH Transfer mechanism with multiple wafer handling capability
KR101223489B1 (en) * 2010-06-30 2013-01-17 삼성디스플레이 주식회사 Apparatus for Processing Substrate
US8963337B2 (en) * 2010-09-29 2015-02-24 Varian Semiconductor Equipment Associates Thin wafer support assembly
JP5579775B2 (en) * 2012-05-18 2014-08-27 リオン株式会社 Measuring system

Also Published As

Publication number Publication date
TWI813375B (en) 2023-08-21
TW202244313A (en) 2022-11-16
TWI670391B (en) 2019-09-01
TW202006181A (en) 2020-02-01
TW201542864A (en) 2015-11-16
TWI773910B (en) 2022-08-11
TWI837045B (en) 2024-03-21

Similar Documents

Publication Publication Date Title
US20220341042A1 (en) Batch curing chamber with gas distribution and individual pumping
KR102662595B1 (en) Suppressing interfacial reactions by varying wafer temperature throughout deposition
KR20230039625A (en) Chamber undercoat preparation method for low temperature ald films
KR20200104923A (en) Processing methods for silicon nitride thin films
US10428426B2 (en) Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
KR20170069239A (en) High temperature silicon oxide atomic layer deposition technology
WO2012093983A2 (en) Remote plasma source seasoning
US20160138161A1 (en) Radical assisted cure of dielectric films
US7192855B2 (en) PECVD nitride film
TWI813375B (en) Batch curing chamber with gas distribution and individual pumping