TWI757659B - 碳膜的選擇性沉積及其用途 - Google Patents

碳膜的選擇性沉積及其用途 Download PDF

Info

Publication number
TWI757659B
TWI757659B TW108141355A TW108141355A TWI757659B TW I757659 B TWI757659 B TW I757659B TW 108141355 A TW108141355 A TW 108141355A TW 108141355 A TW108141355 A TW 108141355A TW I757659 B TWI757659 B TW I757659B
Authority
TW
Taiwan
Prior art keywords
carbon
metal
exposed
insulating layer
substrate
Prior art date
Application number
TW108141355A
Other languages
English (en)
Other versions
TW202036660A (zh
Inventor
蘇史密辛哈 羅伊
愛柏亥吉巴蘇 馬里克
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202036660A publication Critical patent/TW202036660A/zh
Application granted granted Critical
Publication of TWI757659B publication Critical patent/TWI757659B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

論述了沉積碳膜的方法。一些實施例相較於介電表面,在金屬表面上選擇性地沉積碳膜。一些實施例相較於介電表面,在金屬表面上選擇性地形成碳柱。一些實施例在形成自對準通孔時利用碳柱。

Description

碳膜的選擇性沉積及其用途
本揭露書的實施例大體上關於用於選擇性地沉積碳膜的方法和材料。本揭露書的一些實施例關於用於形成碳柱的方法。本揭露書的一些實施例關於用於形成自對準通孔的方法。
半導體產業在追求裝置小型化方面面臨許多挑戰,其中涉及奈米尺度特徵的快速縮放。這些問題包括引入複雜的製造步驟(諸如多個微影步驟)和整合高效能材料。為了保持裝置小型化的節奏,選擇性沉積已顯示希望,因為它有可能藉由簡化整合方案來消除昂貴的微影步驟。
可以多種方式實現材料的選擇性沉積。化學前驅物可相對於另一表面(金屬或介電質)與一個表面選擇性地反應。可調節處理參數(諸如壓力、基板溫度、前驅物分壓及/或氣流),以調節特定表面反應的化學動力學。另一種可能的方案涉及表面預處置,表面預處置可用以活化(activate)或失活(deactivate)進入的膜沉積前驅物的所關注表面。
因此,在本領域中存在有選擇性沉積膜的方法的持續需求。更具體地說,存在有選擇性沉積碳膜的方法的需求。
自對準金屬氧化物柱可通過填充間隙的金屬膜的氧化來形成。金屬沉積在孔或溝槽的結構上,並接著被氧化以形成金屬氧化物。氧化期間的體積膨脹將柱從孔或溝槽中推出。柱僅由金屬自下而上選擇性生長。
然而,在控制用以形成金屬氧化物柱的金屬的體積膨脹的速率和數量方面可能存在挑戰。首先,應力的快速變化有時會導致固有結構的退化。當CD較小時,這可能導致高柱的彎曲。其次,體積的快速變化有時會導致在金屬氧化物柱和基板之間的黏附問題。第三,殘留的未氧化金屬可能殘留在溝槽的底部處。
因此,在本領域中存在有產生自對準結構的替代方法的需求。
通常,積體電路(IC)指的是一組電子裝置,如,形成在半導體材料(通常為矽)的小晶片上的電晶體。通常,IC包括具有金屬線的一或多層金屬化層,以將IC的電子裝置彼此連接並與外部連接件相連接。通常,將層間介電質材料的各層放置在IC的金屬化層之間以進行絕緣。
隨著積體電路的尺寸減小,在金屬線之間的間隔減小。通常,為了製造互連結構,使用了平面處理,平面處理涉及將一層金屬化層對準並連接到另一層金屬化層。
通常,獨立於彼金屬化層之上方的通孔來執行金屬化層中的金屬線的圖案化。然而,習知的通孔製造技術不能提供完整地通孔自對準。在習知技術中,形成用以將上金屬化層中的線連接到下金屬化層的通孔經常未對準到下金屬化層中的線。通孔線未對準會增加通孔的電阻,並導致潛在的短路到錯誤的金屬線。通孔線未對準會導致裝置故障,降低良率並增加製造成本。
因此,在本領域中存在有生產完全自對準通孔的方法的需求。
本揭露書的一或多個實施例涉及一種選擇性沉積碳膜的方法。方法包含提供包含第一材料和第二材料的基板。第一材料具有曝露的第一材料表面。第二材料具有曝露的第二材料表面。第一材料包含金屬。將基板曝露於碳前驅物以形成在曝露的第一材料表面上具有第一厚度且在曝露的第二材料表面上具有第二厚度的碳膜。第一厚度大於第二厚度。
本揭露書的另外的實施例涉及一種形成碳柱的方法。方法包含提供包含第一含金屬材料和第二介電材料的基板。第一含金屬材料具有帶第一尺寸的曝露的第一表面。第二介電材料具有曝露的第二表面。曝露的第一表面和曝露的第二表面形成基板表面。將基板曝露於碳前驅物以在曝露的第一表面上形成包含碳材料的碳柱。碳柱和曝露的第一表面具有相同的第一尺寸。
本揭露書的進一步實施例涉及一種形成自對準通孔的方法。方法包含在基板上的第一絕緣層上凹陷第一導線。第一導線在第一絕緣層上沿第一方向延伸。碳柱形成在凹陷的第一導線上。在碳柱之間沉積第二絕緣層。移除碳柱以在第二絕緣層中形成溝槽。第三絕緣層在溝槽中沉積到凹陷的第一導線上,以形成填充的溝槽。從填充的溝槽選擇性地蝕刻第三絕緣層,以形成通向第一導線的通孔。
在描述本揭露書的幾個示例性實施例之前,應當理解本揭露書不限於以下描述中闡述的構造或處理例程的細節。本揭露書能夠具有其他實施例並且能夠以各種方式實踐或執行。
如於此所用,「基板」、「基板表面」或類似者是指在其上執行處理的基板上形成的任何基板或材料表面。例如,可在其上執行處理的基板表面包括(但不限於)諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、碳摻雜的氧化矽、氮化矽、摻雜的矽、鍺、砷化鎵、玻璃、藍寶石的材料及諸如金屬、金屬氮化物、金屬合金和其他導電材料的任何其他材料,取決於應用。基板包括(但不限於)半導體晶圓。可將基板曝露於預處置處理,以拋光、蝕刻、還原、氧化、羥基化(或者另外產生或接枝目標化學部分,以賦予化學功能)、退火及/或烘烤基板表面。除了直接在基板本身的表面上進行處理外,在本揭露書中,所揭露的任何膜處理步驟還可在形成於基板上的底層上執行,如下文更詳細地揭露的,且術語「基板表面」意欲包括上下文所指的此種底層。因此,例如,在膜/層或部分膜/層已經沉積到基板表面上的情況下,新沉積的膜/層的曝露表面也可被描述為基板表面。給定基板表面的組合物將取決於待沉積的材料以及所使用的特定化學物質。
如在這份說明書和附隨的申請專利範圍中所使用的,術語「前驅物」、「反應物」、「反應氣體」及類似者可互換使用,以指代可與基板表面反應的任何氣態物種。
本揭露書的一些實施例提供了用於選擇性地沉積碳膜的方法。在一些實施例中,相較於不同表面,碳膜選擇性地沉積在含金屬表面上。如這份說明書和附隨的申請專利範圍中所使用的,片語「相較於另一個表面,選擇性地沉積膜在一個表面上」及類似者意味著第一量的膜沉積在第一表面上,而第二量的膜沉積在第二表面上,其中第二量的膜小於第一量的膜,或沒有膜沉積在第二表面上。
在這方面使用的術語「相較於」並不暗指一個表面在另一表面的頂部上的實體定向,而是一個表面相對於另一表面的化學反應的熱力學或動力學性質的關係。例如,相較於介電表面,選擇性地沉積碳膜到金屬表面上意味著碳膜沉積在金屬表面上,而很少或沒有碳膜沉積在介電表面上;或者相對於在介電表面上形成碳膜,在金屬表面上形成碳膜在熱力學或動力學上是有利的。
本揭露書的一些實施例有利地提供了用於相較於第二表面,選擇性地沉積碳膜在第一表面上的方法。本揭露書的一些實施例提供了在相對低的溫度下執行的用於沉積碳膜的方法。本揭露書的一些實施例有利地提供了不使用電漿反應物或處理而沉積碳膜的方法。在一些實施例中,第一表面包含金屬,而第二表面包含介電材料。
參照圖式,第1A圖顯示了根據本揭露書的一或多個實施例的示例性基板100。基板100包含第一材料110和第二材料120。第一材料110具有曝露的第一材料表面115。第二材料120具有曝露的第二材料表面125。
第一材料110包含金屬。在一些實施例中,金屬包含Ti、Co、Ni、Cu、Ru、Rh、Pd、Ag、Hf、Ta、W、Re、Ir、Pt或Au的一或多種或基本上由Ti、Co、Ni、Cu、Ru、Rh、Pd、Ag、Hf、Ta、W、Re、Ir、Pt或Au的一或多種組成。在一些實施例中,金屬包含Ti、Hf、Ta或W的一或多種或基本上由Ti、Hf、Ta或W的一或多種組成。在一些實施例中,金屬包含Co、Ni、Ru、Rh、Pd或Re的一或多種或基本上由Co、Ni、Ru、Rh、Pd或Re的一或多種組成。在一些實施例中,金屬包含Cu、Pd、Ag、Ir、Pt或Au的一或多種或基本上由Cu、Pd、Ag、Ir、Pt或Au的一或多種組成。如這份說明書和附隨的申請專利範圍中所使用的,術語「基本上由...組成」及類似者意味著標的材料或組合物大於或等於所提及的材料的約95%、98%、99%或99.5%。
在一些實施例中,第一材料110包含基本上純的金屬或金屬合金。換言之,第一材料110可基本上由金屬原子組成。在一些實施例中,第一材料110包含金屬元素和非金屬元素。在一些實施例中,第一材料110包含金屬氮化物、金屬碳化物、金屬矽化物、金屬氧化物、金屬硫化物、金屬硒化物、金屬碲化物或其組合。
在一些實施例中,第二材料120包含介電材料。在一些實施例中,第二材料120包含矽。
第2圖顯示了根據本揭露書的一或多個實施例的用於在基板100上形成碳膜的方法。方法200通常在步驟202處開始,其中提供要將碳膜形成於上的基板。如在此方面所使用,術語「提供」意味著將基板放置到位置或環境中以進行進一步處理。
在步驟204處,在基板100上形成碳膜。碳膜可經由化學氣相沉積處理(CVD)或類似者形成。還參照第1B圖,在步驟204處,將基板100曝露於碳前驅物,以在曝露的第一材料表面115上形成具有第一厚度T1且在曝露的第二材料表面125上具有第二厚度T2的碳膜130。第一厚度T1大於第二厚度T2。為了理解,在第1B圖中顯示了第二厚度T2。在一些實施例中,第二厚度T2是最小的或沒有。
沉積處理的選擇性通常表示為生長速率的倍數。例如,若膜在一個表面上的生長比在不同表面上的生長快25倍,則處理將被描述為具有25:1的選擇性。就這一點而言,較高的比率表示更具選擇性的處理。在一些實施例中,方法具有大於或等於約10:1、大於或等於約25:1、大於或等於約50:1或大於或等於約100:1的選擇性。
碳前驅物可包含任何合適的含碳物種。在一些實施例中,碳前驅物基本上由碳和氫原子組成。在一些實施例中,碳前驅物包含C1-C4烴、C1-C6烴或C1-C8烴。如在此方面所使用,C1-C4及類似者是指碳原子數在1至4的範圍中的物種。在一些實施例中,碳前驅物包含至少一個不飽和鍵。在一些實施例中,碳前驅物包含C2 H2 、C2 H4 、C3 H6 或CH4 的一或多種或基本上由C2 H2 、C2 H4 、C3 H6 或CH4 的一或多種組成。對於氣態組合物而言,術語「基本上由...組成」是指組合物的活性組成,不包括稀釋劑、載體或惰性氣體。
在一些實施例中,將基板曝露於包含另外的反應物或稀釋劑的碳前驅物。在一些實施例中,另外的反應物或稀釋劑包含氫氣(H2 )、氮氣(N2 )或氬氣(Ar)或基本上由氫氣(H2 )、氮氣(N2 )或氬氣(Ar)組成。在一些實施例中,當作為碳前驅物的一部分提供時,氫氣(H2 )不被提供作為稀釋劑、載氣或惰性氣體。
碳前驅物的流速可為任何合適的流速,包括(但不限於)流速在約1至約5000 sccm的範圍中,或在約2至約4000 sccm的範圍中,或在約3至約3000 sccm的範圍中,或在約5至約2000 sccm的範圍中,或在約10至約2000 sccm的範圍中。
可提供碳前驅物以便在基板周圍(亦即,在處理腔室內)建立任何合適的壓力,包含(但不限於)約1托至約3000托的範圍中,或在約2托至約1500托的範圍中,或在約100托至約1000托的範圍中,或在約500托至約1000托的範圍中的壓力。在一些實施例中,基板在約大氣壓(如760托)下曝露於碳前驅物。
沉積期間的基板的溫度可例如藉由設定基板支撐件或基座的溫度來控制。在一些實施例中,將基板保持在約100℃至約700℃的範圍中,或約100℃至約500℃的範圍中,或約300℃至約500℃的範圍中的溫度。在一些實施例中,將基板維持在小於或等於約700℃、小於或等於約500℃或小於或等於約300℃的溫度下。
基板曝露於碳前驅物氣體的時間段可為允許在曝露的第一材料表面上形成預定厚度的碳膜所需的任何合適的時間量。例如,可將基板曝露於碳前驅物達約10分鐘至約5小時的時間段,或約30分鐘至約3小時的時間段,或約1小時至約2小時的時間段。
在一些實施例中,以大於或等於約20 nm/小時、大於或等於25 nm/小時、大於或等於約30 nm/小時、大於或等於約40 nm/小時、或大於或等於約50 nm/小時的速率形成碳膜。在一些實施例中,以約25 nm/小時至約50 nm/小時的速率形成碳膜。
在一些實施例中,將選擇性沉積碳膜的方法執行為不使用電漿反應物的的熱處理。換言之,在一些實施例中,在沒有電漿的情況下執行方法。
接下來,在步驟206處,確定金屬膜是否已經達到預定厚度。若尚未達到預定厚度,則方法200返回步驟204以繼續形成金屬膜,直到達到預定厚度為止。一旦達到預定厚度,方法200可結束或進行到步驟208,以進行任選的進一步處理。
本揭露書的一些實施例提供了用於形成碳柱的方法。在一些實施例中,相較於不同表面,碳柱選擇性地形成在含金屬表面上。
本揭露書的一些實施例有利地提供了用於相較於第二表面,在第一表面上形成碳柱的方法。本揭露書的一些實施例提供了在相對較低的溫度下執行的形成碳柱的方法。本揭露書的一些實施例有利地提供了不使用電漿反應物或處置而形成碳柱的方法。本揭露書的一些實施例提供了形成碳柱的方法,方法提供了沒有「蘑菇(mushroom)」效應的柱。在一些實施例中,第一表面包含金屬,而第二表面包含介電材料。
參照圖式,第3A圖顯示了根據本揭露書的一或多個實施例的示例性基板300。基板300包含第一材料310和第二材料320。第一材料310具有帶第一材料尺寸D1的曝露的第一表面315。第二材料320具有曝露的第二表面325。曝露的第一表面315和曝露的第二表面325形成基板表面。在一些實施例中,第一材料310包含含金屬的材料。在一些實施例中,第二材料320包含介電材料。因此,第一材料310可被稱為第一含金屬的材料,而第二材料320可被稱為第二介電材料。
第一材料310包含金屬。在一些實施例中,金屬包含Co、Ni、Ru、Rh、Pd或Re的一或多種或基本上由Co、Ni、Ru、Rh、Pd或Re的一或多種組成。不受理論的束縛,發明人已經發現這些金屬既催化碳的沉積又具有高水平的碳溶解度。這些因素結合在一起,使沉積方法從蒸氣前驅物到溶解的碳物種,再到固體沉澱的碳柱成為可能。不受理論的束縛,據信這種機制提供了碳柱的正交生長而不是碳柱的蘑菇生長(mushrooming)。
在一些實施例中,第一材料310包含基本上純的金屬或金屬合金。換言之,第一材料310可基本上由金屬原子組成。在一些實施例中,第一材料310包含金屬元素和非金屬元素。在一些實施例中,第一材料310包含金屬氮化物、金屬碳化物、金屬矽化物、金屬氧化物、金屬硫化物、金屬硒化物、金屬碲化物或其組合。
在一些實施例中,第二材料320包含介電材料。在一些實施例中,第二材料320包含矽。
在一些實施例中,如第3A圖所示,曝露的第一表面315從曝露的第二表面325凹陷以形成基板特徵305。基板特徵305包含第二材料320的至少一個側壁322和曝露的第一表面315的底部312。
替代地,在一些實施例中,基板300不含有如第3A圖所示的特徵305。對於這些實施例而言,基板300可表現為在第1A圖中的基板100。換言之,在一些實施例中,曝露的第一表面與曝露的第二表面基本共面。如這份說明書和附隨的申請專利範圍中所使用的,術語「基本共面」意味著曝露的第一表面和曝露的第二表面在±2nm內共面。
用於形成碳柱的方法與第2圖所示的用於形成碳膜的方法類似。方法200通常在步驟202處開始,其中提供要將碳柱形成於上的基板。
在步驟204處,在基板上形成碳柱。碳柱可經由化學氣相沉積處理(CVD)或類似者形成。還參照第3B圖,在步驟204處,將基板300曝露於碳前驅物,以形成包含碳材料的碳柱330。碳柱330在曝露的第二表面325上方延伸。碳柱330具有與曝露的第一表面315基本相同的第一尺寸D1。在一些實施例中,基本上沒有碳材料沉積在曝露的第二表面325上。
在一些實施例中,碳柱330具有與基板表面基本正交的側面335。在一些實施例中,碳柱330具有與曝露的第二表面325基本正交的側面335。如在此方面所使用,當在表面的結合處形成的角度為90°±10°時,兩個表面可被描述為基本正交。
上文描述的用於選擇性沉積碳膜的反應物和處理參數與用於形成碳柱的反應物和處理參數相同。
接下來,在步驟206處,確定碳柱是否已經達到預定厚度。若尚未達到預定厚度,則方法200返回步驟204以繼續形成碳柱直到達到預定厚度。一旦達到預定厚度,方法200可結束或進行到步驟208,以進行任選的進一步處理。
本揭露書的一些實施例提供了形成完全自對準的通孔的方法。在一個實施例中,形成第一金屬化層,第一金屬化層包含在基板上的第一絕緣層上沿第一方向延伸的一組第一導線。在第一絕緣層上形成第二絕緣層。形成第二金屬化層,第二金屬化層包含在第一金屬化層上方的第三絕緣層上的一組第二導線。該組第二導線沿著第二方向延伸。在第一金屬化層和第二金屬化層之間形成通孔。通孔沿著第二方向與第一導線之一者自對準。如下文進一步詳細描述的,通孔沿著第一方向與第二導線之一者自對準。在一個實施例中,第一方向和第二方向以一定角度彼此交叉。在一個實施例中,第一方向和第二方向基本上彼此正交。
在一個實施例中,使用選擇性柱沉積技術來製造完全自對準的通孔。在一個實施例中,使基板上的第一絕緣層上的導線凹陷。導線在第一絕緣層上沿著第一方向延伸。在凹陷的導線上形成柱。在柱之間沉積第二絕緣層。移除柱以形成溝槽。第三絕緣層沉積在第二絕緣層上並進入溝槽中。相對於第二絕緣層,選擇性地蝕刻第三絕 緣層,以形成向下延伸至導線之一者的通孔,如下文進一步詳細描述的。
在一個實施例中,完全自對準的通孔是沿著至少兩個方向,與下部和上部金屬化層中的導線自對準的通孔。在一個實施例中,完全自對準的通孔由一個方向上的硬遮罩和另一方向上的下面絕緣層界定,如下文進一步詳細描述的。
一或多個實施例提供了完全自對準的通孔,其有利地消除了通孔的未對準問題並且避免了短路到錯誤的金屬線。與習知通孔相比,完全自對準的通孔提供更低的通孔電阻和電容優勢。自對準通孔的實施例提供了在通孔和金屬化層的導線之間的完全對準,完全對準基本上是無誤差的,這有利地增加了裝置產量並降低了裝置成本。
當將通孔緊密地印刷在一起時-比藉由微影所能獲得的最小節距更近時-通孔遮罩層組被分成多個遮罩。例如,代替在單個微影蝕刻序列中界定通孔至金屬,使用兩個或更多個微影蝕刻序列來避免使緊密間隔的通孔短路。本揭露書的一些實施例涉及柱生長處理,其中所有通孔被界定為在兩個金屬層之間的跨接,使得相鄰的通孔不會彼此短路。在一些實施例中,可使用放置在多個交叉點上的一個大微影特徵來界定多個通孔。在這種情況下,金屬層在界定的大微影開口下重疊的所有區域都將形成通孔。
此外,所揭露的方法有利地提供了比金屬氧化物柱更容易移除的柱。在一些實施例中,藉由乾式蝕刻處理移除碳柱。不受理論的束縛,據信用以移除碳柱的乾式刻蝕處理比通常用於蝕刻金屬氧化物材料的基於氧的灰化處理更容易執行。
在以下描述中,闡述了許多具體細節(諸如具體的材料、化學性質、元件的尺寸等),以便提供對本揭露書的一或多個實施例的透徹理解。然而,對於熟悉本領域者將顯而易見的是,可在沒有這些具體細節的情況下實踐本揭露書的一或多個實施例。在其他情況下,沒有詳細描述半導體製造處理、技術、材料、配備等,以避免不必要地混淆這份說明書。藉由所包括的實施方式,熟悉本領域者將能夠實現適當的功能而無需過度的實驗。
本揭露書的一或多個實施例涉及用以提供完全自對準的通孔的方法和設備。關於圖式中顯示的詳細處理而描述了本揭露書的各個態樣。熟悉本領域者將理解本揭露書的範圍不限於圖式中描述的特定細節,且可改變或省略處理的一些部分。
第4圖-第6圖顯示了根據一些實施例的用以提供完全自對準的通孔或氣隙的基板401的橫截面圖。參照第6圖,下金屬化層(Mx)包含一組導線603,其在基板401上的絕緣層402上沿著軸線延伸。方法可以第4圖開始,其中在絕緣層402中形成溝槽404。參照第5圖, 在溝槽404中沉積導電層503。參照第6圖,若需要的話,將第一導電層403平坦化至絕緣層402的表面。
在一些實施例中,基板401包含半導體材料,如,矽(Si)、碳(C)、鍺(Ge)、矽鍺(SiGe)、砷化鎵(GaAs)、InP、GaAs、InGaAs、InAlAs、其他半導體材料或其任意組合。在一些實施例中,基板401是絕緣體上半導體(SOI)基板,包括主體下基板、中間絕緣層和頂部單晶層。頂部單晶層可包含上文列出的任何材料,如,矽。在各種實施例中,基板401可為(例如)有機、陶瓷、玻璃或半導體基板。儘管此處描述了可從中形成基板的材料的一些實例,但可用作被動和主動電子裝置(如,電晶體、記憶體、電容器、電感器、電阻器、開關、積體電路、放大器、光電裝置或任何其他電子裝置)的基礎的任何材料可落入本揭露書的精神和範圍內。
在一些實施例中,基板401包括一或多個用於積體電路的金屬化互連層。在一些實施例中,基板401包括被配置為連接金屬化層的互連件,例如,通孔。在一些實施例中,基板401包含電子裝置(如,電晶體、記憶體、電容器、電阻器、光電裝置、開關及由電絕緣層隔開的任何其他主動和被動電子裝置。例如,熟悉電子裝置製造領域者已知的層間介電質、溝槽絕緣層或任何其他絕緣層。在一些實施例中,基板包括一或多層緩衝層,以適應在基板401與基板401上方的一或多層之間的晶格失配並限制晶格錯位和缺陷。
絕緣層402可為適合於絕緣相鄰裝置並防止洩漏的任何材料。在一些實施例中,電絕緣層402是氧化物層(如,二氧化矽),或由電子裝置設計確定的任何其他電絕緣層。在一些實施例中,絕緣層402包含層間介電質(ILD)。在一些實施例中,絕緣層402是低介電常數介電質,其包括(但不限於)諸如(如)二氧化矽、氧化矽、碳摻雜氧化物(「CDO」)(如,碳摻雜二氧化矽)、多孔二氧化矽、氮化矽或其任何組合之類的材料。
在一些實施例中,絕緣層402包括具有小於5的介電常數值的介電材料。在一些實施例中,絕緣層402包括具有小於2的介電常數值的介電材料。在一些實施例中,絕緣層402包括氮化物、氧化物、聚合物、磷矽酸鹽玻璃、氟矽酸鹽(SiOF)玻璃、有機矽酸鹽玻璃(SiOCH)、藉由電子裝置設計確定的其他電絕緣層或其任意組合。在一些實施例中,絕緣層402可包括聚醯亞胺、環氧樹脂、可光界定的材料(諸如苯并環丁烯(BCB)和WPR系列材料),或旋塗玻璃。
在一些實施例中,絕緣層402是低介電常數層間介電質,以將基板401上的一條金屬線與其他金屬線隔離。在一些實施例中,絕緣層402的厚度在從約10奈米(nm)至約2微米(μm)的大約範圍內。
在一些實施例中,使用沉積技術(諸如但不限於化學氣相沉積(「CVD」)、物理氣相沉積(「PVD」)、分子束磊晶(「MBE」)、金屬有機化學氣相沉積 (「MOCVD」)、原子層沉積(「ALD」)、旋塗或熟悉微電子裝置製造領域者已知的其他絕緣沉積技術)的一種來沉積絕緣層402。
在一些實施例中,絕緣層402的組成類似於上述的第二材料120。
在一些實施例中,包含導線603的下金屬化層Mx是電子裝置的後端金屬化的一部分。參照第4圖,在一些實施例中,使用一或多種熟悉微電子裝置製造領域者已知的圖案化和蝕刻技術,使用硬遮罩對絕緣層402進行圖案化和蝕刻,以形成溝槽404。在一些實施例中,絕緣層402中的溝槽404的尺寸由稍後在處理中形成的導線603的尺寸確定。
在一些實施例中,形成導線603涉及用導電材料層填充溝槽404。在一些實施例中,首先在溝槽404的內側壁和底部上沉積基底層(未顯示),並接著在基底層上沉積導電層503。在一些實施例中,基底層包括沉積在導電阻擋層(未顯示)上的導電種子層(未顯示)。種子層可包括銅,且導電阻擋層可包括鋁、鈦、鉭、氮化鉭及類似金屬。導電阻擋層可用以防止導電材料從種子層(如,銅)擴散到絕緣層402中。另外,導電阻擋層可用以為種子層(如,銅)提供附著力。
在一些實施例中,為了形成基底層,將導電阻擋層沉積到溝槽404的側壁和底部上,並接著將種子層沉積在導電阻擋層上。在另一個實施例中,導電基底層包括 直接沉積到溝槽404的側壁和底部上的種子層。可使用熟悉半導體製造領域者已知的任何薄膜沉積技術(如濺射、毯式沉積及類似者)來沉積導電阻擋層和種子層的每一個。在一個實施例中,導電阻擋層和種子層的每一個具有在約1nm至約100nm的大約範圍中的厚度。在一些實施例中,阻擋層可為薄的介電質,其已被蝕刻成建立了到下面的金屬層的導電性。在一些實施例中,可完全省略阻擋層,並且可使用銅線的適當摻雜來製造「自形成阻擋層」。
在一些實施例中,藉由電鍍處理將導電層503(如,銅)沉積到銅的基底層的種子層上。在一些實施例中,使用熟悉微電子裝置製造領域者已知的鑲嵌處理將導電層沉積到溝槽404中。在一個實施例中,使用選擇性沉積技術(諸如但不限於電鍍、無電的、CVD、PVD、MBE、MOCVD、ALD、旋塗或熟悉微電子裝置製造領域者已知的其他沉積技術)將導電層沉積到溝槽404中的種子層上。
在一些實施例中,用於導線603的導電層503的材料的選擇確定用於種子層的材料的選擇。例如,若用於導線1003的材料包括銅,則用於種子層的材料也包括銅。在一些實施例中,導線603包括金屬,例如,銅(Cu)、釕(Ru)、鎳(Ni)、鈷(Co)、鉻(Cr)、鐵(Fe)、錳(Mn)、鈦(Ti)、鋁(Al)、鉿(Hi)、鉭(Ta)、鎢(W)、釩(V)、鉬(Mo)、鈀(Pd)、金(Au)、 銀(Au)、鉑Pl、銦(In)、錫(Sn)、鉛(Pb)、銻(Sb)、鉍(Bi)、鋅(Zn)、鎘(Cd)或其任何組合。
在替代實施例中,可用於金屬化層Mx的導線603的導電材料的實例是(但不限於)金屬,如,銅、鉭、鎢、釕、鈦、鉿、鋯、鋁、銀、錫、鉛、金屬合金、金屬碳化物(如,碳化鉿、碳化鋯、碳化鈦、碳化鉭、碳化鋁)、其他導電材料或其任意組合。
在一些實施例中,導線的組成類似於上述的第一材料110。
參照第6圖,在一些實施例中,使用熟悉微電子裝置製造領域者已知的化學機械拋光(「CMP」)技術來移除導電層503的部分,以使導線603的頂部與絕緣層402的頂部平整。
在一個非限制性實例中,導線603的厚度在約15nm至約1000nm的大約範圍中。在一非限制性實例中,導線603的厚度為從約20nm至約200nm。在一個非限制性實例中,導線603的寬度在約5nm至約500nm的大約範圍中。在一個非限制性實例中,在導線603之間的間隔(間距)為從約2nm至約500nm。在更具體的非限制性實例中,在導線603之間的間隔(間距)為從約5nm至約50nm。
在一些實施例中,下金屬化層Mx配置成連接到其他金屬化層(未顯示)。在一些實施例中,金屬化層 Mx配置成提供與電子裝置(如,電晶體、記憶體、電容器、電阻器、光電裝置、開關以及由電絕緣層(如,層間介電質、溝槽絕緣層或熟悉電子裝置製造領域者已知的任何其他絕緣層)隔開的任何其他主動和被動電子裝置)的電接觸。
第7圖是類似於第6圖的在根據一些實施例使導線603凹陷之後的視圖。導線603凹陷到預定深度,以形成凹陷的導線703。如第7圖所示,溝槽702形成在絕緣層402中。每個溝槽702具有作為絕緣層402的部分的側壁704和作為凹陷的導線603的頂表面705的底部。
在一些實施例中,溝槽702的深度為從約10nm至約500nm。在一些實施例中,溝槽702的深度為導線的厚度的從約10%至約100%。在一些實施例中,使用濕式蝕刻、乾式蝕刻或熟悉電子裝置製造領域者已知的技術的組合的一或多種而使導線603凹陷。
第8圖是類似於第7圖的在根據一或多個實施例使凹陷的導線703上形成自對準的選擇性生長柱801之後的視圖。自對準的選擇性生長柱801可根據於此所述的用於形成碳柱330的方法形成。如第8圖所示,自對準的選擇性生長柱801的陣列具有與凹陷的導線703組相同的圖案。如第8圖所示,柱801從凹陷的導線703的頂表面基本正交地延伸。如第8圖所示,柱801沿著與導線603相同的方向延伸。如第8圖所示,柱801被間隙803 分開。在一些實施例中,柱801的高度805在約5埃(Å)到約10微米(μm)的大約範圍中。
第9圖是類似於第8圖的在根據一些實施例使第二絕緣層901沉積,以過度填充在柱801之間的間隙之後的視圖。為了簡潔起見,第二絕緣層901也可簡稱為絕緣層901。如第9圖所示,絕緣層901沉積在柱801的相對側902和頂部903上,並且穿過絕緣層402在柱801之間的部分上的間隙803。
在一些實施例中,絕緣層901是低介電常數間隙填充層。在一個實施例中,絕緣層901是可流動的氧化矽(FSiOx)層。在一些實施例中,絕緣層901是氧化物層(如,二氧化矽),或由電子裝置設計確定的任何其他電絕緣層。在一些實施例中,絕緣層901是層間介電質(ILD)。在一些實施例中,絕緣層901是低介電常數介電質,包括(但不限於)諸如(如)二氧化矽、氧化矽、碳基材料(如,多孔碳膜)、摻雜碳的氧化物(「CDO」)(如,摻雜碳的二氧化矽)、多孔二氧化矽、多孔碳氧化矽氫化物(SiOCH)、氮化矽或其任何組合的材料。在一些實施例中,絕緣層901是具有小於3的介電常數值的介電材料。在一些實施例中,絕緣層901是具有在從約2.2至約2.7的大約範圍中的介電常數值的介電材料。在一些實施例中,絕緣層901包括具有小於2的介電常數值的介電材料。在一些實施例中,絕緣層901代表上文關於絕緣層402描述的絕緣層之一。
在一些實施例中,絕緣層901是低介電常數層間介電質,以將一條金屬線與其他金屬線隔離。在一些實施例中,使用沉積技術(諸如但不限於CVD、旋塗、ALD、PVD、MBE、MOCVD或熟悉微電子裝置製造領域者已知的其他低介電常數絕緣層沉積技術)的一種來沉積絕緣層901。
第10圖是類似於第9圖的在第二絕緣層901的化學機械平面化(CMP)以曝露柱801的頂部903之後的視圖。CMP處理可為熟悉本領域者已知的任何合適的平面化處理。在一些實施例中,沉積第二絕緣層901,使得絕緣層901的頂部與柱801的頂部903齊平或略低於柱801的頂部903,且不執行CMP處理。
第11圖是類似於第10圖的在移除柱801以形成溝槽1101之後的視圖。可藉由熟悉本領域者已知的任何合適的蝕刻技術,在處理的此部分,或處理的結合蝕刻的任何其他部分中執行蝕刻。在一些實施例中,蝕刻處理是乾式蝕刻或濕式蝕刻的一或多種。
第12圖是類似於第11圖的在第二絕緣層901上沉積蝕刻停止層1201之後的的視圖。蝕刻停止層1201是任選的,並且在一些實施例中可不存在。在一些實施例中,蝕刻停止層包含AlOx。不受理論的束縛,當第二絕緣層901和第三絕緣層1301的組成相似時,蝕刻停止層1201可能是特別有用的。
第13圖是類似於第12圖的在用第三絕緣層1301間隙填充溝槽1101之後的視圖。第三絕緣層1301填充溝槽1101並接觸蝕刻停止層1201(如圖所示)或凹陷的導線703(若不存在蝕刻停止層1201的話)。第三絕緣層1301可為如上所述的關於絕緣層402或第二絕緣層901的任何合適的介電材料。在一些實施例中,第三絕緣層1301包含可流動膜。在一些實施例中,可流動膜包含氧化矽或碳氧化矽的一或多種。在一些實施例中,第三絕緣層1301包含旋塗的低介電常數材料。
在第13圖所示的實施例中,第三絕緣層1301具有大於柱801的高度。換言之,第三絕緣層1301的厚度足以覆蓋柱801。在一些實施例中,形成第三絕緣層1301,使得第三絕緣層1301的頂部與柱801基本齊平或略低於柱801的頂部903。
第14圖是類似於第13圖的在選擇性地蝕刻第三絕緣層1301和蝕刻停止層1201(若存在)以形成通孔開口1401並曝露凹陷的導線703之後的視圖。在一些實施例中,可藉由雙鑲嵌蝕刻處理來選擇性地蝕刻第三絕緣層1301。
可藉由熟悉本領域者已知的任何合適的方法來執行雙鑲嵌蝕刻。簡而言之,在絕緣層1301附近施加或設置遮罩(未顯示),並且通過遮罩執行蝕刻處理。蝕刻處理延伸穿過絕緣層1301。蝕刻處理的側面可向上延伸到絕緣層402和第二絕緣層901的側面。蝕刻移除了在 絕緣層402與第二絕緣層901的相鄰堆疊之間的蝕刻停止層1201,以曝露出凹陷的導線703。頂視圖將顯示絕緣層1301中的矩形蝕刻,曝露出凹陷的導線703,其中蝕刻停止層1201圍繞通孔開口1401。
第15圖是類似於第14圖的在通孔開口1401中沉積第二導電材料1501之後的視圖。在一些實施例中,沉積第二導電材料1501,以形成連接多個通孔開口的第二導線。第二導電材料1501可為任何合適的金屬,並且可藉由任何合適的沉積技術來沉積。第二導線在與上述的第一導線的第一方向不同的第二方向上延伸。
在整個說明書中,對「一個實施例」、「某些實施例」、「一或多個實施例」或「一實施例」的引用意味著結合該實施例描述的特定特徵、結構、材料或特性包括在本揭露書的至少一個實施例中。因此,在整個說明書中各個地方出現諸如「在一或多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在一實施例中」之類的片語不一定是指本揭露書的相同實施例。此外,在一或多個實施例中,可以任何合適的方式來結合特定的特徵、結構、材料或特性。
儘管於此已經參考特定實施例描述了本揭露書,但是應當理解這些實施例僅是本揭露書的原理和應用的說明。對於熟悉本領域者將顯而易見的是,在不背離本揭露書的精神和範圍的情況下,可對本揭露書的方法和設 備進行各種修改和變化。因此,本揭露書意欲包括在附隨的申請專利範圍及其等效的範圍內的修改和變化。
100:基板
110:第一材料
115:第一材料表面
120:第二材料
125:第二材料表面
130:碳膜
200:方法
202:步驟
204:步驟
206:步驟
208:步驟
300:基板
305:特徵
310:第一材料
312:底部
315:第一表面
320:第二材料
322:側壁
325:第二表面
330:碳柱
335:側面
401:基板
402:絕緣層
404:溝槽
503:導電層
603:導線
702:溝槽
703:導線
704:側壁
705:頂表面
801:柱
803:間隙
805:高度
901:第二絕緣層/絕緣層
902:相對側
903:頂部
1101:溝槽
1201:蝕刻停止層
1301:第三絕緣層/絕緣層
1501:第二導電材料
第1A圖顯示了根據一些實施例的用於選擇性沉積碳膜的示例性基板;
第1B圖顯示了根據一些實施例具有碳膜選擇性地沉積於上的示例性基板;
第2圖顯示了根據一些實施例的用於選擇性地沉積碳膜或形成碳柱的方法;
第3A圖顯示了根據一些實施例的用於形成碳柱的示例性基板;
第3B圖顯示了根據一些實施例的具有碳柱形成於上的示例性基板;
第4圖顯示了根據一些實施例的用以提供完全自對準的通孔的電子裝置結構的橫截面圖;
第5圖是根據一些實施例的在沉積導電材料之後的電子裝置結構的橫截面圖;
第6圖是根據一些實施例的在將導電材料平坦化以形成導線之後的電子裝置結構的橫截面圖;
第7圖是根據一些實施例的在使導線凹陷之後的電子裝置結構的橫截面圖;
第8圖是根據一些實施例的在導線上形成碳柱之後的電子裝置結構的橫截面圖;
第9圖是根據一些實施例的在碳柱周圍沉積第二絕緣材料之後的電子裝置結構的橫截面圖;
第10圖是根據一些實施例的在第二絕緣材料被平坦化之後的電子裝置結構的橫截面圖;
第11圖是根據一些實施例的在移除碳柱以形成溝槽之後的電子裝置結構的橫截面圖;
第12圖是根據一些實施例的在沉積蝕刻停止層之後的電子裝置結構的橫截面圖;
第13圖是根據一些實施例的在蝕刻停止層上沉積第三絕緣材料以形成填充溝槽之後的電子裝置結構的橫截面圖;
第14圖是根據一些實施例的從填充的溝槽選擇性地移除第三絕緣材料和蝕刻停止層以形成通孔之後的電子裝置結構的橫截面圖;
第15圖是根據一些實施例的在通孔中沉積第二導電材料之後的電子裝置結構的橫截面圖;
在附隨的圖式中,相似的部件及/或特徵可具有相同的元件符號。此外,可藉由在元件符號之後加上破折號和第二元件符號來區分相同類型的各種部件,第二元件符號在相似部件之間進行區分。若在說明書中僅使用第一元件符號,則描述適用於具有相同的第一元件符號的類似部件中的任一個,而與第二元件符號無關。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
401:基板
402:絕緣層
703:導線
901:第二絕緣層/絕緣層
1201:蝕刻停止層
1301:第三絕緣層/絕緣層
1501:第二導電材料

Claims (20)

  1. 一種選擇性沉積一碳膜的方法,該方法包含以下步驟:將包含一曝露的第一材料表面及一曝露的第二材料表面的一基板曝露於一碳前驅物,以形成在該曝露的第一材料表面上具有一第一厚度且在該曝露的第二材料表面上具有一第二厚度的一碳膜,該第一材料包含一金屬,該碳前驅物包含基本上由碳和氫原子組成的一含碳物種,且該第一厚度大於該第二厚度。
  2. 如請求項1所述之方法,其中該金屬包含Ti、Co、Ni、Cu、Ru、Rh、Pd、Ag、Hf、Ta、W、Re、Ir、Pt或Au的一或多種。
  3. 如請求項1所述之方法,其中該第一材料包含一基本上純的金屬或金屬合金。
  4. 如請求項1所述之方法,其中該第一材料包含一金屬氮化物、金屬碳化物、金屬矽化物、金屬氧化物、金屬硫化物、金屬硒化物、金屬碲化物或其組合。
  5. 如請求項1所述之方法,其中該第二材料包含一介電材料。
  6. 如請求項1所述之方法,其中將該基板保持在約100℃至約700℃的一範圍中的一溫度。
  7. 如請求項1所述之方法,其中該碳前驅物包含C2H2、C2H4、C3H6或CH4的一或多種。
  8. 如請求項1所述之方法,其中該碳前驅物進一步包含氫氣、氮氣或氬氣。
  9. 一種形成一碳柱的方法,該方法包含以下步驟:將包含一曝露的第一表面及一曝露的第二表面的一基板表面曝露於一碳前驅物以在該曝露的第一表面上形成包含一碳材料的一碳柱,一第一含金屬材料具有帶一第一尺寸的該曝露的第一表面,一第二介電材料具有該曝露的第二表面,該碳前驅物包含基本上由碳和氫原子組成的一含碳物種,該碳柱和該曝露的第一表面具有相同的第一尺寸。
  10. 如請求項9所述之方法,其中該第一含金屬材料包含鈷。
  11. 如請求項9所述之方法,其中該碳前驅物包含一C1-C4烴。
  12. 如請求項11所述之方法,其中該碳前驅物包含至少一個不飽和鍵。
  13. 如請求項9所述之方法,其中該碳前驅物進一步包含氫氣、氮氣或氬氣。
  14. 如請求項9所述之方法,其中基本上沒有 碳材料沉積在該曝露的第二表面上。
  15. 如請求項9所述之方法,其中將該基板保持在約100℃至約700℃的一範圍中的一溫度。
  16. 如請求項9所述之方法,其中該曝露的第一表面從該曝露的第二表面凹陷以形成一基板特徵,該基板特徵包含該第二介電材料的至少一個側壁和該具有一曝露的第一表面的第一含金屬材料的一底部,該碳柱在該曝露的第二表面上方延伸。
  17. 如請求項16所述之方法,其中該碳柱具有與該基板表面基本正交的多個側面。
  18. 一種形成一自對準通孔的方法,該方法包含以下步驟:在一基板上的一第一絕緣層上凹陷多條第一導線,該等第一導線在該第一絕緣層上沿一第一方向延伸;形成多個碳柱在凹陷的該等第一導線上;在該等碳柱之間沉積一第二絕緣層;移除該等碳柱以在該第二絕緣層中形成多個溝槽;沉積一第三絕緣層在該等溝槽中到凹陷的該等第一導線上,以形成多個填充的溝槽;及從該等填充的溝槽選擇性地蝕刻該第三絕緣層,以形成通向該第一導線的一通孔。
  19. 如請求項18所述之方法,進一步包含以下 步驟:在移除該等碳柱之後並且在沉積該第三絕緣層之前,沉積一基本上保形的蝕刻停止層。
  20. 如請求項18所述之方法,進一步包含以下步驟:將一第二導電材料沉積到該通孔開口中。
TW108141355A 2018-11-23 2019-11-14 碳膜的選擇性沉積及其用途 TWI757659B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862771011P 2018-11-23 2018-11-23
US62/771,011 2018-11-23

Publications (2)

Publication Number Publication Date
TW202036660A TW202036660A (zh) 2020-10-01
TWI757659B true TWI757659B (zh) 2022-03-11

Family

ID=70769987

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108141355A TWI757659B (zh) 2018-11-23 2019-11-14 碳膜的選擇性沉積及其用途

Country Status (7)

Country Link
US (1) US11177174B2 (zh)
JP (1) JP2022509111A (zh)
KR (1) KR20210082260A (zh)
CN (1) CN113056808A (zh)
SG (1) SG11202104336RA (zh)
TW (1) TWI757659B (zh)
WO (1) WO2020106939A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11164938B2 (en) * 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
US10879114B1 (en) * 2019-08-23 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive fill
US11990369B2 (en) * 2021-08-20 2024-05-21 Applied Materials, Inc. Selective patterning with molecular layer deposition

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9768032B2 (en) * 2015-05-12 2017-09-19 Samsung Electronics Co., Ltd. Method of forming pattern and method of manufacturing integrated circuit device by using the same
US20180218914A1 (en) * 2017-01-31 2018-08-02 Applied Materials, Inc. Schemes for Selective Deposition for Patterning Applications

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7972966B2 (en) 2009-05-19 2011-07-05 International Business Machines Corporation Etching of tungsten selective to titanium nitride
US8293658B2 (en) * 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
US9418889B2 (en) * 2014-06-30 2016-08-16 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
JP6317232B2 (ja) * 2014-10-29 2018-04-25 東京エレクトロン株式会社 選択成長方法および基板処理装置
TWI717260B (zh) * 2015-05-01 2021-01-21 美商應用材料股份有限公司 使用表面封端化學性質的薄膜介電質之選擇性沉積
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US9799593B1 (en) * 2016-04-01 2017-10-24 Intel Corporation Semiconductor package substrate having an interfacial layer
JP7169072B2 (ja) * 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
WO2018195408A1 (en) * 2017-04-20 2018-10-25 Micromaterials Llc Self-aligned via process flow
US10490411B2 (en) * 2017-05-19 2019-11-26 Applied Materials, Inc. Method for enabling self-aligned lithography on metal contacts and selective deposition using free-standing vertical carbon structures

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9768032B2 (en) * 2015-05-12 2017-09-19 Samsung Electronics Co., Ltd. Method of forming pattern and method of manufacturing integrated circuit device by using the same
US20180218914A1 (en) * 2017-01-31 2018-08-02 Applied Materials, Inc. Schemes for Selective Deposition for Patterning Applications

Also Published As

Publication number Publication date
SG11202104336RA (en) 2021-06-29
JP2022509111A (ja) 2022-01-20
CN113056808A (zh) 2021-06-29
KR20210082260A (ko) 2021-07-02
TW202036660A (zh) 2020-10-01
WO2020106939A1 (en) 2020-05-28
US20200168503A1 (en) 2020-05-28
US11177174B2 (en) 2021-11-16

Similar Documents

Publication Publication Date Title
US10403542B2 (en) Methods of forming self-aligned vias and air gaps
US10892183B2 (en) Methods for removing metal oxides
TWI757659B (zh) 碳膜的選擇性沉積及其用途
US11270914B2 (en) Method of forming self-aligned via
TW202011523A (zh) 用於增加支柱的垂直度的方法
US10892187B2 (en) Method for creating a fully self-aligned via
TW202002219A (zh) 用來產生高的深寬比的完全自對準的通孔的選擇性移除過程
TW201842623A (zh) 完全自對準介層窗
US10840186B2 (en) Methods of forming self-aligned vias and air gaps
US10699953B2 (en) Method for creating a fully self-aligned via
US11869807B2 (en) Fully self-aligned subtractive etch
US11469140B2 (en) Semiconductor device having a landing pad with spacers and method for fabricating the same
TW202232577A (zh) 低電阻及高可靠性金屬化模組