TWI644190B - 製程系統與製程方法 - Google Patents

製程系統與製程方法 Download PDF

Info

Publication number
TWI644190B
TWI644190B TW106121826A TW106121826A TWI644190B TW I644190 B TWI644190 B TW I644190B TW 106121826 A TW106121826 A TW 106121826A TW 106121826 A TW106121826 A TW 106121826A TW I644190 B TWI644190 B TW I644190B
Authority
TW
Taiwan
Prior art keywords
program data
machine
measurement data
data
calibration
Prior art date
Application number
TW106121826A
Other languages
English (en)
Other versions
TW201905611A (zh
Inventor
周友華
莊國勝
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Priority to TW106121826A priority Critical patent/TWI644190B/zh
Application granted granted Critical
Publication of TWI644190B publication Critical patent/TWI644190B/zh
Publication of TW201905611A publication Critical patent/TW201905611A/zh

Links

Landscapes

  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

一種製程系統包含機台、儲存裝置、第一資料庫與處理器。機台用以在第一晶圓上實施半導體製程。儲存裝置用以儲存複數個電腦程式碼。第一資料庫用以從機台收集程序資料。處理器用以執行儲存裝置中之電腦程式碼以進行下列步驟。校正程序資料以產生校正程序資料。篩選校正程序資料以產生過濾程序資料。根據過濾程序資料產生預測參數以供配方管理系統調整機台對第二晶圓實施半導體製程之至少一配方參數。

Description

製程系統與製程方法
本揭示內容是關於一種製程技術,特別是關於一種具虛擬量測之製程系統與製程方法。
於半導體製程中,積體電路(Integrated circuit,IC)是以連續的半導體層所製造而成,半導體製造過程是由各種處理機台與量測機台所進行。為了避免實際量測的繁瑣過程,半導體製程可應用虛擬量測以預測製程後的晶圓特性。然而,由於各機台與機台內的感測器存在誤差,因此預測的準確度難以大幅提升。
一種製程系統包含機台、儲存裝置、第一資料庫與處理器。機台用以在第一晶圓上實施半導體製程。儲存裝置用以儲存複數個電腦程式碼。第一資料庫用以從機台收集程序資料。處理器用以執行儲存裝置中之電腦程式碼以進行下列步驟。校正程序資料以產生校正程序資料。篩選校正程序資料以產生過濾程序資料。根據過濾程序資料產生預測 參數以供配方管理系統調整機台對第二晶圓實施半導體製程之至少一配方參數。
一種製程方法包含以下步驟。透過時脈訊號同步化第一資料庫與配方管理系統。從對第一晶圓實施半導體製程之機台收集程序資料,並儲存程序資料於第一資料庫內。校正程序資料以產生校正程序資料。根據校正程序資料產生預測參數以供配方管理系統調整機台對第二晶圓實施半導體製程之至少一配方參數。
一種製程方法,包含以下步驟。從對第一晶圓實施半導體製程之機台收集程序資料,並儲存於第一資料庫內。校正程序資料以產生校正程序資料。透過計算校正程序資料之標準差來篩選校正程序資料以產生過濾程序資料。根據過濾程序資料產生預測參數以供配方管理系統調整機台對第二晶圓實施半導體製程之至少一配方參數。
為讓本揭示內容之上述和其他目的、特徵、優點與實施例能更明顯易懂,所附符號之說明如下:
100‧‧‧製程系統
110‧‧‧機台
120‧‧‧資料庫
130‧‧‧處理器
140‧‧‧配方管理系統
150‧‧‧即時派工系統
160‧‧‧設備管理系統
200‧‧‧製程方法
S201、S202、S203、S204、S205‧‧‧步驟
300‧‧‧製程系統
321、322‧‧‧資料庫
360‧‧‧設備管理系統
400‧‧‧製程方法
S401、S402、S403、S404、S405‧‧‧步驟
500‧‧‧訓練方法
S501、S502、S503、S504、S505、S506、S507‧‧‧步驟
為讓本揭示內容之上述和其他目的、特徵、優點與實施例能更明顯易懂,所附圖示之說明如下:第1圖為根據本揭示內容一實施例之製程系統之示意圖;第2圖為根據本揭示內容之一些實施例所繪示之應用於第1圖之製程系統的製程方法之流程圖;第3圖為根據本揭示內容一實施例之製程系統之示意圖; 第4圖為根據本揭示內容之一些實施例所繪示之應用於第3圖之製程系統的製程方法之流程圖;以及第5圖為根據本揭示內容之一些實施例所繪示之應用於第1、3圖之製程系統的訓練方法之流程圖。
為了使本揭示內容之敘述更加詳盡與完備,可參照附圖及以下所述之各種實施例。但所提供之實施例並非用以限制本揭示內容所涵蓋的範圍;步驟的描述亦非用以限制其執行之順序,任何由重新組合,所產生具有均等功效的裝置,皆為本揭示內容所涵蓋的範圍。
於實施方式與申請專利範圍中,除非內文中對於冠詞有所特別限定,否則「一」與「該」可泛指單一個或複數個。將進一步理解的是,本文中所使用之「包含」、「包括」、「具有」及相似詞彙,指明其所記載的特徵、區域、整數、步驟、操作、元件與/或組件,但不排除其所述或額外的其一個或多個其它特徵、區域、整數、步驟、操作、元件、組件,與/或其中之群組。
關於本文中所使用之「耦接」或「連接」,均可指二或多個元件相互直接作實體或電性接觸,或是相互間接作實體或電性接觸,而「耦接」或「連接」還可指二或多個元件相互操作或動作。相對的,當一元件被稱為「直接連接」或「直接耦接」至另一元件時,其中是沒有額外元件存在。
關於本文中所使用之「約」、「大約」或「大致約」一般通常係指數值之誤差或範圍約百分之二十以內,較好地是約百分之十以內,而更佳地則是約百分五之以內。文中若無明確說明,其所提及的數值皆視作為近似值,即如「約」、「大約」或「大致約」所表示的誤差或範圍。
請參考第1圖。第1圖係說明本揭示內容一實施例之製程系統100之示意圖。製程系統100包含機台110、資料庫120、處理器130與儲存裝置。於一些實施例中,資料庫120為錯誤偵測控制(Fault detection control,FDC)資料庫。於一些實施例中,儲存裝置整合於處理器130內。於一些實施例中,儲存裝置與處理器130分別設置。機台110用以在晶圓上實施半導體製程,儲存裝置用以儲存複數個電腦程式碼。
於一些實施例中,處理器130的實施是透過例如中央處理單元、多處理器、分散式處理系統、特殊功能積體電路、合適處理單元或其他具有同等功能之元件等。上述實施處理器130的不同電路或單元是供例示目的,實施處理器130的各種電路或單元均在本揭示內容的預期範圍內。
於一些實施例中,上述儲存裝置為電腦可讀取儲存裝置。電腦可讀取儲存裝置的實施是透過例如電子、磁性、光學、電磁、紅外線及/或半導體設備(或裝置)。例如電腦可讀取儲存裝置包含半導體記憶體、磁帶、可移動計算機磁碟、隨機存取記憶體、唯讀記憶體、硬磁碟、光磁碟或其他有同等功能之元件等。在一些實施例中,電腦可讀取儲存裝置包 含光磁碟。於一些實施例中,電腦可讀取儲存裝置包含例如唯讀記憶光碟、可讀寫記憶光碟、數位影碟或其他類似物等。
於一些實施例中,電腦可讀取儲存裝置儲存指令,以與外部機器及/或裝置對接。例示而言,電腦可讀取儲存裝置編碼有指令,用以與包含感測器的機台110對接。因此,處理器130可以與機台110進行通信,從而執行例如第2圖的製程方法200。
於一些實施例中,半導體製程包含數個在晶圓上的處理操作,以產生期望的半導體積體電路。例示而言,半導體製程包含一系列的光微影以及化學處理操作。
上述之處理操作包含例如沉積、移除、圖案化以及電氣特性的修改(例如摻雜)。在一些實施例中,沉積是生長、塗覆及/或將材料移送到晶圓上的一種過程。沉積處理或技術包含例如物理氣相沉積(Physical vapor deposition,PVD)、化學氣相沉積(Chemical vapor deposition,CVD)、電化學沉積(Electrochemical deposition,ECD)、分子束磊晶(Molecular beam epitaxy,MBE)、原子層沉積(Atomic layer deposition,ALD)或類似方式。在一些實施例中,移除處理是由晶圓上移除元件,並包含例如蝕刻處理,舉例而言,移除處理包含化學機械平坦化(Chemical mechanical planarization,CMP),用以平坦化半導體結構的表面。圖案化處理,亦稱為光微影,在一些實施例中,圖案化處理將沉積材料成型。舉例來說,圖案化處理包含使用光阻劑材料選擇性地遮罩部分的半導體結構,將半導體結構暴露於特定的光波 長中,接著以顯影液沖刷未曝光的區域。於一些實施例中,電氣特性的改變包含以擴散及/或離子植入方法摻雜在選定的區域。作為例示,摻雜處理後會實施退火處理,包含例如熔爐退火或是快速熱退火(Rapid thermal anneal,RTA),以活化所植入的摻雜劑。
如上述內容,機台110於晶圓上執行一或多個半導體製造流程。於一些實施例中,不同的半導體製造流程包含例如蝕刻、佈植、沉積以及退火,且這些流程分別由不同的機台所執行。舉例來說,這些機台包含步進式光微影、蝕刻機台、沉積機台、拋光機台、快速熱退火機台、離子佈值機台以及類似物等。各機台用以根據相關的製程配方在晶圓上執行半導體製造流程。作為例示,一個機台是用以在一晶圓上放置薄膜,而另一機台是用以由晶圓上蝕刻掉一分層。
請同時參考第1圖與第2圖。第2圖為根據本揭示內容之一些實施例所繪示之應用於第1圖之製程系統100的製程方法200之流程圖。製程方法200具有多個步驟S201~S205。然熟習本案之技藝者應瞭解到,在上述實施例中所提及的步驟,除特別敘明其順序者外,均可依實際需要調整其前後順序,甚至可同時或部分同時執行。
操作上,於步驟S201,資料庫120從機台110收集程序資料。舉例而言,程序資料為溫度、壓力、流率(Flow rate)、時間等參數之其中一者或其組合,本揭示內容不以此為限。
於步驟S202,處理器130用以執行儲存裝置中之 電腦程式碼來校正資料庫120內的程序資料以產生校正程序資料。詳細而言,機台110包含感測器以量測半導體製程的各項參數以產生上述程序資料,並且感測器的量測值位於可容許的誤差範圍內。處理器130根據上述已知誤差來對程序資料進行校正,以產生準確度較高的校正程序資料。如此一來,校正程序資料準確地表示半導體製程的各項參數。
接著,於步驟S203,處理器130用以執行儲存裝置中之電腦程式碼來篩選校正程序資料以產生過濾程序資料。於一些實施例中,處理器130透過計算校正程序資料的標準差來篩選校正程序資料以產生過濾程序資料。詳細而言,處理器130濾除超過6個標準差的校正程序資料來產生過濾程序資料。如此一來,處理器130可濾除差異較大的校正程序資料,而留下差異較小的校正程序資料(亦即過濾程序資料)。
於步驟S204,處理器130用以執行儲存裝置中之電腦程式碼來根據過濾程序資料產生預測參數。於一些實施例中,處理器130根據過濾程序資料並透過儲存裝置內的預測曲線產生預測參數。為方便說明,以沉積製程為例,預測曲線表示沉積薄膜厚度與程序資料(例如溫度、壓力、流率、時間)之間的關係。因此,處理器130將上述過濾程序資料代入預測曲線則可得出預測的沉積薄膜厚度(亦即上述預測參數)。
於步驟S205,配方管理系統140(Recipe management system,RMS)調整機台110對另一晶圓實施半導體製程之至少一配方參數。於一些實施例中,配方管理系統 140與自動程序控制(Automatic process control,APC)裝置整合為單一裝置。舉例而言,預測的沉積薄膜厚度(亦即上述預測參數)大於目標厚度的情況中,配方管理系統140調整半導體製程的至少一配方參數(例如溫度、壓力、流率、時間其中一者或其組合)以使另一晶圓上的沉積薄膜厚度更接近目標厚度。詳細而言,配方管理系統140將調整後的半導體製程的配方參數傳送至即時派工(Real time dispatch,RTD)系統150以進一步將調整後半導體製程的配方參數提供至機台110供實施於另一晶圓上。於其他一些實施例中,即時派工系統150連接設備管理系統160,因此設備管理系統160可根據預測參數調整機台110的參數設定。
如此一來,透過校準與篩選步驟,製程系統100適當地調整配方參數且/或機台110的參數設定以達成目標的製程結果。
請參考第3圖。第3圖為根據本揭示內容一實施例之製程系統300之示意圖。製程系統300架構與製程系統100大致上相同,但更包含資料庫321和資料庫322。於一些實施例中,資料庫321、322為統計處理控制(Statistical process control,SPC)資料庫。
請同時參考第3圖與第4圖。第4圖為根據本揭示內容之一些實施例所繪示之應用於第3圖之製程系統300的製程方法400之流程圖。製程方法400具有多個步驟S401~S405。然熟習本案之技藝者應瞭解到,在上述實施例中所提及的步驟,除特別敘明其順序者外,均可依實際需要調 整其前後順序,甚至可同時或部分同時執行。
操作上,於步驟S401,資料庫321從機台110收集量測資料。舉例而言,量測資料為晶圓上的薄膜厚度,但本揭示內容不以此為限。
於步驟S402,處理器130用以執行儲存裝置中之電腦程式碼來校正資料庫321內的量測資料以產生校正量測資料。詳細而言,機台110包含感測器以量測晶圓的各項特性參數以產生上述量測資料,並且感測器的量測值位於可容許的誤差範圍內。處理器130根據上述已知誤差來對量測資料進行校正,以產生準確度較高的校正量測資料。如此一來,校正程序資料準確地表示晶圓的各項特性參數。
接著,於步驟S403,處理器130用以執行儲存裝置中之電腦程式碼來篩選校正量測資料以產生過濾量測資料。於一些實施例中,處理器130透過計算校正量測資料的標準差來篩選校正量測資料以產生過濾量測資料。詳細而言,處理器130濾除超過6個標準差的校正量測資料來產生過濾量測資料。如此一來,處理器130可濾除差異較大的校正量測資料,而留下差異較小的校正量測資料(亦即過濾程序資料)。
於步驟S404,處理器130用以執行儲存裝置中之電腦程式碼來根據過濾量測資料產生預測參數。為方便說明,以沉積製程為例,過濾量測資料包含沉積薄膜厚度,處理器130可根據量測得並經過校正與篩選的沉積薄膜厚度來與目標厚度比較,以進一步產生預測參數以供調整製程硬體設備的設 定。
於步驟S405,設備管理系統360調整機台110的參數設定。詳細而言,若上述沉積薄膜厚度與目標厚度不同,則設備管理系統360根據處理器130產生的預測參數調整機台110的參數設定。舉例而言,設備管理系統360根據處理器130產生的預測參數調整機台110的功率設定以使沉積薄膜厚度更接近目標厚度。於其他一些實施例中,即時派工系統150連接設備管理系統160,因此即時派工系統150可根據預測參數調整機台110對另一晶圓實施半導體製程之至少一配方參數。
如此一來,透過校準與篩選步驟,製程系統300適當地調整配方參數且/或機台110的參數設定以達成目標的製程結果。
於一些實施例中,上述製程方法200、400包含製程系統100、300的機台參數設定、配方參數設定、參數計算與對晶圓實施半導體製程的方法。
於一些實施例中,資料庫322從處理器130收集預測參數以供設備管理系統360調整機台110之參數設定。資料庫321收集未經處理的量測資料,而資料庫322收集經過校正與篩選的過濾量測資料。
於一些實施例中,機台110、資料庫120、321、322、處理器130、配方管理系統140、即時派工系統150與設備管理系統360透過相同的時脈訊號進行同步化。如此一來,處理器130產生的預測資料的準確性因此提升。
於一些實施例中,處理器130透過機器學習產生上述預測曲線。請參考第5圖,第5圖為根據本揭示內容之一些實施例所繪示之應用於第1、3圖之製程系統100、300的訓練方法500之流程圖。訓練方法500具有多個步驟S501~S507。然熟習本案之技藝者應瞭解到,在上述實施例中所提及的步驟,除特別敘明其順序者外,均可依實際需要調整其前後順序,甚至可同時或部分同時執行。
於步驟S501,處理器130可經設定以定義任務與設定目標。舉例而言,設定濾波器的相關參數、誤差容許範圍、預測資料的格式等。
於步驟S502,處理器130可經設定以選擇感測參數來進行後續訓練。詳細而言,感測參數對應於機台110的感測器,並且感測器於半導體製程時產生的感測資料用於後續的處理器130訓練步驟。舉例而言,為方便說明,以沉積製程為例,處理器130可經設定以選擇影響薄膜沉積厚度較顯著的部分感測參數來進行後續訓練。或者,舉另一例而言,處理器130亦可經設定以選擇所有感測參數來進行後續訓練。
於步驟S503,為了提高預測的準確度,處理器130將製程系統300內所有裝置以相同時脈進行同步化。舉例而言,機台110、資料庫120、321、322、處理器130、配方管理系統140、即時派工系統150與設備管理系統360透過相同的時脈訊號進行同步化。
於步驟S504,處理器130校正與篩選感測資料。校正步驟與篩選步驟如上所述,此處不再重複。如此一 來,經過校正與篩選後的感測資料可準確地反映出半導體製程的各項參數,因此有助於提升訓練的準確度。
於步驟S505,處理器130透過機器學習進行訓練。詳細而言,對應於上述感測資料的晶圓量測資料亦收集並傳送至處理器130,處理器130計算感測資料與量測資料的相關係數。
於步驟S506,處理器130根據計算出的相關係數判斷相關性的強弱,並選擇強相關的感測資料以供產生預測曲線。
於步驟S507,處理器130利用強相關的感測資料產生預測曲線。此外,處理器130也可進一步改善預測曲線。於一些實施例中,利用實驗設計(Design of experiments,DOE),處理器130可改變上述強相關的感測資料對應的感測參數範圍以進一步更新預測曲線。於另一些實施例中,處理器130根據校正程序資料與校正量測資料並透過機器學習來更新預測曲線。因此,處理器130利用校正程序資料與校正量測資料增加用來產生預測曲線的資料量,進而提升預測曲線的信心水準(Confidence level)。
如此一來,透過校準與製程系統100、300內裝置的同步化,訓練方法500訓練出的製程系統100、300適當地調整配方參數且/或機台110的參數設定以達成目標的製程結果。此外,製程系統100、300亦根據實際半導體製程收集並處理過的校正程序資料與校正量測資料進行更新,以進一步地累積資料量以提高製程系統100、300的準確度。
一種製程系統,包含機台、儲存裝置、第一資料庫與處理器。機台用以在第一晶圓上實施半導體製程。儲存裝置用以儲存複數個電腦程式碼。第一資料庫用以從機台收集程序資料。處理器用以執行儲存裝置中之電腦程式碼以進行下列步驟。校正程序資料以產生校正程序資料。篩選校正程序資料以產生過濾程序資料。根據過濾程序資料產生預測參數以供配方管理系統調整機台對第二晶圓實施半導體製程之至少一配方參數。
於一些實施例中,製程系統更包含第二資料庫,其用以從機台收集量測資料。量測資料對應該第一晶圓。處理器更用以執行儲存裝置中之電腦程式碼以進行下列步驟。校正量測資料以產生校正量測資料。篩選校正量測資料以產生過濾量測資料。根據過濾量測資料產生預測參數以供設備管理系統調整機台之參數設定。
於一些實施例中,製程系統更包含第三資料庫,其用以從處理器收集預測參數以供設備管理系統調整機台之參數設定。
於一些實施例中,處理器更用以執行儲存裝置中之電腦程式碼以進行下列步驟。根據過濾程序資料並透過預測曲線產生預測參數。
於一些實施例中,處理器更用以執行儲存裝置中之電腦程式碼以進行下列步驟。根據過濾程序資料與過濾量測資料並透過機器學習來更新預測曲線。
於一些實施例中,處理器、第一資料庫、第二 資料庫、配方管理系統與設備管理裝置透過時脈訊號而同步化。
一種製程方法包含以下步驟。透過時脈訊號同步化第一資料庫與配方管理系統。從對第一晶圓實施半導體製程之機台收集程序資料,並儲存程序資料於第一資料庫內。校正程序資料以產生校正程序資料。根據校正程序資料產生預測參數以供配方管理系統調整機台對第二晶圓實施半導體製程之至少一配方參數。
於一些實施例中,篩選校正程序資料以產生過濾程序資料。根據過濾程序資料產生預測參數以供配方管理系統調整機台實施於第二晶圓之半導體製程之至少一配方參數。
於一些實施例中,透過時脈訊號同步化第二資料庫與設備管理系統。從機台收集量測資料並儲存於第二資料庫內。量測資料對應第一晶圓。校正量測資料以產生校正量測資料。根據校正量測資料產生預測參數以供設備管理系統調整機台之參數設定。
於一些實施例中,篩選校正量測資料以產生過濾量測資料。根據過濾量測資料產生預測參數以供設備管理系統調整機台之參數設定。
於一些實施例中,收集預測資料以供設備管理系統調整機台之參數設定。
於一些實施例中,根據校正程序資料並透過預測曲線產生預測參數。
於一些實施例中,根據校正程序資料與校正量測資料並透過機器學習來更新預測曲線。
一種製程方法,包含以下步驟。從對第一晶圓實施半導體製程之機台收集程序資料,並儲存於第一資料庫內。校正程序資料以產生校正程序資料。透過計算校正程序資料之標準差來篩選校正程序資料以產生過濾程序資料。根據過濾程序資料產生預測參數以供配方管理系統調整機台對第二晶圓實施半導體製程之至少一配方參數。
於一些實施例中,透過時脈訊號同步化第一資料庫與配方管理系統。
於一些實施例中,從機台收集量測資料並儲存於第二資料庫內。量測資料對應第一晶圓。校正量測資料以產生校正量測資料。透過計算校正量測資料之標準差來篩選校正量測資料以產生過濾量測資料。根據過濾量測資料產生預測參數以供設備管理系統調整機台之參數設定。
於一些實施例中,透過時脈訊號同步化第一資料庫、第二資料庫、配方管理系統與設備管理系統。
於一些實施例中,收集預測資料以供設備管理系統調整機台之參數設定。
於一些實施例中,根據校正程序資料並透過預測曲線產生預測參數。
於一些實施例中,根據校正程序資料與校正量測資料並透過機器學習來更新預測曲線。
雖然本揭示內容已以實施方式揭露如上,然其 並非用以限定本揭示內容,任何熟習此技藝者,在不脫離本揭示內容之精神和範圍內,當可作各種之更動與潤飾,因此本揭示內容之保護範圍當視申請專利範圍所界定者為準。

Claims (10)

  1. 一種製程系統,包含:一機台,用以在一第一晶圓上實施一半導體製程;一儲存裝置,用以儲存複數個電腦程式碼;一第一資料庫,用以從該機台收集一程序資料;以及一處理器,用以執行該儲存裝置中之該些電腦程式碼,以進行下列步驟:校正該程序資料以產生一校正程序資料;篩選該校正程序資料以產生一過濾程序資料;以及根據該過濾程序資料產生一預測參數以供一配方管理系統調整該機台對一第二晶圓實施半導體製程之至少一配方參數。
  2. 如請求項1所述之製程系統,更包含:一第二資料庫,用以從該機台收集一量測資料,其中該量測資料對應該第一晶圓;以及一第三資料庫,用以從該處理器收集該預測參數以供該設備管理系統調整該機台之該參數設定;其中該處理器更用以執行該儲存裝置中之該些電腦程式碼以進行下列步驟:校正該量測資料以產生一校正量測資料;篩選該校正量測資料以產生一過濾量測資料;以及根據該過濾量測資料產生該預測參數以供一設備管理系統調整該機台之一參數設定。
  3. 如請求項2所述之製程系統,其中該處理器更用以執行該儲存裝置中之該些電腦程式碼以進行下列步驟:根據該過濾程序資料並透過一預測曲線產生該預測參數;以及根據該過濾程序資料與該過濾量測資料並透過機器學習來更新該預測曲線,其中該處理器、該第一資料庫、該第二資料庫、該配方管理系統與該設備管理裝置透過一時脈訊號而同步化。
  4. 一種製程方法,包含:透過一時脈訊號同步化一第一資料庫與一配方管理系統;從對一第一晶圓實施半導體製程之一機台收集一程序資料,並儲存該程序資料於該第一資料庫內;校正該程序資料以產生一校正程序資料;以及根據該校正程序資料產生一預測參數以供一配方管理系統調整該機台對一第二晶圓實施半導體製程之至少一配方參數。
  5. 如請求項4所述之製程方法,更包含:篩選該校正程序資料以產生一過濾程序資料;以及根據該過濾程序資料產生該預測參數以供該配方管理系統調整該機台實施於該第二晶圓之該半導體製程之該至少一配方參數。
  6. 如請求項4所述之製程方法,更包含:透過該時脈訊號同步化一第二資料庫與一設備管理系統;從該機台收集一量測資料並儲存於該第二資料庫內,其中該量測資料對應該第一晶圓;校正該量測資料以產生一校正量測資料;根據該校正量測資料產生該預測參數以供該設備管理系統調整該機台之一參數設定;篩選該校正量測資料以產生一過濾量測資料;以及根據該過濾量測資料產生該預測參數以供該設備管理系統調整該機台之該參數設定。
  7. 如請求項4所述之製程方法,更包含:收集該預測資料以供該設備管理系統調整該機台之該參數設定;根據該校正程序資料並透過一預測曲線產生該預測參數;以及根據該校正程序資料與該校正量測資料並透過機器學習來更新該預測曲線。
  8. 一種製程方法,包含:從對一第一晶圓實施半導體製程之一機台收集一程序資料,並儲存於一第一資料庫內;校正該程序資料以產生一校正程序資料; 透過計算該校正程序資料之一標準差來篩選該校正程序資料以產生一過濾程序資料;以及根據該過濾程序資料產生該預測參數以供一配方管理系統調整該機台對該第二晶圓實施半導體製程之至少一配方參數。
  9. 如請求項8所述之製程方法,更包含:從該機台收集一量測資料並儲存於一第二資料庫內,其中該量測資料對應該第一晶圓;校正該量測資料以產生一校正量測資料;透過計算該校正量測資料之一標準差來篩選該校正量測資料以產生一過濾量測資料;根據該過濾量測資料產生該預測參數以供一設備管理系統調整該機台之該參數設定;以及透過一時脈訊號同步化該第一資料庫、該第二資料庫、該配方管理系統與該設備管理系統。
  10. 如請求項9所述之製程方法,更包含:收集該預測資料以供該設備管理系統調整該機台之該參數設定;根據該校正程序資料並透過一預測曲線產生該預測參數;以及根據該校正程序資料與該校正量測資料並透過機器學習來更新該預測曲線。
TW106121826A 2017-06-29 2017-06-29 製程系統與製程方法 TWI644190B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW106121826A TWI644190B (zh) 2017-06-29 2017-06-29 製程系統與製程方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW106121826A TWI644190B (zh) 2017-06-29 2017-06-29 製程系統與製程方法

Publications (2)

Publication Number Publication Date
TWI644190B true TWI644190B (zh) 2018-12-11
TW201905611A TW201905611A (zh) 2019-02-01

Family

ID=65431683

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106121826A TWI644190B (zh) 2017-06-29 2017-06-29 製程系統與製程方法

Country Status (1)

Country Link
TW (1) TWI644190B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112563152A (zh) * 2019-09-25 2021-03-26 长鑫存储技术有限公司 制程方法及制程***
US11592812B2 (en) 2019-02-19 2023-02-28 Applied Materials, Inc. Sensor metrology data integration
US11853042B2 (en) 2021-02-17 2023-12-26 Applied Materials, Inc. Part, sensor, and metrology data integration

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220334172A1 (en) * 2019-09-06 2022-10-20 Hitachi High-Tech Corporation Recipe Information Presentation System and Recipe Error Inference System

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200405184A (en) * 2002-06-07 2004-04-01 Praesagus Inc Characterization and reduction of variation for integrated circuits
TW200530875A (en) * 2004-03-04 2005-09-16 Taiwan Semiconductor Mfg Method for physical parameter extraction for transistor model
TW201028808A (en) * 2008-09-19 2010-08-01 Applied Materials Inc Self-diagnostic semiconductor equipment
TW201044629A (en) * 2009-05-19 2010-12-16 Applied Materials Inc Method and apparatus for solar cell production line control and process analysis
TW201225197A (en) * 2010-06-14 2012-06-16 Kla Tencor Corp Advanced process control optimization
TW201245918A (en) * 2011-03-28 2012-11-16 Tokyo Electron Ltd Adaptive recipe selector
TW201619690A (zh) * 2014-08-05 2016-06-01 Aselta Nanographics 決定積體電路製造製程模型的參數的方法
TW201628112A (zh) * 2015-01-28 2016-08-01 達盟系統有限公司 結合晶圓實體測量結果與數位模擬資料以改善半導體元件之製程的方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200405184A (en) * 2002-06-07 2004-04-01 Praesagus Inc Characterization and reduction of variation for integrated circuits
TW200530875A (en) * 2004-03-04 2005-09-16 Taiwan Semiconductor Mfg Method for physical parameter extraction for transistor model
TW201028808A (en) * 2008-09-19 2010-08-01 Applied Materials Inc Self-diagnostic semiconductor equipment
TW201044629A (en) * 2009-05-19 2010-12-16 Applied Materials Inc Method and apparatus for solar cell production line control and process analysis
TW201225197A (en) * 2010-06-14 2012-06-16 Kla Tencor Corp Advanced process control optimization
TW201245918A (en) * 2011-03-28 2012-11-16 Tokyo Electron Ltd Adaptive recipe selector
TW201619690A (zh) * 2014-08-05 2016-06-01 Aselta Nanographics 決定積體電路製造製程模型的參數的方法
TW201628112A (zh) * 2015-01-28 2016-08-01 達盟系統有限公司 結合晶圓實體測量結果與數位模擬資料以改善半導體元件之製程的方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11592812B2 (en) 2019-02-19 2023-02-28 Applied Materials, Inc. Sensor metrology data integration
CN112563152A (zh) * 2019-09-25 2021-03-26 长鑫存储技术有限公司 制程方法及制程***
CN112563152B (zh) * 2019-09-25 2023-01-31 长鑫存储技术有限公司 制程方法及制程***
US11853042B2 (en) 2021-02-17 2023-12-26 Applied Materials, Inc. Part, sensor, and metrology data integration

Also Published As

Publication number Publication date
TW201905611A (zh) 2019-02-01

Similar Documents

Publication Publication Date Title
TWI644190B (zh) 製程系統與製程方法
CN109213086B (zh) 制程***与制程方法
US6708075B2 (en) Method and apparatus for utilizing integrated metrology data as feed-forward data
KR100727049B1 (ko) 마이크로전자 디바이스들의 제조시 최적의 공정 목표들을결정하는 방법
KR101380515B1 (ko) 반도체 제조의 fab 프로세스를 개선하기 위한 툴 기능의 신규한 설계
KR100727048B1 (ko) 공정 제어 시스템
TWI295755B (en) Method, apparatus and system for dynamically adjusting a process target setting and computer readable program storage device and coded with instructions
KR100734534B1 (ko) 반도체 공정을 위한 자동화된 공정 모니터링 및 분석 시스템
TW525254B (en) Method and apparatus for performing final critical dimension control
KR102372842B1 (ko) Pecvd 오버레이 개선을 위한 방법
TWI590351B (zh) 製造晶圓的方法及裝置
WO2001011678A1 (en) Method and apparatus for run-to-run controlling of overlay registration
TW200418117A (en) Method and apparatus for overlay control using multiple targets
CN102063063B (zh) 半导体制造方法及***
US6597447B1 (en) Method and apparatus for periodic correction of metrology data
US6645780B1 (en) Method and apparatus for combining integrated and offline metrology for process control
US20210397101A1 (en) Overlay compensation method, exposure system, server and readable storage medium
TWI446402B (zh) 基於資訊可信度之增進的狀態估計
US6698009B1 (en) Method and apparatus for modeling of batch dynamics based upon integrated metrology
US6788988B1 (en) Method and apparatus using integrated metrology data for pre-process and post-process control
TWI747875B (zh) 重疊方差穩定方法及系統
US6978189B1 (en) Matching data related to multiple metrology tools
CN109643671A (zh) 自我修复式半导体晶片处理
JP2010171336A (ja) 半導体製造装置及び半導体素子の製造方法
JP6381180B2 (ja) 露光装置、情報管理装置、露光システムおよびデバイス製造方法