CN112563152B - 制程方法及制程*** - Google Patents

制程方法及制程*** Download PDF

Info

Publication number
CN112563152B
CN112563152B CN201910908646.2A CN201910908646A CN112563152B CN 112563152 B CN112563152 B CN 112563152B CN 201910908646 A CN201910908646 A CN 201910908646A CN 112563152 B CN112563152 B CN 112563152B
Authority
CN
China
Prior art keywords
wafer
recipe
machine
corresponding relation
process recipe
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201910908646.2A
Other languages
English (en)
Other versions
CN112563152A (zh
Inventor
陈三城
李昇聪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Changxin Memory Technologies Inc
Original Assignee
Changxin Memory Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Changxin Memory Technologies Inc filed Critical Changxin Memory Technologies Inc
Priority to CN201910908646.2A priority Critical patent/CN112563152B/zh
Publication of CN112563152A publication Critical patent/CN112563152A/zh
Application granted granted Critical
Publication of CN112563152B publication Critical patent/CN112563152B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67294Apparatus for monitoring, sorting or marking using identification means, e.g. labels on substrates or labels on containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • General Factory Administration (AREA)

Abstract

本申请涉及一种制程方法及制程***,制程方法包括建立第一制程配方及第二制程配方,第一制程配方包括处理制程及量测制程,第二制程配方包括处理制程;创建晶圆识别码与第一制程配方的第一对应关系或晶圆识别码与第二制程配方的第二对应关系;将符合第一对应关系或者第二对应关系的晶圆指派给机台;机台对第一对应关系对应的晶圆执行第一制程配方,对第二对应关系对应的晶圆执行第二制程配方。上述的制程方法能够实现同一个机台同时对晶圆进行处理操作和量测操作,并且可以根据需要选择晶圆进行量测,而不需对每个晶圆都进行量测,提高了机台的使用率,缩短了机台的制程时间。

Description

制程方法及制程***
技术领域
本申请涉及半导体制造技术领域,特别涉及一种制程方法及制程***。
背景技术
半导体制程包括处理制程及量测制程。处理制程及量测制程分别由不同的机台执行。晶圆先进行处理制程再进行量测制程。目前,机台对每批晶圆的每一个均进行量测,导致占用机台时间过长,机台使用率低,生产成本高。
发明内容
基于此,有必要针对现有机台对每批晶圆的每一个均进行量测,导致占用机台时间过长,机台使用率低,生产成本高的问题,提供一种制程方法及制程***。
一种制程方法,包括:
建立第一制程配方及第二制程配方,所述第一制程配方包括处理制程及量测制程,所述第二制程配方包括处理制程;
创建晶圆识别码与所述第一制程配方的第一对应关系或晶圆识别码与所述第二制程配方的第二对应关系;
将符合所述第一对应关系或者所述第二对应关系的晶圆指派给机台;
所述机台对所述第一对应关系对应的晶圆执行所述第一制程配方,对所述第二对应关系对应的晶圆执行所述第二制程配方。
在其中一个实施例中,还包括:
获取所述机台执行处理制程的制程输出程序参数;
根据制程输出程序参数判断机台的制程输入程序参数设定是否合理。
在其中一个实施例中,还包括:
获取所述机台执行量测制程的量测结果;
根据所述量测结果判断所述晶圆是否符合预设规格。
在其中一个实施例中,所述处理制程包括沉积、移除、图案化及电气特性的调整。
在其中一个实施例中,所述量测制程包括膜厚度量测及尺寸量测。
一种制程***,包括:
配方建立模块,用于建立第一制程配方及第二制程配方,所述第一制程配方包括处理制程及量测制程,所述第二制程配方包括处理制程;
指派模块,与所述配方建立模块连接,用于创建晶圆识别码与所述第一制程配方的第一对应关系或晶圆识别码与所述第二制程配方的第二对应关系;还用于将符合所述第一对应关系或者所述第二对应关系的晶圆指派给机台;及
制造执行模块,分别与所述配方建立模块及关系创建模块连接,用于控制所述机台对所述第一对应关系对应的晶圆执行所述第一制程配方,对所述第二对应关系对应的晶圆执行所述第二制程配方。
在其中一个实施例中,还包括:
故障检测模块,与所述制造执行模块连接,用于通过所述制造执行模块获取所述机台执行处理制程的制程输出程序参数,还用于根据制程输出程序参数判断机台的制程输入程序参数设定是否合理。
在其中一个实施例中,还包括:
统计分析模块,与所述制造执行模块连接,用于通过所述制造执行模块获取所述机台执行量测制程的量测结果,还用于根据所述量测结果判断所述晶圆是否符合预设规格。
上述的制程方法及制程***,通过建立包括处理制程及量测制程的第一制程配方,包括处理制程的第二制程配方,创建晶圆识别码与第一制程配方的第一对应关系或晶圆识别码与第二制程配方的第二对应关系,并将符合第一对应关系或者第二对应关系的晶圆指派给机台,机台对第一对应关系对应的晶圆执行第一制程配方,对第二对应关系对应的晶圆执行第二制程配方,从而实现同一个机台同时对晶圆进行处理操作和量测操作,并且可以根据需要选择晶圆进行量测,而不需对每个晶圆都进行量测,提高了机台的使用率,缩短了机台的制程时间。
附图说明
图1为一实施例中的制程方法的流程图;
图2为另一实施例中的制程方法的流程图;
图3为另一实施例中的制程方法的流程图;
图4为一实施例中的制程***的方块图;
图5为另一实施例中的制程***的方块图;
图6为另一实施例中的制程***的方块图。
具体实施方式
为了便于理解本申请,下面将参照相关附图对本申请进行更全面的描述。附图中给出了本申请的较佳实施方式。但是,本申请可以以许多不同的形式来实现,并不限于本文所描述的实施方式。相反地,提供这些实施方式的目的是使对本申请的公开内容理解的更加透彻全面。
需要说明的是,当元件被称为“固定于”另一个元件,它可以直接在另一个元件上或者也可以存在居中的元件。当一个元件被认为是“连接”另一个元件,它可以是直接连接到另一个元件或者可能同时存在居中元件。本文所使用的术语“垂直的”、“水平的”、“左”、“右”以及类似的表述只是为了说明的目的,并不表示是唯一的实施方式。
除非另有定义,本文所使用的所有的技术和科学术语与属于本申请的技术领域的技术人员通常理解的含义相同。本文中在本申请的说明书中所使用的术语只是为了描述具体的实施方式的目的,不是旨在于限制本申请。
请参阅图1,图1为一实施例中的制程方法的流程图。该制程方法可适用于所有制程世代。制程方法包括以下步骤。
步骤S01,建立第一制程配方及第二制程配方,第一制程配方包括处理制程及量测制程,第二制程配方包括处理制程。
第一制程配方及第二制程配方由同一机台执行。机台与制造执行***电性连接。制造执行***根据第一制程配方控制机台对晶圆进行处理操作和量测操作。制造执行***根据第二制程配方控制机台对晶圆进行处理操作。
处理操作包括沉积、移除、图案化及电气特性的调整(即掺杂)。沉积是生长、涂覆或以其他方式将材料移送至晶圆上的制程。沉积制程或技术的一些实例包括物理气相沉积(physical vapor deposition;PVD)、化学气相沉积(chemicalvapor deposition;CVD)、电化学沉积(electrochemical deposition;ECD)、分子束磊晶(molecular beam epitaxy;MBE)、原子层沉积(atomic layer deposition;ALD)及类似者。移除是自晶圆成块地或选择性地移除材料的制程且包括蚀刻制程(etch)。图案化制程是成形或稍后所沉积材料的形状的显影制程。图案化亦称为光微影(lithography)。典型图案化制程包括使用光阻剂材料选择性地遮罩半导体装置的一些部分,使装置曝露于特定光波长中,及随后用显影液冲刷未曝光区域。另一方面,电气特性可通过扩散及/或离子植入使选定区域掺杂来改变,这些制程通常继之以退火制程,诸如熔炉退火或快速热退火(rapid thermal anneal;RTA),以便活化所植入掺杂剂。
量测制程包括膜厚度量测及尺寸量测。
步骤S02,创建晶圆识别码与第一制程配方的第一对应关系或晶圆识别码与第二制程配方的第二对应关系。
每一批次的每个晶圆均有唯一的晶圆识别码。对于每一批次的晶圆可以根据实际需要选择相应的制程。由于同一批次的晶圆在制造过程中的制造条件基本相同,因此,制造后得到的晶圆差别较小,在晶圆处理制程后,可根据需要抽取部分晶圆进行量测,而无需对全部晶圆进行量测。若晶圆在处理制程后需要进行量测,则创建晶圆与第一制程配方对应。若晶圆在处理制程后不需要进行量测,则创建晶圆与第二制程配方对应。
步骤S03,将符合第一对应关系或者第二对应关系的晶圆指派给机台。
若出现晶圆既不符合第一对应关系又不符合第二对应关系,则不对该晶圆进行指派。当晶圆识别码出现错误时,可能会出现晶圆既不符合第一对应关系又不符合第二对应关系,这时候整个晶圆进入等待程序,由用户远程或手动干预。
步骤S04,机台对第一对应关系对应的晶圆执行第一制程配方,对第二对应关系对应的晶圆执行第二制程配方。
在一实施例中,一批晶圆的数量为25个,25个晶圆的晶圆识别码分别为1,2,3,4,5,6,…,22,23,24,25,其中晶圆识别码1,5,9,14,18,22对应的晶圆与第一制程配方对应,晶圆识别码2,3,4,6,7,8,10,11,12,13,15,16,17,19,20,21对应的晶圆与第二制程配方对应,因此,晶圆识别码1,5,9,14,18,22对应的晶圆符合第一对应关系,晶圆识别码2,3,4,6,7,8,10,11,12,13,15,16,17,19,20,21对应的晶圆符合第二对应关系,25个晶圆均被指派给机台,机台对晶圆识别码1,5,9,14,18,22对应的晶圆执行第一制程配方,即对第一对应关系对应的晶圆执行处理操作和量测操作,对晶圆识别码2,3,4,6,7,8,10,11,12,13,15,16,17,19,20,21对应的晶圆执行第二制程配方,即对第二对应关系对应的晶圆执行处理操作,因此,实现了同一个机台可以同时对晶圆进行处理操作和量测操作,并且可以根据需要选择晶圆进行量测,而不需每个晶圆都量测,从而提高机台的使用率,缩短机台的制程时间。
若25个晶圆中存在晶圆既不符合第一对应关系又不符合第二对应关系,如出现晶圆的识别码为0,则不对该晶圆识别码为0的晶圆进行指派。
在一些实施例中,可以是晶圆识别码1,3,5,7,9,11,13,15,17,19,21,23,25对应的晶圆与第一制程配方对应,晶圆识别码2,4,6,8,10,12,14,16,18,20,22,24对应的晶圆与第二制程配方对应,因此,晶圆识别码1,3,5,7,9,11,13,15,17,19,21,23,25对应的晶圆符合第一对应关系,晶圆识别码2,4,6,8,10,12,14,16,18,20,22,24对应的晶圆符合第二对应关系,25个晶圆均被指派给机台,机台对晶圆识别码1,3,5,7,9,11,13,15,17,19,21,23,25对应的晶圆执行第一制程配方,对晶圆识别码2,4,6,8,10,12,14,16,18,20,22,24对应的晶圆执行第二制程配方。在其他实施例中,还可以是25个晶圆识别码对应的晶圆均与第一制程配方对应,25个晶圆识别码对应的晶圆均符合第一对应关系,25个晶圆均被指派给机台,机台对25个晶圆执行第一制程配方。晶圆识别码与第一制程配方或第二制程配方还可以是以其他的规则进行对应,每批晶圆还可以是其他的数量,在此不做限定。
请参阅图2,在一实施例中,上述的制程方法还包括以下步骤。
步骤S101,获取机台执行处理制程的制程输出程序参数。
机台包括相关联的多个制造工具,用于执行包括沉积、移除、图案化及电气特性的调整的处理制程。各个处理制程包括一或多个制程输入程序参数,用以控制一或多个制程输出程序参数。制程输入程序参数根据制造工具设定,且可提供输入至制造工具。制程输出程序参数包括可观察的处理制程的处理结果。例如,在蚀刻制程中,其制程输入程序参数包括蚀刻时间和/或蚀刻率。而蚀刻制程的制程输出程序参数包括蚀刻深度。蚀刻深度可通过调整蚀刻率或蚀刻时间控制。因此,获取机台执行处理制程的处理结果可以分析制程输入程序参数的设定是否合理。
步骤S102,根据制程输出程序参数判断机台的制程输入程序参数设定是否合理。
请参阅图3,在一实施例中,上述的制程方法还包括以下步骤。
步骤S201,获取机台执行量测制程的量测结果。
在膜厚度的量测制程中,量测结果为薄膜的厚度,量测结果可通过量测工具获取。膜厚度的量测工具可以是光学线宽测量仪或膜厚测量仪。
步骤S202,根据量测结果判断晶圆是否符合预设规格。
若经过处理制程后的晶圆不符合预设规格,则将晶圆返回处理制程进行处理。
上述制程方法的态样可以软件、硬件、固件或上述的组合来实现。举例而言,将制程方法的各种操作实施为机器可读取储存装置中有形体现的计算机程序产品,以便通过处理单元执行。制程方法的实施例中各种操作是通过计算机处理器执行计算机可读取媒体上有形体现的程序来执行,以通过对输入操作及产生输出来执行功能。计算机可读取媒体为例如记忆体、可传输媒体等,以使得制程方法的态样的计算机程序载入计算机。计算机程序并不受限于任何特定实施例,且例如可在操作***、应用程序、前台或后台制程、驱动器或上述的任何组合中加以实施,在单个计算机处理器或多个计算机处理器上执行。另外,制程方法的实施例的各操作提供在计算机可读取媒体上产生、生产、接收或以其他方式实施的一或更多个数据结构。
请参阅图4,本申请还提供一种制程***,包括配方建立模块10、指派模块20及制造执行模块40。机台101与制造执行***40连接。
配方建立模块10用于建立第一制程配方及第二制程配方,所述第一制程配方包括处理制程及量测制程,所述第二制程配方包括处理制程。处理制程包括沉积、移除、图案化及电气特性的调整(即掺杂)。量测制程包括膜厚度量测及尺寸量测。
指派模块20与所述配方建立模块10连接,用于创建晶圆识别码与所述第一制程配方的第一对应关系或晶圆识别码与所述第二制程配方的第二对应关系,还用于将符合所述第一对应关系或者所述第二对应关系的晶圆指派给机台101。
每一批次的每个晶圆均有唯一的晶圆识别码。对于每一批次的晶圆可以根据实际需要选择相应的制程。由于同一批次的晶圆在制造过程中的制造条件基本相同,因此,制造后得到的晶圆差别较小,在晶圆处理制程后,可根据需要抽取部分晶圆进行量测,而无需对全部晶圆进行量测。若晶圆在处理制程后需要进行量测,则创建晶圆与第一制程配方对应。若晶圆在处理制程后不需要进行量测,则创建晶圆与第二制程配方对应。
制造执行模块40分别与配方建立模块10及指派模块20连接,用于控制所述机台101对所述第一对应关系对应的晶圆执行所述第一制程配方,对所述第二对应关系对应的晶圆执行所述第二制程配方。
机台101对第一对应关系对应的晶圆执行第一制程配方,即对第一对应关系对应的晶圆执行处理操作和量测操作。对第二对应关系对应的晶圆执行第二制程配方,即对第二对应关系对应的晶圆执行处理操作。因此,实现了同一个机台101可以同时对晶圆进行处理操作和量测操作,并且可以根据需要选择晶圆进行量测,而不需每个晶圆都量测,从而提高机台101的使用率,缩短机台101的制程时间。
请参阅图5,在一实施例中,制程***还包括统计分析模块50,统计分析模块50与所述制造执行模块40连接,用于通过所述制造执行模块40获取所述机台101执行量测制程的量测结果,还用于根据所述量测结果判断所述晶圆是否符合预设规格。
请参阅图6,在一实施例中,制程***还包括故障检测模块60,故障检测模块60与所述制造执行模块40连接,用于通过所述制造执行模块40获取机台101执行处理制程的制程输出程序参数,还用于根据制程输出程序参数判断机台101的制程输入程序参数设定是否合理。
机台101包括相关联的多个制造工具,用于执行包括沉积、移除、图案化及电气特性的调整的处理制程。各个处理制程包括一或多个制程输入程序参数,用以控制一或多个制程输出程序参数。制程输入程序参数根据制造工具设定,且可提供输入至制造工具。制程输出程序参数包括可观察的处理制程的处理结果。例如,在蚀刻制程中,其制程输入程序参数包括蚀刻时间和/或蚀刻率。而蚀刻制程的制程输出程序参数包括蚀刻深度。蚀刻深度可通过调整蚀刻率或蚀刻时间控制。因此,获取机台101执行处理制程的处理结果可以分析制程输入程序参数的设定是否合理。
以电化学沉积制程为例对上述的制程***进行说明。
机台将晶圆载入埠,搬运工具将晶圆搬运至缓存装置,制程转换装置再将晶圆从缓存装置转移至电化学沉积处理装置中,电化学沉积处理装置对晶圆进行电化学沉积处理,晶圆进行完电化学沉积处理后,再由制程转换装置从电化学沉积处理装置转移至烘干处理装置中,烘干处理装置对晶圆进行旋转和烘干处理,如果该晶圆的晶圆识别码与所述第一制程配方对应,则制程转换装置将晶圆从烘干处理装置转移至量测处理装置中,量测处理装置对晶圆进行量测操作,晶圆进行完量测处理后,制程转换装置将晶圆转移至晶圆收容装置中。如果该晶圆的晶圆识别码与所述第二制程配方对应,则制程转换装置直接将晶圆从烘干处理装置转移至晶圆收容装置中。
本申请的制程方法及制程***,通过建立包括处理制程及量测制程的第一制程配方,包括处理制程的第二制程配方,创建晶圆识别码与第一制程配方的第一对应关系或晶圆识别码与第二制程配方的第二对应关系,并将符合第一对应关系或者第二对应关系的晶圆指派给机台,机台对第一对应关系对应的晶圆执行第一制程配方,对第二对应关系对应的晶圆执行第二制程配方,从而实现同一个机台同时对晶圆进行处理操作和量测操作,并且可以根据需要选择晶圆进行量测,而不需对每个晶圆都进行量测,提高了机台的使用率,缩短了机台的制程时间。
以上所述实施例的各技术特征可以进行任意的组合,为使描述简洁,未对上述实施例中的各个技术特征所有可能的组合都进行描述,然而,只要这些技术特征的组合不存在矛盾,都应当认为是本说明书记载的范围。
以上所述实施例仅表达了本申请的几种实施方式,其描述较为具体和详细,但并不能因此而理解为对发明专利范围的限制。应当指出的是,对于本领域的普通技术人员来说,在不脱离本申请构思的前提下,还可以做出若干变形和改进,这些都属于本申请的保护范围。因此,本申请专利的保护范围应以所附权利要求为准。

Claims (10)

1.一种制程方法,其特征在于,包括:
建立第一制程配方及第二制程配方,所述第一制程配方包括处理制程及量测制程,所述第二制程配方包括处理制程;所述第一制程配方及所述第二制程配方由同一机台执行;
创建晶圆识别码与所述第一制程配方的第一对应关系或晶圆识别码与所述第二制程配方的第二对应关系;其中,每一批次的每个晶圆均有唯一的所述晶圆识别码;对于每一批次的所述晶圆根据实际需要选择相应的第一制程配方或第二制程配方,若所述晶圆在所述处理制程后需要进行量测,则创建所述晶圆识别码与所述第一制程配方的第一对应关系;若所述晶圆在所述处理制程后不需要进行量测,则创建所述晶圆识别码与所述第二制程配方的所述第二对应关系;
将符合所述第一对应关系或者所述第二对应关系的晶圆指派给所述机台;
所述机台对所述第一对应关系对应的晶圆执行所述第一制程配方,对所述第二对应关系对应的晶圆执行所述第二制程配方;
所述制程方法还包括:
获取所述机台执行处理制程的制程输出程序参数;
根据所述制程输出程序参数判断机台的制程输入程序参数设定是否合理。
2.根据权利要求1所述的制程方法,其特征在于,所述机台与制造执行***电性连接;所述制造执行***根据所述第一制程配方控制所述机台对所述晶圆进行处理操作和量测操作,所述制造执行***根据所述第二制程配方控制所述机台对所述晶圆进行处理操作。
3.根据权利要求2所述的制程方法,其特征在于,还包括:
若所述晶圆既不符合所述第一对应关系又不符合所述第二对应关系,则不对所述晶圆进行指派。
4.根据权利要求1所述的制程方法,其特征在于,还包括:
获取所述机台执行量测制程的量测结果;
根据所述量测结果判断所述晶圆是否符合预设规格。
5.根据权利要求1所述的制程方法,其特征在于,所述处理制程包括沉积、移除、图案化及电气特性的调整。
6.根据权利要求1所述的制程方法,其特征在于,所述量测制程包括膜厚度量测及尺寸量测。
7.一种制程***,其特征在于,包括:
配方建立模块,用于建立第一制程配方及第二制程配方,所述第一制程配方包括处理制程及量测制程,所述第二制程配方包括处理制程;所述第一制程配方及所述第二制程配方由同一机台执行;
指派模块,与所述配方建立模块连接,用于创建晶圆识别码与所述第一制程配方的第一对应关系或晶圆识别码与所述第二制程配方的第二对应关系;还用于将符合所述第一对应关系或者所述第二对应关系的晶圆指派给所述机台;其中,每一批次的每个晶圆均有唯一的所述晶圆识别码;对于每一批次的所述晶圆根据实际需要选择相应的第一制程配方或第二制程配方,若所述晶圆在所述处理制程后需要进行量测,则创建所述晶圆识别码与所述第一制程配方的第一对应关系;若所述晶圆在所述处理制程后不需要进行量测,则创建所述晶圆识别码与所述第二制程配方的所述第二对应关系;以及
制造执行模块,分别与所述配方建立模块及所述指派模块连接,用于控制所述机台对所述第一对应关系对应的晶圆执行所述第一制程配方,对所述第二对应关系对应的晶圆执行所述第二制程配方。
所述制程***还包括:
故障检测模块,与所述制造执行模块连接,用于通过所述制造执行模块获取所述机台执行处理制程的制程输出程序参数,还用于根据制程输出程序参数判断机台的制程输入程序参数设定是否合理。
8.根据权利要求7所述的制程***,其特征在于,还包括:
统计分析模块,与所述制造执行模块连接,用于通过所述制造执行模块获取所述机台执行量测制程的量测结果,还用于根据所述量测结果判断所述晶圆是否符合预设规格。
9.根据权利要求7所述的制程***,其特征在于,所述处理制程包括沉积、移除、图案化及电气特性的调整。
10.根据权利要求7所述的制程***,其特征在于,所述量测制程包括膜厚度量测及尺寸量测。
CN201910908646.2A 2019-09-25 2019-09-25 制程方法及制程*** Active CN112563152B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201910908646.2A CN112563152B (zh) 2019-09-25 2019-09-25 制程方法及制程***

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201910908646.2A CN112563152B (zh) 2019-09-25 2019-09-25 制程方法及制程***

Publications (2)

Publication Number Publication Date
CN112563152A CN112563152A (zh) 2021-03-26
CN112563152B true CN112563152B (zh) 2023-01-31

Family

ID=75029031

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910908646.2A Active CN112563152B (zh) 2019-09-25 2019-09-25 制程方法及制程***

Country Status (1)

Country Link
CN (1) CN112563152B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115639785A (zh) * 2021-07-19 2023-01-24 长鑫存储技术有限公司 半导体制程的控制方法、装置、设备及存储介质

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW516078B (en) * 2000-07-13 2003-01-01 Advanced Micro Devices Inc Method and apparatus for modeling thickness profiles and controlling subsequent etch process
CN101963802A (zh) * 2010-08-25 2011-02-02 江苏大学 批次制程的虚拟量测方法与***
TW201135474A (en) * 2010-04-02 2011-10-16 Foresight Technology Company Ltd Method for sampling workpiece for inspection and computer program product performing the same
TW201137547A (en) * 2010-04-26 2011-11-01 United Microelectronics Corp Process control method and process control system
CN102262188A (zh) * 2010-05-28 2011-11-30 先知科技股份有限公司 工件抽样检验的方法及其计算机程序产品
CN103943523A (zh) * 2013-01-21 2014-07-23 中芯国际集成电路制造(上海)有限公司 半导体生产过程中的抽样量测方法
CN106557540A (zh) * 2015-09-30 2017-04-05 台湾积体电路制造股份有限公司 用于晶圆与机台指派的***
TWI644190B (zh) * 2017-06-29 2018-12-11 台灣積體電路製造股份有限公司 製程系統與製程方法
CN109213086A (zh) * 2017-06-29 2019-01-15 台湾积体电路制造股份有限公司 制程***与制程方法

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW516078B (en) * 2000-07-13 2003-01-01 Advanced Micro Devices Inc Method and apparatus for modeling thickness profiles and controlling subsequent etch process
TW201135474A (en) * 2010-04-02 2011-10-16 Foresight Technology Company Ltd Method for sampling workpiece for inspection and computer program product performing the same
TW201137547A (en) * 2010-04-26 2011-11-01 United Microelectronics Corp Process control method and process control system
CN102262188A (zh) * 2010-05-28 2011-11-30 先知科技股份有限公司 工件抽样检验的方法及其计算机程序产品
CN101963802A (zh) * 2010-08-25 2011-02-02 江苏大学 批次制程的虚拟量测方法与***
CN103943523A (zh) * 2013-01-21 2014-07-23 中芯国际集成电路制造(上海)有限公司 半导体生产过程中的抽样量测方法
CN106557540A (zh) * 2015-09-30 2017-04-05 台湾积体电路制造股份有限公司 用于晶圆与机台指派的***
TWI644190B (zh) * 2017-06-29 2018-12-11 台灣積體電路製造股份有限公司 製程系統與製程方法
CN109213086A (zh) * 2017-06-29 2019-01-15 台湾积体电路制造股份有限公司 制程***与制程方法

Also Published As

Publication number Publication date
CN112563152A (zh) 2021-03-26

Similar Documents

Publication Publication Date Title
US6643557B1 (en) Method and apparatus for using scatterometry to perform feedback and feed-forward control
US6708075B2 (en) Method and apparatus for utilizing integrated metrology data as feed-forward data
US6810296B2 (en) Correlating an inline parameter to a device operation parameter
US6773931B2 (en) Dynamic targeting for a process control system
US6248602B1 (en) Method and apparatus for automated rework within run-to-run control semiconductor manufacturing
CN100578747C (zh) 用于模型预测的动态适应性取样率
KR101380515B1 (ko) 반도체 제조의 fab 프로세스를 개선하기 위한 툴 기능의 신규한 설계
US9707660B2 (en) Predictive wafer modeling based focus error prediction using correlations of wafers
US7257459B1 (en) Method and apparatus for scheduling pilot lots
CN102737960B (zh) 用于前馈先进工艺控制的方法和***
US8239056B2 (en) Advanced process control for new tapeout product
CN112563152B (zh) 制程方法及制程***
CN109213086B (zh) 制程***与制程方法
KR101275838B1 (ko) 샘플링되지 않은 워크피스에 관한 데이터 표시
US6645780B1 (en) Method and apparatus for combining integrated and offline metrology for process control
US20210397101A1 (en) Overlay compensation method, exposure system, server and readable storage medium
US7353078B2 (en) Semiconductor wafer processing apparatus and method for processing batch of wafers having variable number of wafer lots
KR100835276B1 (ko) 반도체 장비 제어 시스템 및 제어 방법
JP2009521800A (ja) 情報信憑性に基づく改良された状態推定
US6571371B1 (en) Method and apparatus for using latency time as a run-to-run control parameter
CN112445077B (zh) 光刻机的套刻误差校正方法及***、光刻机
CN109003919B (zh) 一种晶圆制程工艺参数的反馈方法
CN115936347A (zh) 处理晶圆的方法、装置、设备、存储介质及***
US6788988B1 (en) Method and apparatus using integrated metrology data for pre-process and post-process control
US6799311B1 (en) Batch/lot organization based on quality characteristics

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant