TWI641135B - 具有磊晶結構之鰭狀場效電晶體 - Google Patents

具有磊晶結構之鰭狀場效電晶體 Download PDF

Info

Publication number
TWI641135B
TWI641135B TW103143557A TW103143557A TWI641135B TW I641135 B TWI641135 B TW I641135B TW 103143557 A TW103143557 A TW 103143557A TW 103143557 A TW103143557 A TW 103143557A TW I641135 B TWI641135 B TW I641135B
Authority
TW
Taiwan
Prior art keywords
field effect
effect transistor
epitaxial
layer
structure according
Prior art date
Application number
TW103143557A
Other languages
English (en)
Other versions
TW201622141A (zh
Inventor
張仲甫
林俊賢
翁宸毅
Original Assignee
聯華電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 聯華電子股份有限公司 filed Critical 聯華電子股份有限公司
Priority to TW103143557A priority Critical patent/TWI641135B/zh
Priority to US14/599,556 priority patent/US9666715B2/en
Publication of TW201622141A publication Critical patent/TW201622141A/zh
Application granted granted Critical
Publication of TWI641135B publication Critical patent/TWI641135B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

具有磊晶結構之場效電晶體包括鰭狀結構以及金屬閘極結構,金屬閘極結構會橫跨鰭狀結構,且包括成對設置的內凹區域,其中內凹區域會分別設置在金屬閘極結構的底部兩側。

Description

具有磊晶結構之鰭狀場效電晶體
本發明係關於一種半導體裝置,特別是關於一種具有磊晶結構之半導體裝置。
隨著場效電晶體(field effect transistors,FETs)元件尺寸持續地縮小,習知平面式(planar)場效電晶體元件之發展已面臨製程上之極限。為了克服製程限制,以非平面(non-planar)場效電晶體元件,例如多閘極場效電晶體(multi-gate MOSFET)元件及鰭式場效電晶體(fin field effect transistor,Fin FET)元件取代平面電晶體元件已成為目前之主流發展趨趨勢。由於非平面電晶體元件的立體結構可增加閘極與鰭狀結構的接觸面積,因此可進一步增加閘極對於載子通道區域的控制,從而降低小尺寸元件面臨的由源極引發的能帶降低(drain induced barrier lowering,DIBL)效應,並可以抑制短通道效應(short channel effect,SCE)。此外,相較於平面式場效電晶體元件,非平面電晶體元件在同樣的閘極長度下具有較寬的通道寬度,因而亦可提供加倍的汲極驅動電流。
為了達到上述目的,目前業界已發展出所謂的「應變矽(strained-silicon)技術」,其原理主要是使閘極通道部分的矽晶格產生應變,使載子在通過此應變之閘極通道時的遷移率增加,進而達 到使MOS電晶體運作更快的目的。在目前已知的技術中,已有使用應變矽(strained silicon)作為基底場效電晶體元件,其利用矽鍺(SiGe)或矽磷(SiP)的晶格常數與單晶矽(single crystal Si)不同的特性,使矽鍺磊晶層或矽碳磊晶層產生結構上應變而形成應變矽。此外,由於上述磊晶層一般係設置於場效電晶體元件的源/汲極區域,且磊晶層比起下方的鰭狀結構具有較大的表面積,因此其亦可用於降低源/汲極區域和相對應接觸插塞間的接觸電阻。
然而,隨著半導體元件的尺度不斷減縮,即便同時採用非平面場效電晶體元件以及應變矽技術,仍無法解決所有的技術缺失。舉例來說,對於施行置換金屬閘極製程而得的場效電晶體元件而言,在形成磊晶層之前,一般會在蝕刻位於虛置閘極結構兩側的鰭狀結構,以形成用以容納磊晶層的凹槽。然而,在蝕刻形成凹槽時,位於虛置閘極結構底部兩側的側壁子亦容易同時被移除,造成後續形成的磊晶層會穿透側壁子,並直接接觸虛置閘極結構內的虛置閘極電極。在此情況下,當完成後續的置換金屬閘極製程之後,磊晶層無法避免地會電連接至金屬閘極結構,而破壞了場效電晶體元件應有的電性表現。
有鑑於此,有必要提出一種改良的半導體裝置,已改善上述技術缺失。
根據本發明之一實施例,係提供一種具有磊晶結構之場效電晶體,其包括鰭狀結構以及金屬閘極結構。金屬閘極結構會橫跨鰭狀結構,且包括成對設置的內凹區域,內凹區域會分別設置在金屬閘極結構的底部兩側。
10‧‧‧基底
12‧‧‧第一鰭狀結構
12a‧‧‧頂面
12b‧‧‧側面
14‧‧‧第二鰭狀結構
16‧‧‧淺溝絕緣結構
18‧‧‧第一電晶體區
20‧‧‧第二電晶體區
22‧‧‧第一閘極結構
24‧‧‧第二閘極結構
26‧‧‧閘極電極
28‧‧‧硬遮罩
30‧‧‧虛置閘極
32‧‧‧閘極介電層
36‧‧‧第一側壁子
38‧‧‧第一磊晶層
40‧‧‧第二硬遮罩
42‧‧‧第一側壁子
44‧‧‧第二磊晶層
46‧‧‧第二側壁子
50‧‧‧源極/汲極區域
52‧‧‧源極/汲極區域
54‧‧‧接觸洞蝕刻停止層
56‧‧‧層間介電層
58‧‧‧金屬閘極
60‧‧‧高介電常數介電層
62‧‧‧閘極金屬層
64‧‧‧遮蓋層
70‧‧‧矽化金屬層
72‧‧‧接觸插塞
261‧‧‧側壁
261a‧‧‧上部垂直區域
261b‧‧‧傾斜區域
261c‧‧‧下部垂直區域
262‧‧‧交界
381‧‧‧第一凹槽
441‧‧‧第二凹槽
R‧‧‧區域
X‧‧‧第一軸向
Y‧‧‧第二軸向
Z‧‧‧第三軸向
第1圖至第10圖是根據本發明實施例所繪示之鰭狀場效電晶體的製作方法示意圖。
於下文中,係加以陳述本發明之半導體裝置之具體實施方式,以使本技術領域中具有通常技術者可據以實施本發明。該些具體實施方式可參考相對應的圖式,使該些圖式構成實施方式之一部分。雖然本發明之實施例揭露如下,然而其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明之精神和範疇內,當可作些許之更動與潤飾。
如第1圖所示,首先提供一基底10,例如一矽基底或矽覆絕緣(SOI)基板,其上定義有一第一電晶體區18,例如PMOS電晶體區,與一第二電晶體區20,例如NMOS電晶體區。基底10上具有至少一第一鰭狀結構12、至少一第二鰭狀結構14及一淺溝絕緣結構16。鰭狀結構12、14之底部區域會被淺溝絕緣結構,例如氧化矽,所環繞,且第一鰭狀結構12以及第二鰭狀結構14的部份區段會分別被一第一閘極結構22與一第二閘極結構24覆蓋。第一閘極結構22與第二閘極結構24分別包含一閘極電極26與一硬遮罩28設於閘極電極26上,且第一閘極結構22與第二閘極結構24旁可選擇性設置複數個虛置閘極。在後續製得的電晶體元件中,鰭狀結構12、14被閘極電極26間的重疊區域可以作為載子流通之通道。其中,各鰭狀結構12、14內具有至少二互相平行之載子通道區域,各載子通道區域具有實質上相等的載子通道長度。
上述第一鰭狀結構12及第二鰭狀結構14之形成方式可以包含先形成一圖案化遮罩(圖未示)於基底10上,再經過一蝕刻製程,將圖案化遮罩之圖案轉移至基底10中。接著,對應三閘極電晶體元件及雙閘極鰭狀電晶體元件結構特性的不同,而可選擇性去除或留下圖案化遮罩,並利用沈積、化學機械研磨(chemical mechanical polishing,CMP)及回蝕刻製程而形成一環繞各鰭狀結構12、14底部之絕緣層16。除此之外,第一鰭狀結構12及第二鰭狀結構14之形成方式另也可以是先製作一圖案化硬遮罩層(圖未示)於基底10上,並利用磊晶製程於暴露出於圖案化硬遮罩層之基底10上成長出半導體層,此半導體層即可作為相對應的鰭狀結構12、14。同樣的,另可以選擇性去除或留下圖案化硬遮罩層,並透過沈積、CMP及回蝕刻製程形成一絕緣層16以包覆住鰭狀結構12、14之底部。另外,當基底10為矽覆絕緣(SOI)基板時,則可利用圖案化遮罩來蝕刻基底上之一半導體層,並停止於此半導體層下方的一底氧化層以形成各鰭狀結構,故可省略前述製作絕緣層16的步驟。
閘極電極26與鰭狀結構12、14之間另分別包括一閘極介電層32。其中,閘極電極26之材質較佳包含摻雜或非摻雜多晶矽,但不排除可選自金屬矽化物或金屬等材料,而閘極介電層32的材質在本實施例中較佳包含矽化物層,例如氧化矽(SiO)、氮化矽(SiN)或氮氧化矽(SiON),但不排除可選自高介電常數的介電材料。
接著,施行一過蝕刻製程,以蝕刻各閘極電極26的兩側側壁261,以於各閘極電極的底部側壁261內形成內凹區域,以暴露出原先被各閘極電極26覆蓋住的鰭狀結構12、14,而獲得如第2 圖所示之結構。其中,第2圖是對應第1圖內區域R所繪示的立體圖。在此製程階段,閘極電極26的側壁261由下至上會包括:下部垂直區域261c、傾斜區域261b以及上部垂直區域261a,其中,下部垂直區域261c、傾斜區域261b以及上部垂直區域261a均會沿著第一軸向X延伸。具體而言,下部垂直區域261c以及上部垂直區域261a均為垂直面,而傾斜區域261b係為沿著第三軸向Z由下往上向外傾斜的傾斜面,因此,閘極電極26平行於第二軸向Y的寬度會由下往上漸增。此外,下部垂直區域261c和傾斜區域261b間會具有一交界262,此交界262較佳會切齊鰭狀結構12的頂面12a。需注意的是,鰭狀結構12的部份側面12b仍會被閘極電極26覆蓋,而不會完全暴露出於閘極電極26之外。
然後,於第一電晶體區18和第二電晶體區20內全面性且順向性地形成一第一硬遮罩(圖未示),以覆蓋第一閘極結構22、第二閘極結構24、鰭狀結構12、14以及淺溝絕緣結構16。其中,第一硬遮罩是選自碳化矽(SiC)、氮氧化矽(SiON)、氮化矽(SiN)、氮碳化矽(SiCN)以及氮硼化矽(SiBN)等所構成的群組,但不侷限於此。
接著,如第3圖所示,於第二電晶體區20形成一圖案化光阻層(圖未示)。之後,以此圖案化光阻層作為遮罩進行一蝕刻製程,以去除第一電晶體區18內之部分第一硬遮罩,而於第一閘極結構22周圍形成一第一側壁子36,並同時於第一閘極結構22旁之第一鰭狀結構12內形成一第一凹槽381。
接著,如第4圖所示,於去除第二電晶體區20之圖案化光阻層後進行一選擇性磊晶成長製程,以於該第一凹槽381內形成 一由矽鍺或其他晶格常數大於下方鰭狀結構12的材料所構成的第一磊晶層38,致使第一磊晶層38可以被設置在第一閘極結構22兩側。需注意的是,由於第一側壁子36會順向性地覆蓋住閘極結構側壁的上部垂直區域261a、傾斜區域261b以及下部垂直區域261c,因此,相較於位於傾斜區域261b表面的第一側壁子36,位於下部垂直區域261c表面的第一側壁子36會顯得更內縮。在此情況下,在形成第一凹槽381的過程中,位於下部垂直區域261c表面的第一側壁子36不易被蝕刻劑蝕除,因而可以確保閘極電極26不會暴露出於第一側壁子36之外。換言之,第一側壁子36便可將第一磊晶層38分離於閘極電極的側壁261,致使第一磊晶層38不會直接接觸閘極電極的側壁261。又,由於位於下部垂直區域261c表面的第一側壁子36會更內縮,因此比起習知垂直分佈的側壁子而言,本實施例的第一磊晶層38可具有較大的分佈空間。換言之,第一磊晶層38的頂面、側面及/或底面均可以是凸面,且第一磊晶層38較佳會具有近似球面的輪廓。
然後,於第一電晶體區18和第二電晶體區20內全面性形成一第二硬遮罩40,以覆蓋第一閘極結構22與第二閘極結構24。依據本發明之較佳實施例,第二硬遮罩是選自由碳化矽(SiC)、氮氧化矽(SiON)、氮化矽(SiN)、氮碳化矽(SiCN)以及氮硼化矽(SiBN)等所構成的群組,但不侷限於此。
接著,如第5圖所示,於第一電晶體區18形成一圖案化光阻層(圖未示)。之後,以此圖案化光阻層作為遮罩進行一蝕刻製程,以完全去除第二電晶體區20之第二硬遮罩,而留下位於第一電晶體區18之第二硬遮罩40。之後,利用相同或不同的蝕刻劑,蝕 刻第二電晶體區20之第一硬遮罩,而於第二閘極結構24周圍形成另一第一側壁子42,並同時於第二閘極結構24旁之第二鰭狀結構14內形成第二凹槽441。
類似上述位於第一電晶體區18內的閘極電極26以及第一磊晶層44,此時位於第二電晶體區20內閘極電極26的側壁261由下至上亦會包括:下部垂直區域261c、傾斜區域261b以及上部垂直區域261a。具體而言,下部垂直區域261c以及上部垂直區域261a均為垂直面,而傾斜區域261b係為由下往上向外傾斜的傾斜面。需注意的是,鰭狀結構14的部份側面仍會被閘極電極26覆蓋,而不會完全暴露出於閘極電極26之外。
然後,去除第一電晶體區18之圖案化光阻層,並再進行一選擇性磊晶成長製程,以於第二凹槽441內形成一由磷化矽(SiP)等晶格常數小於下方鰭狀結構14的材料所構成的第二磊晶層44,致使第二磊晶層44可以被設置在第二閘極結構24兩側。需注意的是,由於在形成第二磊晶層44的過程中,第一磊晶層38的頂面仍會被第二硬遮罩40覆蓋住,因此可以避免第二磊晶層44形成在第一磊晶層38的頂面。
需注意的是,由於第一側壁子42會順向性地覆蓋住閘極結構側壁的上部垂直區域261a、傾斜區域261b以及下部垂直區域261c,因此,相較於位於傾斜區域261b表面的第一側壁子42,位於下部垂直區域261c表面的第一側壁子42會顯得更內縮。在此情況下,在形成第二凹槽441的過程中,位於下部垂直區域261c表面的第一側壁子42不易被蝕刻劑蝕除,因而可以確保閘極電極26不 會暴露出於第一側壁子42之外。換言之,第一側壁子42便可將第二磊晶層44分離於閘極電極的側壁261,致使第二磊晶層44不會直接接觸閘極電極的側壁261。又,由於位於下部垂直區域261c表面的第一側壁子42會更內縮,因此比起習知垂直分佈的側壁子而言,本實施例的第二磊晶層44可具有較大的分佈空間。換言之,第二磊晶層44的頂面、側面及/或底面均可以是凸面,且第二磊晶層44較佳會具有近似球面的輪廓。
接著,如第6圖所示,可以施行適當的沉積以及蝕刻製程,以於第一閘極結構22與第二閘極結構24周圍形成第二側壁子46,並同時移除位於覆蓋於第一磊晶層38頂面的第二硬遮罩40。其中,形成第二側壁子46之步驟可比照上述形成第一側壁子36、42之步驟,在此不另加贅述。需注意的是,本實施例雖直接於第一側壁子36、42側壁形成第二側壁子46,但不侷限於此作法,又可選擇於形成第二側壁子46之前先從第一閘極結構22與第二閘極結構24去除第一側壁子36、42,此實施例也屬本發明所涵蓋的範圍。
然後如第7圖所示,分別對第一電晶體區18及第二電晶體區20進行離子佈植,以於第一磊晶層38和第二磊晶層44內形成源極/汲極區域。其製程可例如是:先覆蓋一圖案化光阻層(圖未示)於第二電晶體區20並對第一電晶體區18進行一P型離子佈植製程,以於第一閘極結構22兩旁的第一磊晶層38中形成一源極/汲極區域50。接著去除第二電晶體區20的圖案化光阻層,形成另一圖案化光阻層(圖未示)於第一電晶體區18並對第二電晶體區20進行一N型離子佈植,以於第二閘極結構24兩旁的第二磊晶層44中形成另一源極/汲極區域52,然後去除第一電晶體區18的圖案化光阻 層。
然後如第8圖所示,先沈積一接觸洞蝕刻停止層(contact etch stop layer,CESL)54,以覆蓋第一電晶體區18與第二電晶體區20的第一閘極結構22、第二閘極結構24與第二側壁子46。接著進行一流體化學氣相沉積(flowable chemical vapor deposition,FCVD)製程以形成一層間介電層56並全面性覆蓋接觸洞蝕刻停止層54。隨後進行一平坦化製程,例如一化學機械研磨(chemical mechanical polishing,CMP)製程,去除部分層間介電層56、接觸洞蝕刻停止層54以及硬遮罩28,使第一閘極結構22與第二閘極結構24中由多晶矽所構成的閘極電極26頂部約略切齊於層間介電層56表面而受到裸露。
如第9圖所示,進行一置換金屬閘極(replacement metal gate,RMG)製程,其製程可包括:先移除第一電晶體區18與第二電晶體區20內的閘極電極26,以留下一溝渠(圖未示)。之後將高介電常數介電層60、功函數層(圖未示)以及閘極金屬層62填入溝渠中,以形成金屬閘極58。需注意的是,由於在移除閘極電極26之後所留下之溝渠會具有一由下往上漸寬的剖面輪廓,因此高介電常數介電層60、功函數層以及閘極金屬層62可以更容易被填入各溝渠的底部,致使金屬閘極58內不會產生空隙缺陷。需注意的是,由於金屬閘極58的底部寬度會小於其頂部寬度,且金屬閘極58的底部寬度係用以定義出載子通道長度。在此情況下,比起習知具有垂直側壁的金屬閘極而言,本實施例具有內縮側壁的金屬閘極可以提供的更小的載子通道長度。
依據本發明之較佳實施例,置換金屬閘極製程包括先閘極(gate first)製程、後閘極(gate last)製程之先閘極介電層(high-k first)製程以及後閘極製程之後閘極介電層(high-k last)製程等。本實施例係以後閘極製程之後閘極介電層製程所形成的電晶體為例,故高介電常數介電層60較佳為一具有U型剖面之高介電常數介電層,其材料包含介電常數大於4的介電材料,例如係選自氧化鉿(hafnium oxide,HfO2)、矽酸鉿氧化合物(hafnium silicon oxide,HfSiO4)、矽酸鉿氮氧化合物(hafnium silicon oxynitride,HfSiON)、氧化鋁(aluminum oxide,Al2O3)、氧化鑭(lanthanum oxide,La2O3)、氧化鉭(tantalum oxide,Ta2O5)、氧化釔(yttrium oxide,Y2O3)、氧化鋯(zirconium oxide,ZrO2)、鈦酸鍶(strontium titanate oxide,SrTiO3)、矽酸鋯氧化合物(zirconium silicon oxide,ZrSiO4)、鋯酸鉿(hafnium zirconium oxide,HfZrO4)、鍶鉍鉭氧化物(strontium bismuth tantalate,SrBi2Ta2O9,SBT)、鋯鈦酸鉛(lead zirconate titanate,PbZrxTi1-xO3,PZT)、鈦酸鋇鍶(barium strontium titanate,BaxSr1-xTiO3,BST)、或其組合所組成之群組。功函數層係用以調整形成的金屬閘極58之功函數,使其適用於N型電晶體(NMOS)或P型電晶體(PMOS)。若電晶體為N型電晶體,功函數層可選用功函數為3.9電子伏特(eV)~4.3eV的金屬材料,如鋁化鈦(TiAl)、鋁化鋯(ZrAl)、鋁化鎢(WAl)、鋁化鉭(TaAl)、鋁化鉿(HfAl)或TiAlC(碳化鈦鋁)等,但不以此為限;若電晶體為P型電晶體,功函數層可選用功函數為4.8eV~5.2eV的金屬材料,如氮化鈦(TiN)、氮化鉭(TaN)或碳化鉭(TaC)等,但不以此為限。閘極金屬層62則可選自銅(Cu)、鋁(Al)、鎢(W)、鈦鋁合金(TiAl)、鈷鎢磷化物(cobalt tungsten phosphide,CoWP)等低電阻材料或其組合。
如第10圖所示,接著形成一遮蓋層64於金屬閘極58上,其中遮蓋層64較佳由氧化物所構成,但不侷限於此。然後以一道微影暨蝕刻(1P1E)或兩道微影暨蝕刻(2P2E)製程於遮蓋層64及層間介電層56中形成複數個接觸洞(圖未示)並暴露出第一磊晶層38及第二磊晶層44。
隨後,進行一矽化金屬製程,例如可先填入一由鈷(Co)、鈦(Ti)及/或鎳(Ni)或鉑鎳合金(NiPt)等金屬材料所構成的金屬層(圖未示)於接觸洞中,然後搭配進行一快速升溫退火(RTA)製程,以於第一磊晶層38和第二磊晶層44的表面內形成矽化金屬層70。
之後,仍如第10圖所示,在各接觸洞中形成接觸插塞72。形成接觸插塞72的方法,例如先在基底10上依序形成一阻障/黏著層(圖未示)、一晶種層(圖未示)以及一導電層(圖未示)覆蓋遮蓋層64並填入接觸洞,其中阻障/黏著層係共形地(conformally)填入接觸洞中,且導電層係完全填滿接觸洞。阻障/黏著層可用來避免導電層之金屬原子擴散至周圍的遮蓋層64中以及增加導電層與遮蓋層64之間的附著力。阻障/黏著層的材料例如是鉭(Ta)、鈦(Ti)、氮化鈦(TiN)、鉭化鈦(TaN)、氮化鎢(WN)或是其任意組合例如鈦/氮化鈦所構成,但並不以此為限。晶種層之材料係較佳地與導電層的材料相同,導電層的材料包含各種低電阻金屬材料,例如是鋁(Al)、鈦(Ti)、鉭(Ta)、鎢(W)、鈮(Nb)、鉬(Mo)、銅(Cu)等材料,較佳是鎢或銅,最佳是鎢,以和矽化金屬層70或下方的源極/汲極區域50、52形成適當的歐姆接觸(Ohmic contact)。然後,進行一平坦化製程例如化學機械研磨(CMP)製程、蝕刻製程或是兩者的結合,去除接觸洞以外區域之阻障/黏著層、晶種層與導電層,使剩餘的導電層之一表面 與遮蓋層64之一表面共平面,至此完成複數個接觸插塞72及本發明較佳實施例之鰭狀場效電晶體的製作。
以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。

Claims (14)

  1. 一種具有磊晶結構之場效電晶體,包括:一鰭狀結構,設置在一半導體基板上;以及一金屬閘極結構,橫跨該鰭狀結構,其中該金屬閘極結構包括成對設置的二內凹區域,分別設置在該金屬閘極結構的底部兩側,其中各該內凹區域由下至上包括一垂直區域以及一傾斜區域。
  2. 如請求項1所述的具有磊晶結構之場效電晶體,其中該金屬閘極結構係重疊於該鰭狀結構的部份區段。
  3. 如請求項1所述的具有磊晶結構之場效電晶體,該金屬閘極結構另包括另一垂直區域,設置於該傾斜區域之上。
  4. 如請求項1所述的具有磊晶結構之場效電晶體,更包括一側壁子,順向性地覆蓋住該垂直區域以及該傾斜區域。
  5. 如請求項4所述的具有磊晶結構之場效電晶體,其中覆蓋住該垂直區域的該側壁子相較於覆蓋住該傾斜區域的該側壁子會更內縮。
  6. 如請求項1所述的具有磊晶結構之場效電晶體,其中該垂直區域和該傾斜區域間具有一交界,該交界係位於該鰭狀結構的一頂面上。
  7. 如請求項1所述的具有磊晶結構之場效電晶體,其中該金屬閘極結構、該垂直區域以及該傾斜區域均沿著一第一軸向延伸。
  8. 如請求項1所述的具有磊晶結構之場效電晶體,另包括二磊晶結構,分別設置在該金屬閘極結構的兩側,其中各該磊晶結構的部份區域會被設置於對應的各該內凹區域內。
  9. 如請求項8所述的具有磊晶結構之場效電晶體,其中各該磊晶結構的頂面係為一凸面。
  10. 如請求項8所述的具有磊晶結構之場效電晶體,其中各該磊晶結構的底面和側面均為凸面。
  11. 如請求項8所述的具有磊晶結構之場效電晶體,其中各該磊晶結構係具有一球面。
  12. 如請求項1所述的具有磊晶結構之場效電晶體,其中該鰭狀結構內具有至少二互相平行之載子通道區域,各該載子通道區域具有實質上相等的載子通道長度。
  13. 如請求項1所述的具有磊晶結構之場效電晶體,更包括一側壁子,順向性地覆蓋住該金屬閘極結構。
  14. 如請求項1所述的具有磊晶結構之場效電晶體,其中該金屬閘極結構由下至上包括一閘極介電層、一功函數層以及一閘極金屬層。
TW103143557A 2014-12-12 2014-12-12 具有磊晶結構之鰭狀場效電晶體 TWI641135B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW103143557A TWI641135B (zh) 2014-12-12 2014-12-12 具有磊晶結構之鰭狀場效電晶體
US14/599,556 US9666715B2 (en) 2014-12-12 2015-01-19 FinFET transistor with epitaxial structures

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW103143557A TWI641135B (zh) 2014-12-12 2014-12-12 具有磊晶結構之鰭狀場效電晶體

Publications (2)

Publication Number Publication Date
TW201622141A TW201622141A (zh) 2016-06-16
TWI641135B true TWI641135B (zh) 2018-11-11

Family

ID=56111980

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103143557A TWI641135B (zh) 2014-12-12 2014-12-12 具有磊晶結構之鰭狀場效電晶體

Country Status (2)

Country Link
US (1) US9666715B2 (zh)
TW (1) TWI641135B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI724611B (zh) * 2018-11-19 2021-04-11 台灣積體電路製造股份有限公司 積體電路裝置及其形成方法

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI629790B (zh) * 2015-01-26 2018-07-11 聯華電子股份有限公司 半導體元件及其製作方法
CN106960844B (zh) * 2016-01-11 2021-05-18 联华电子股份有限公司 半导体元件及其制作方法
US10147649B2 (en) 2016-05-27 2018-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with gate stack and method for forming the same
US10164032B2 (en) 2016-06-17 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact and manufacturing method thereof
CN107564953B (zh) * 2016-07-01 2021-07-30 中芯国际集成电路制造(上海)有限公司 变容晶体管及其制造方法
CN107623034B (zh) * 2016-07-15 2020-09-11 中芯国际集成电路制造(上海)有限公司 一种半导体器件及制备方法、电子装置
KR102310079B1 (ko) 2017-03-03 2021-10-08 삼성전자주식회사 반도체 소자
TWI736644B (zh) 2017-06-29 2021-08-21 聯華電子股份有限公司 場效電晶體及元件
US10748774B2 (en) * 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10515955B1 (en) * 2018-05-29 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing transistor gate structures by local thinning of dummy gate stacks using an etch barrier
KR102574323B1 (ko) 2018-07-23 2023-09-05 삼성전자주식회사 반도체 장치
US11482421B2 (en) * 2019-10-29 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a semiconductor device by a replacement gate process
DE102020108047B4 (de) 2019-10-31 2024-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Finnen-feldeffekttransistor-bauelement und verfahren zum bilden desselben
US11309403B2 (en) 2019-10-31 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US12020948B2 (en) * 2021-07-16 2024-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improved polysilicon etch dimensional control

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200715528A (en) * 2005-06-30 2007-04-16 Intel Corp Block contact architectures for nanoscale channel transistors
US20130087856A1 (en) * 2011-10-05 2013-04-11 International Business Machines Corporation Effective Work Function Modulation by Metal Thickness and Nitrogen Ratio for a Last Approach CMOS Gate
TW201318170A (zh) * 2011-07-27 2013-05-01 Advanced Ion Beam Tech Inc 替換源極/汲極鰭片式場效電晶體(finfet)之製造方法
TW201436228A (zh) * 2013-01-02 2014-09-16 Samsung Electronics Co Ltd 場效電晶體

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000034984A2 (en) * 1998-12-07 2000-06-15 Intel Corporation Transistor with notched gate
US8828850B2 (en) * 2010-05-20 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing variation by using combination epitaxy growth
US20130193513A1 (en) 2012-02-01 2013-08-01 International Business Machines Corporation Multi-Gate Field Effect Transistor with a Tapered Gate Profile
US8530317B1 (en) * 2012-08-16 2013-09-10 Taiwan Semiconductor Manufacturing Co., Ltd. Corner rounding to improve metal fill in replacement gate process

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200715528A (en) * 2005-06-30 2007-04-16 Intel Corp Block contact architectures for nanoscale channel transistors
TW201318170A (zh) * 2011-07-27 2013-05-01 Advanced Ion Beam Tech Inc 替換源極/汲極鰭片式場效電晶體(finfet)之製造方法
US20130087856A1 (en) * 2011-10-05 2013-04-11 International Business Machines Corporation Effective Work Function Modulation by Metal Thickness and Nitrogen Ratio for a Last Approach CMOS Gate
TW201436228A (zh) * 2013-01-02 2014-09-16 Samsung Electronics Co Ltd 場效電晶體

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI724611B (zh) * 2018-11-19 2021-04-11 台灣積體電路製造股份有限公司 積體電路裝置及其形成方法
US11296077B2 (en) 2018-11-19 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with recessed silicon cap and method forming same

Also Published As

Publication number Publication date
US9666715B2 (en) 2017-05-30
TW201622141A (zh) 2016-06-16
US20160172496A1 (en) 2016-06-16

Similar Documents

Publication Publication Date Title
TWI641135B (zh) 具有磊晶結構之鰭狀場效電晶體
US11824057B2 (en) Semiconductor device with fin-type field effect transistor
US8765546B1 (en) Method for fabricating fin-shaped field-effect transistor
TWI722073B (zh) 半導體元件及其製作方法
TWI728139B (zh) 半導體元件及其製作方法
US8981487B2 (en) Fin-shaped field-effect transistor (FinFET)
CN106803484B (zh) 半导体元件及其制作方法
US9472638B2 (en) FinFETs with multiple threshold voltages
US9184100B2 (en) Semiconductor device having strained fin structure and method of making the same
CN116705613A (zh) 半导体元件及其制作方法
US11830878B2 (en) Structure and method for gate-all-around metal-oxide-semiconductor devices with improved channel configurations
TWI804632B (zh) 半導體元件及其製作方法
TWI761529B (zh) 半導體元件及其製作方法
US9711505B2 (en) Semiconductor devices having dummy gate structure for controlling channel stress
CN106158857B (zh) 半导体元件及其制作方法
TW201725628A (zh) 半導體元件及其製作方法
TWI612666B (zh) 一種製作鰭狀場效電晶體的方法
TWI776911B (zh) 半導體元件及其製作方法
TW201642324A (zh) 半導體元件及其製作方法
TW202339000A (zh) 半導體元件及其製作方法