TWI621957B - 使用點擊最佳化的次解析度輔助特徵實現方式 - Google Patents

使用點擊最佳化的次解析度輔助特徵實現方式 Download PDF

Info

Publication number
TWI621957B
TWI621957B TW103108460A TW103108460A TWI621957B TW I621957 B TWI621957 B TW I621957B TW 103108460 A TW103108460 A TW 103108460A TW 103108460 A TW103108460 A TW 103108460A TW I621957 B TWI621957 B TW I621957B
Authority
TW
Taiwan
Prior art keywords
shape
clicks
computer
click
mask
Prior art date
Application number
TW103108460A
Other languages
English (en)
Other versions
TW201447620A (zh
Inventor
麥可 羅倫斯 理格爾
湯馬斯 克里斯多福 塞西爾
班哲明 大衛 潘特
Original Assignee
新納普系統股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 新納普系統股份有限公司 filed Critical 新納普系統股份有限公司
Publication of TW201447620A publication Critical patent/TW201447620A/zh
Application granted granted Critical
Publication of TWI621957B publication Critical patent/TWI621957B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

一種用於半導體晶片之設計佈局,包括關於期望製造的形狀之資訊。產生光刻曝光“點擊”的群集並對其測量點擊密度,當在晶圓製造期間曝光時,以粗略估計產生所需製造形狀之光罩形狀。模擬係在點擊群集上進行,以估計該等點擊群集建立所形成的製造形狀。修改該等點擊群集,以使所估計之製造形狀與所需製造形狀匹配得更加緊密。模擬及修改該等點擊的過程係反覆運算,一直重複,直到該估計製造形狀在該計畫製造形狀的一所需誤差差異範圍內。

Description

使用點擊最佳化的次解析度輔助特徵實現方式
本創作大致上關於半導體設計,並且更具體而言,關於在半導體元件的製造使用的光罩產生。
積體電路之設計及製造包括很多不同步驟,其中很多步驟通常是利用在電腦上進行的電子設計自動化(electronic design automation,EDA)工具執行的。數百萬甚至是上億電晶體常常可以存在於一半導體晶片上。每個電晶體都是由多種形狀組成,每種形狀都用於一項特定功能,如擴散、多晶矽、接觸、金屬化以及其他結構。可建立其他結構,以連接該等電晶體,或者形成其他電路元件,例如電容器。完成該設計過程中的各個步驟需要瞭解製造過程之限制。對形狀進行設計的方式必須物理地在一電路上製造該等形狀後,使得該電子電路正確地並且依據說明書所述而作用。
在每個半導體晶片上,有許多尺寸很小且由不同之彼此非常接近的各種材料組成之結構。該等結構各自具有一所需形狀,在很多情況下可以為曼哈頓形狀(即具有正交邊緣的多邊形),但是還可以為任何任意形狀。該等結構係利用很多不同技術而形成的。光刻法通常用於在該晶片中建立多個結構。一種用於在晶片的特殊層上形成一組結構的光刻技術,係先沈積一層材料,然後再用一感光材料塗覆該層。光罩被蝕刻為各式各樣的形狀,該等形狀被設計 成用於促進在該感光層上選擇性地曝光某些形狀。然後,光線照射通過該光罩,使該感光材料中未被該光罩中之形狀遮蔽的那些區域曝光。然後,該感光材料的曝光區域以及在有些情況下置於該等曝光區域下方的那層材料會被蝕刻掉。在除去未曝光的感光材料之後,剩餘的即為所需結構。雖然這係可能的一半導體製造技術,但是很多其他技術都可用於在該半導體晶片中形成多個結構。該等技術中的很多技術都使用光罩,其中該等光罩可以包括一個或多個用於間接地或直接地在該晶片上建立該等結構的形狀。該光罩上的該等形狀可以代表或者可以不代表實際結構形態的形狀;它們可以具有由該製造過程規定的形狀以及有待形成之該等結構的所需形狀。
隨著技術的進步,建立尺寸越來越小而且稱為特徵尺寸的半導體已經成為了基於光罩之光刻製造過程必不可少的一部分。因為光刻使用電磁輻射(electromagnetic radiation,EMR),例如可見光或紫外線,來有選擇地通過光罩來曝光該晶片的多個區域,EMR的波長直接限制可能的特徵尺寸。例如,如果所需特徵的尺寸小於所使用之EMR的波長,則該光罩與該EMR之間可能存在不利的相互作用,這可影響曝光區域的實際形狀並導致出現不同於該光罩上的形狀的曝光形狀。為了適應這一點擊,最終曝光區域的所需形狀可用於產生光罩構件的所需形狀,這會不同於最終曝光區域的所需形狀。在一些情況下,這可以建立具有複雜的非直線形狀之光罩構件。
半導體晶片的佈局包括多種所需製造形狀。受點擊密度的控制,可以產生多個點擊群集,以粗略估計可用於產生所需製造形狀的一估計光罩形狀。然後,利用該等點擊群集進行模擬,以估計出如果暴露的話該等點擊 群集將會建立的製造形狀。然後可以修改該等點擊群集,以使所估計的製造形狀與所需製造形狀匹配得更加緊密。點擊的模擬及修改過程可以重複,直到該等估計的製造形狀在所需製造形狀的一可接收誤差差異範圍內為止。本發明揭露了一種用於形狀分析的電腦實現之方法,包括:獲得一半導體設計佈局;確定與該半導體設計佈局相對應的一所需製造形狀;評估該半導體設計佈局以確定多個光罩形狀;建立針對用於形成該等光罩形狀的多個點擊的一點擊密度;利用該等點擊粗略估計該等光罩形狀;基於該等點擊估計一所形成的製造半導體佈局;以及修改該等點擊,以使該所形成的製造半導體佈局更接近該所需製造形狀。該方法可進一步包括:獲得代表用於該等光罩形狀之多個輔助形狀的一預定點擊群集函式庫。包括一個或多個光罩形狀的多個預定點擊群集可包括多個字形。該方法可進一步包括:基於該等點擊控制一形狀可變光束。該方法可進一步包括:確定該等點擊的一所需最小點擊構型。本發明之另一目的為提供一種能夠多方面提供LED元件狀態資訊的檢測儀及檢測方法。該方法可進一步包括:將多個字形索引從一光學鄰近校正(optical proximity correction,OPC)工具上傳輸到一光罩寫入器,其中該等字形索引以該等點擊為基礎。
在一些具體實施例中,一種用於形狀分析的電腦實現之方法包括:建立針對用於產生多個光罩形狀的多個點擊之點擊密度;基於該點擊密度,利用多個點擊粗略估計該等光罩形狀;基於該等點擊估計一所形成的製造半導體佈局;以及修改該等點擊,以使該所形成的製造半導體佈局更接近一所需半導體製造形狀。在多個具體實施例中,一種用於形狀分析的電腦系統包括:一記憶體,儲存多條指令;一個或多個處理器,與該記憶體耦合,其中該一個或多個處理器被配置成用於:獲得一半導體設計佈局;確定與該半導體設計佈局 相對應的一所需製造形狀;評估該半導體設計佈局以確定多個光罩形狀;建立針對用於形成該等光罩形狀的多個點擊之點擊密度;利用該等點擊粗略估計多個光罩形狀;基於該等點擊估計一所形成的製造半導體佈局;以及修改該等點擊,以使該所形成的製造半導體佈局更接近該所需製造形狀。在一些具體實施例中,一種嵌入在非瞬態電腦可讀介質的用於形狀分析的電腦程式產品包括:用於獲得一半導體設計佈局的代碼;用於確定與該半導體設計佈局相對應的一所需製造形狀之代碼;用於評估該半導體設計佈局以確定多個光罩形狀之代碼;用於建立針對用於產生該等光罩形狀的多個點擊之點擊密度之代碼;用於利用該等點擊粗略估計多個光罩形狀之代碼;用於基於該等點擊估計一所形成的製造半導體佈局之代碼;以及用於修改該等點擊以使該所形成的製造半導體佈局更接近該所需製造形狀之代碼。
各種具體實施例的各種特徵、態樣及及優點將從以下進一步的描述中變得更為清晰。
100~480‧‧‧步驟
500‧‧‧示例形狀
510‧‧‧起始光罩形狀
512~522‧‧‧點擊
530‧‧‧新的光罩形狀
532~542‧‧‧點擊
600‧‧‧示例形狀
602‧‧‧主要形狀
604‧‧‧次解析度輔助特徵形狀
610,612‧‧‧字形
614‧‧‧矩形字形
616,618‧‧‧字形
620‧‧‧曲線字形
622‧‧‧曲線字形
630‧‧‧形狀
632‧‧‧主形狀
634‧‧‧矩形形狀
636‧‧‧第二曲線形狀
638‧‧‧曲線形狀
700~990‧‧‧步驟
1000‧‧‧系統
1010‧‧‧處理器
1012‧‧‧記憶體
1014‧‧‧顯示器
1020‧‧‧佈局
1030‧‧‧估計模組
1040‧‧‧輔助形狀
1050‧‧‧選擇模組
1060‧‧‧粗略估計模組
1070‧‧‧估計模組
藉由參考以下附圖可以理解某些具體實施例的詳細描述如下,其中:第1圖係點擊最佳化光罩合成之流程圖。
第2圖係點擊最佳化之流程圖。
第3圖係輔助特徵確定之流程圖。
第4圖係點擊分析及儲存之流程圖。
第5圖示出了證明光罩寫入器點擊操作之示例形狀。
第6圖示出了不同字形及用途之示例。
第7圖係示出來自多邊形的點擊產生之流程圖。
第8圖係示出點擊最佳化之流程圖。
第9圖係ILT/OPC使用之流程圖。
第10圖係點擊最佳化之系統圖。
許許多多的半導體元件都可用於建構積體電路(integrated circuit,IC),還可以被稱為電子設計、半導體晶片或者僅僅被稱為晶片。隨著元件數量的不斷增加,各個元件的實際尺寸或“特徵尺寸”不斷減小。穩定或成熟的製造過程中的最小特徵尺寸通常小於可見光甚至是紫外線的波長。很多先進技術都是利用基於光刻及化學處理的過程而製造的。但是,成功的光學光刻法變得越來越難以實現。
設計師們延伸當前可用的可見光波長的實用性的一方式係藉由謹慎地控制光罩形狀。先進製程視窗(process-window)最佳化方法,例如反向光刻技術(inverse lithography technology,ILT)適用於產生了包括許多原始光罩寫入器特徵(稱為“點擊”)的連接光罩形狀。當光罩寫入時間與已寫入特徵的數量成正比而且超過50%的光罩成本與寫入時間成正比時,減少所需點擊數降低了光罩成本,並增加了周轉時間。
在此描述之方法反覆地最佳化該等點擊,以產生多個建立製造特徵形狀的光罩,該等形狀與該設計的所需特徵形狀匹配得更加緊密。ILT及/或光學鄰近校正(OPC)技術可用在最佳化迴路中,以修改該等點擊。在一些實施方式中,可以允許該等點擊相互重疊,從而允許進一步的最佳化。把點擊建立放入OPC/ILT迴路中能使最大的製程視窗光罩構型具有最小數量的點擊。換言 之,並非係操作多邊形邊緣,正如在傳統的OPC/ILT中,所公開的OPC-ILT迴路能操作光罩寫入器點擊的大小與形狀。此外,ILT/OPC光刻模型可以隨著能預測點擊構型的光罩佈局之光罩類比模型而增大。然後,光罩類比模型的輸出可以提供給一晶圓光刻類比模型,以產生對該晶圓圖像最佳化程式的回授。
在此描述的方法利用事實如下:對於任何形狀情況來說,通常有一系列光罩形狀,該等形狀在該光學光刻系統的限制條件內產生相同的最佳效能。首先藉由將該點擊密度限定在一預定值可確定一成本固定的最佳化結果品質(quality of result,QoR)解決方案。反過來,可以規定一使用者指定的QoR目標,並且可藉由該最佳化迴路求解該所需最小點擊構型。在所有狀況中,繞過作為光罩佈局圖案的中間代表的多邊形允許點擊構型最佳化並重疊,從而增加確定最小點擊構型的自由度。
多種先進的OPC及ILT技術產生了各種精細連接的光罩形狀,包括會需要產生大量光罩寫入器“點擊”的次解析度輔助特徵(sub-resolution assist features,SRAF)。寫入時間與點擊的數量成比例,並且大部分光罩成本與寫入時間成比例。在此描述的各種方法以數量盡可能少的點擊實現了光罩的最佳化光刻效能,從而實現最低的光罩成本。
在高階中使用點擊最佳化的次解析度輔助特徵(SRAF)係實現開始於包括所需多個製造形狀的一個佈局。可以產生多個點擊群集並該等點擊群集受點擊密度影響,以接近於可用於產生所需製造形狀的估計光罩形狀。之後會對該等點擊群集進行一光罩模擬,以估計可使用該等點擊群集建立的製造形狀。然後可修改該等點擊群集以使估計的製造形狀與所需製造形狀進行更嚴密的匹配。光罩模擬及點擊修改之過程可以重複,直到該估計製造形狀在預先 計畫的製造形狀的要求誤差差異範圍內。
第1圖係點擊最佳化光罩合成的流程圖。該流程100描述了一種用於形狀分析的電腦實現之方法。該流程包括獲得一半導體設計佈局110。可藉由讀取一個或多個來自電腦儲存介質的電腦文件、藉由使用一邏輯設計產生一物理設計佈局、藉由使用者輸入、藉由通訊連結接收通訊、藉由掃描該設計的不同層的光罩的掃描圖像或藉由其他任何方法獲得該佈局。該佈局可以包括關於用於用來生產該晶片該製造過程中的不同程序的晶片及/或光罩的不同層的資訊。在一些具體實施例中,該佈局可以包括圖形資料系統II(graphic data system II,GDSII)資料。
該流程100包括確定與該半導體設計佈局相對應的所需製造形狀112。該半導體設計佈局可以包括在積體電路(IC)或半導體晶片製造過程中用於一個或多個程序的一種或多種形狀。在一些具體實施例中,該半導體設計佈局可與所需製造形狀相匹配。在一些具體實施例中,針對不同的製造過程對至少對該等形狀中的一些進行修改或預補償,並且可能不會將所需製造形狀匹配到成品晶片中。若該佈局中的形狀與該所需製造形狀不匹配,則可對該佈局進行分析以確定最終所需製造形狀。
該流程100包括評估該半導體設計佈局114以確定多個光罩形狀。可根據所需製造形狀確定一光罩形狀。可使用任意方法確定光罩形狀。在一些具體實施例中,基於從資料庫或函式庫中檢索到的儲存光罩形狀確定多個光罩形狀。可將最終所需製造形狀用作在資料庫中檢索一光罩形狀的關鍵字。在一些具體實施例中,可將一條或多條規則用於形成起始於一所需完成製造形狀的光罩形狀。在一些具體實施例中,將光學鄰近校正(OPC)技術及/或反向光刻 技術(ILT)用於確定光罩形狀,而在其他實施方式中,該光罩形狀包括一輔助特徵,並且該輔助特徵包括一次解析輔助特徵(SRAF)形狀。
該流程100包括為針對用於形成該等光罩形狀的多個點擊建立點擊密度120。該點擊密度可確定可用於每單位面積的點擊的最大數量並且可基於許多不同的因素,例如,但不限於,製造過程中所使用的設備類型、最小特徵尺寸、成本目標及每一光罩時間目標。該流程100包括獲得代表用於該等光罩形狀的多個輔助形狀的一預定點擊群集函式庫124以及從該函式庫中的該多個輔助形狀中選擇一輔助形狀126,其中該輔助形狀基於該半導體佈局。在一些具體實施例中,多個輔助形狀未包含於該半導體設計佈局中,但可以對在最終半導體晶片中建立一特徵有幫助的,該最終半導體晶片可能比沒有一輔助形狀的最終半導體晶片更緊密與所需製造形狀匹配。
該流程100包括使用多個點擊以估計光罩形狀130。在一些具體實施例中,這種粗略估計可基於ILT。可將點擊定義為由光刻設備產生的並被設計來產生多個結構的矩形構件,其中,謹慎地控制該結構的尺寸及位置。在一些具體實施例中,使用多個點擊在一光罩中建構一形狀。可將多個點擊用於在該光罩中產生一次解析度輔助特徵(SRAF)形狀或任何其他形狀。在一些具體實施例中,多個點擊重疊。可定義許多不同的點擊組合,以便建立一單獨的光罩形狀。該點擊密度可用於確定需要多少個不同的點擊來建立一給定的光罩形狀。以此方式,可為該等點擊確定所需最小點擊構型132。在一些具體實施例中,所需最小點擊構型代表能夠充分代表一光罩形狀的最低點擊數量。在其他具體實施例中,基於一非多邊形光罩形狀與用於定義該等點擊的多邊形形狀的結合來定義一多邊形形狀,但在其他具體實施例中,點擊的建立可完全繞過多邊形 形狀而產生。
該流程100包括模擬多個光罩150。該模擬會關於評估該預期製造過程的不同步驟會如何與該等光罩相互作用以在半導體片上引起多種變化。也可將該光刻過程的各種態樣模擬為模擬該等光罩的一部分,例如模擬用於曝光該晶片的光波長如何與該光罩相互作用並產生光阻材料的與衍射有關的模糊及曝光不足。該流程100包括基於該等點擊估計所形成的製造半導體佈局160。該估算可使用光罩模擬的結果來預測一晶片上的最終製造形狀的佈局。該流程100包括修改該等點擊170以使該製造半導體佈局與最終所需製造形狀更緊密地匹配。可將任何方法用於確定如何修改該等點擊,例如計算光刻法、光學鄰近校正(OPC)、反向光刻技術(ILT)或任何其他方法。在至少一些具體實施例中,該等點擊的修改基於提高的焦點擊可變能力。可再次對該等光罩進行模擬150,並且該製造佈局可基於該等修改的點擊在下次進行估計160。可重複該過程任意次數,以產生接近於最終所需製造形狀的一估計製造佈局。
該流程100包括產生多個光罩形狀172以用於積體電路的製造中。在一些具體實施例中,儘管該輔助形狀未出現在基於該半導體佈局而產生的一物理晶片上,但這可以包括基於該輔助特徵而形成該光罩形狀172。該光罩形狀172的產生可基於用於一半導體光罩的那些點擊之點擊密度。在不違背所揭露之概念的前提下,該流程100中的各個步驟可按順序更改、重複、省略等。該流程100的各個具體實施例可包含在一電腦程式產品中,該電腦程式產品具體實施於包括可藉由一個或多個處理器執行的一代碼之非瞬態電腦可讀介質中。
第2圖係點擊最佳化之流程圖。該流程200包括獲得一佈局 210,該佈局的獲得可藉由任何方法來實現,包括如上所述的那些方法,但在一些具體實施例中不能藉由從一硬碟中讀取一文件來獲得。基於獲得的該佈局確定一所需半導體佈局220。該所需半導體佈局可包括在製造的積體電路(IC)中將成為內含物的多個結構形狀。可基於所需結構形狀估計光罩佈局形狀230。該估計光罩佈局形狀可基於使用所需製造形狀的ILT;利用根據製造末期的所需形狀反推回來的基於規則的構建方法進行計算;或從一函式庫例如一字形函式庫獲得。在多個具體實施例中,該等估計形狀包括一個或多個輔助形狀,該等輔助形狀進而可包括一個或多個SRAF。可以建立240最大點擊密度。該最大點擊密度可從一設定文件中讀取;藉由一使用者輸入進行設定;或基於一個或多個因素(例如有待使用的光刻設備的類型及/或最小特徵尺寸)來計算。
可使用多個點擊250粗略估計多個光罩形狀。該粗略估計可以關於選擇代表粗略估計該估計光罩形狀的多個點擊的一組矩形。在多個具體實施例中,該映射保持小於最大點擊密度,以允許該等點擊在所建立的點擊密度範圍內發揮作用。若該等估計光罩形狀包括一輔助形狀,則可將該輔助形狀用於該等光罩形狀的粗略估計中。該等光罩形狀可以包括多個包含次解析度輔助特徵(SRAF)的輔助特徵,從而使得在多個具體實施例中,該粗略估計用該等點擊粗略估計該等輔助特徵。在一些具體實施例中,該粗略估計包括使用基於規則之光罩形狀評估。因此,該等點擊可使用所建立的點擊密度。
可模擬該光罩佈局260。該光罩模擬可包括一電子束或雷射束曝光圖像之模型。該光罩模擬還可包括用於光罩過程的一抗蝕劑啟動及顯影的模型。該光罩模擬可進一步包括一光罩蝕刻技術模型。可將該光罩佈局的模擬用於一半導體佈局270的分析中。一光刻模擬器預測由該模擬光罩佈局260生產 的結構形狀,這允許將該等形狀放置在一起,以形成一製造佈局270的完整模擬。可將該模擬半導體佈局與所需半導體佈局進行對照,並且可以修改該等點擊,以使這兩種佈局280之間的增量(或差異)最小化。然後可對該等修改的點擊進行模擬260,並可產生一新的模擬半導體佈局270並將其與一迴路中所需佈局280進行比較,該迴路可進行重複,直至該增量處於預定水準內;直至實現了該迴路預定次數的通過;或直至滿足了某些其他準則。
可將該等點擊發送到一光罩寫入器290中以製造一半導體晶片。作為該晶片製造過程中的步驟,可對一可變光束進行控制292。因此,該流程200包括基於該等點擊控制一形狀可變的光束。在至少一個具體實施例中,該形狀可變的光束包括一帶電粒子束。該製造過程可以關於許多不同的步驟,該等步驟中的一些步驟使用可基於多個點擊之一光罩;一些步驟不使用光罩或使用以某些其他方式建立的光罩。該流程200中的各個步驟可在不違背所揭露概念之前提下按順序更改、重複、省略等。該流程200的各個具體實施例可包含在一電腦程式產品中,該電腦程式產品具體實施於包括可藉由一個或多個處理器執行的代碼之一非瞬態電腦可讀介質中。
第3圖係輔助特徵確定的流程圖。該流程300包括分析用於所需製造形狀的一佈局310。該等製造形狀可代表一製造IC上的多個結構,或者可以代表將在IC的製造期間建立、但尚未存在於最終IC中的特徵的所需形狀;即,被隨後的製造過程所改變或去除的那些形狀。該等製造形狀可代表IC的曝光區域的一所需形狀,在多個具體實施例中,即使未使用該形狀在最終IC中建立實際結構,該IC仍用於定義一有待蝕刻、摻雜、植入或另外方式而改變之區域。
將特定的製造形狀用於選擇一字形320。字形係一形狀或一組形狀,該等形狀可以是可用於建立最終製造形狀的一光罩形狀之估計。可從基於該特定製造形狀的一函式庫中選擇該字形。該字形可以包括一個或多個輔助特徵,例如SRAF。因此,該流程300可包括選擇一粗略估計該輔助形狀的字形。該流程300也可以包括將該字形置於光罩形狀的佈局330內。在一些具體實施例中,該字形包括該製造形狀作為該字形的一部分,但在其他具體實施例中,將該字形被添加到所需製造形狀中,以建立該估計光罩形狀。
該流程300包括使用一字形來確定點擊群集340。包括一個或多個的光罩形狀的預定那些點擊群集可以包括多個字形。在其他具體實施例中,可產生點擊群集,以與基於最大點擊密度的字形密切匹配。因此,該流程300可包括使用置於該等光罩形狀中的字形來確定該等點擊,並且可包括分析該半導體佈局,以使用該輔助特徵評估所需製造形狀,從而說明製造所需製造形狀。該流程300也可以包括為該等點擊確定一固定成本的最佳化結果品質(QoR)解決方案350。該流程300中的各個步驟可在不違背所揭露概念之前提下按順序更改、重複、省略等。該流程300各個具體實施例可包含在一電腦程式產品中,該電腦程式產品嵌入在包括可藉由一個或多個處理器執行的代碼之一非瞬態電腦可讀介質中。
第4圖係點擊分析及儲存的流程圖。該流程400包括獲得一所需形狀410。在一些具體實施例中,可從一佈局中獲得該所需形狀。該流程400包括分析該所需形狀420。該分析可以包括存取函式庫422以檢索可證明在該所需形狀的產生中有用的多個輔助形狀。在一些具體實施例中,該分析包括使用一規則表確定點擊群集424之位置,該等群集包括與該所需形狀相對應的那些 點擊。在多個具體實施例中,點擊群集的分析結果代表一個或多個字形,該等字形進而能夠結合來代表該所需形狀的一光罩形狀,該光罩形狀可包括多個輔助形狀。該流程400可包括對該等點擊進行表徵以產生多個預定點擊群集470,以及將預定點擊群集儲存在函式庫480中。某些形狀及/或字形可以通用,並且可進行大量的計算來產生該形狀的一最佳點擊群集。藉由預先確定用於通用的形狀的點擊群集並將其保存在函式庫中,可重複使用最佳群集多次,而無需在每次發生該形狀時消耗計算資源。然後,那些形狀可進而被存取422,以用於獲取所需形狀。
該流程400包括校正該等點擊,以消除不利假影430,這係一過程如下,該過程可包括修改相鄰字形432之間邊界處的點擊的圖案。該等點擊群集的其他修改可基於光罩模擬執行,該光罩模擬可包括OPC及/或ILT。該OPC可隨著所形成的製造半導體佈局的估計以及該等點擊的修改發生在迴路中。該迴路可包括使用反向光刻技術。該迴路可進一步包括一光罩模擬。該迴路還可包括對所需形狀420進行分析。
一旦模擬已經確定退出迴路所必需的那些參數已得到滿足,該流程400便可藉由將該等點擊***成多個可寫入形狀440並將新形成的可寫入點擊發送給一光罩寫入工具之方式繼續。在一些具體實施例中,在該迴路期間建立的那些點擊將不會被該光罩寫入工具直接支持-例如,該等點擊可包括多個非矩形多邊形-因此,被***成多個矩形。一旦所有的點擊都以可藉由該光罩寫入工具寫入的形式描寫,該流程400便藉由將該等索引從一OPC工具傳輸到一光罩寫入器450的方法繼續,其中,該等索引以該等點擊為基礎。該等索引可用於該等點擊或該等字形。因此,該流程可包括將該等字形索引從一OPC 工具傳輸到一光罩寫入器,其中,該等字形索引基於該等點擊。該流程400中的各個步驟可在不違背所揭露概念的前提下改變順序、重複或省略等。該流程400的各個具體實施例可包含在一電腦程式產品中,該電腦程式產品嵌入在包括可藉由一個或多個處理器執行的代碼之一非瞬態電腦可讀介質中。
第5圖示出了顯示光罩寫入器點擊操作的多個示例形狀500。在示例形狀500中,獲得了一起始光罩形狀510。起始光罩形狀510可從一佈局中或藉由其他方法得到,例如,藉由使用ILT或基於規則而產生。在第5圖所示之示例中,起始光罩形狀係一由ILT產生次解析度輔助特徵(SRAF)。起始光罩形狀510可被估計為點擊群集。在所示的示例中,點擊512、點擊514、點擊516、點擊518、點擊520及點擊522包括點擊群集,該點擊群集被用來根據點擊密度粗略估計起始光罩形狀510。要注意的是,該等點擊係在沒有先產生用於起始形狀510的一多邊形形狀之情況下產生的,因此,在多個具體實施例中,在繞過多邊形產生的同時建立該等點擊。
一旦已經產生了該點擊群集,便可模擬該光罩佈局,並可使用一晶圓光刻模型來預測該光罩形狀的光刻結果。基於該等模擬結果,可修改該等點擊群集,以便使該預測的光刻結果更好地匹配於該晶圓上的所需形狀。在所示的示例中,將點擊512修改得更寬並且更高,使其變成點擊532,並且將點擊514修改得更窄,使其變成點擊534。點擊516及點擊518保持不變,標記為點擊536及點擊538。將點擊520修改得更短,使其變成點擊540,並且點擊522保持不變,標記為點擊542。可模擬新點擊532-542,以確定新的光罩形狀530。然後可利用一光刻模型模擬該等預測的光罩形狀,以預測在該晶圓上形成的那些形狀,該等形狀可以被與所需半導體佈局進行比較(圖未示)。如果確定了該等 形狀的匹配不足以滿足要求,則可重複進行該等點擊的修改、模擬及比較,直到該等形狀在進行光刻模擬時產生設計要求可接受的形狀為止。然後,可將該點擊群集發送給一光罩寫入器。
一旦已經將該點擊群集發送給該光罩寫入器,便可根據該等點擊控制一形狀可變光束。其他具體實施例將採用並控制不同類型光束,例如固定光束。在一些具體實施例中,儘管其他具體實施例將利用其他類型的光束,例如電磁輻射光束,該形狀可變光束係一帶電粒子光束。
第6圖示出了不同字形及用途的多個示例。多個主形狀可隨著輔助特徵在光罩上產生,這能夠在半導體製造期間在晶圓上可靠地產生所需形狀。示出了一組示例形狀600,包括一主要形狀602以及一次解析度輔助特徵形狀604。主要形狀602及次解析度輔助特徵形狀604可利用反向光刻技術或其他方法確定。該次解析度輔助特徵形狀604將不會在該晶圓上產生;該形狀僅呈現來幫助在該晶圓上形成主要特徵602。示出了一組字形610,該組字形可被用來在一光罩上形成次解析度輔助特徵形狀604或其他輔助特徵。該等字形610可以是函式庫的一部分,並且可以被表徵,以確保在一晶圓上印刷的可重複性。示出了一組形狀630,該組形狀包括主要形狀632以及被設計用於組成該次解析度輔助特徵形狀604的各部分的字形。可以用一曲線字形620的形式從該組字形610中選擇一曲線形狀638。可以用一矩形字形614的形式從該組字形610中選擇一矩形形狀634。可以一曲線字形622的形式從該組字形610中選擇一第二曲線形狀636。在該組字形610中,可以包括用於各種形狀612、616、618的其他字形。其他字形形狀或字形之間的接點擊可被用來組成該次解析度輔助特徵形狀604的其餘部分。換言之,該等點擊可以被用來產生該次解析度輔助特徵 形狀。該等形狀應被視為示例,而並非限制。
第7圖係示出來自多邊形的點擊產生之流程圖。該流程700包括獲得一預期設計710。該設計可以是任何形式,但可包括一佈局。在一些具體實施例中,該預期設計之佈局將包括多個多邊形的形狀或多個多邊形,儘管其他具體實施例將產生多個多邊形形狀來粗略估計設計中的曲線形狀。該流程700藉由下列方法繼續:利用該設計來模擬晶圓光刻720以確定模擬的光刻形狀,並隨後對預期設計及模擬結果730進行比較。如果該等結果與預期設計的接近程度不足以滿足要求,則可調整多邊形形狀740,且該新形狀通過光罩模擬器720,並與該預期設計的結果730重新比較,直到該等模擬結果與預期設計的接近程度足以滿足設計要求。因此,一設計修改迴路還可包括一光罩模擬。
一旦該等模擬結果與預期設計之接近程度足以滿足設計要求,則可***該等多邊形,以產生點擊750。該等點擊可以是不同大小及位置的多個矩形。在一些具體實施例中,該等點擊將重疊。該流程700中各個步驟可以在不違背所揭露概念之前提下改變順序、重複或省略等。該流程700的各個具體實施例可包含在一電腦程式產品中,該電腦程式產品嵌入在包括可藉由一個或多個處理器執行的代碼之一非瞬態電腦可讀介質中。
第8圖係示出點擊最佳化之流程圖。該流程800包括獲得一預期設計810。該設計可以是任何形式,但可包括一佈局。該預期設計的佈局可包括所需製造形狀。代表所需製造形狀的多個點擊群集可利用任何方法產生,包括但不限於在此論述之任何方法。該流程800藉由下列方法繼續:利用該等點擊群集來模擬光罩光刻及晶圓光刻820以確定模擬的光刻形狀,並隨後對所需製造形狀及模擬結果830進行比較。如果該等結果與預期設計的接近程度不足 以滿足設計要求,則可調整點擊840,使新的點擊通過模擬器820,並與所需製造形狀830重新比較,直到該等模擬結果與預期設計的接近程度足以滿足設計要求。因此,該流程800可包括修改該等點擊,以使所形成的製造半導體佈局更加接近於所需製造形狀。
一旦該等模擬結果與預期設計之接近程度足以滿足要求,則可將該等點擊發送給一光罩寫入器850。該光罩寫入器可以用於製造半導體晶片。該流程800中的各個步驟可以在不違背所揭露概念之前提下改變順序、重複或省略等。該流程800的各個具體實施例可包含在一電腦程式產品中,該電腦程式產品嵌入在包括可藉由一個或多個處理器執行的代碼之一非瞬態電腦可讀介質中。
第9圖係ILT/OPC使用的流程圖。該流程900包括獲得一佈局910。該佈局可包括所需多個製造形狀。該等點擊可被產生用於代表所需製造形狀。該流程900藉由針對各個過程選擇佈局區域920的方式繼續。該流程900可包括將反向光刻技術(ILT)930應用於該佈局的至少一些區域。ILT的結果可用於對點擊進行最佳化940。基於該具體實施例,一些區域可能沒有應用ILT。
該流程900藉由將光學鄰近校正(OPC)950應用於各個區域之方法繼續。該等點擊還可根據OPC結果進行修改。因此,修改該等點擊可以基於光學鄰近校正計算而發生。該光罩可被驗證960,以確保藉由ILT及/或OPC進行的各種修改不違反該或該等光罩的設計規則。
在一些具體實施例中,流程900可藉由***多邊形970之方法繼續。如果多邊形用於代表所需製造形狀,則將它們***成光罩寫入器可用的多個矩形點擊。然後將該等點擊用於圖案產生980,以形成一光罩,可檢查該光 罩990是否存在缺陷。該流程900中的各個步驟可以在不違背所揭露概念之前提下改變順序、重複或省略等。該流程900的各個具體實施例可包含在一電腦程式產品中,該電腦程式產品嵌入在包括可藉由一個或多個處理器執行的代碼之一非瞬態電腦可讀介質中。
第10圖係點擊最佳化之系統圖。該系統1000包括一個或多個耦合到記憶體1012上的處理器1010,該記憶體可用於儲存電腦代碼指令及/或資料,例如光學資訊、光罩資訊、層資訊、設計資料、指令、系統支持資料、中間資料、分析結果等。還可包括一顯示器1014,該顯示器可以是任何電子顯示器,包括但不限於電腦顯示器、筆記型電腦螢幕、小型筆記型電腦電螢幕、平板電腦螢幕、行動電話顯示器、移動設備顯示器、具有顯示器的遠端裝置、電視、投影機等。可包括一個或多個所需形狀的設計1020的一佈局可被儲存在電腦磁片或另一個電腦儲存介質中,並可被導入以進行分析。一評估模組1030可被包含在該系統1000中,用以評估佈局1020,從而確定該等光罩形狀並確定與該半導體設計佈局相對應的一所需製造形狀。描述可以在產生評估模組1030所確定的佈局1020所需形狀過程中有用的形狀的輔助形狀1040還可儲存在電腦磁片或其他電腦儲存介質上。可包括一選擇模組1050,以建立針對用於產生該等光罩形狀的多個點擊的點擊密度。可包括一粗略估計模組1060,以利用該等點擊粗略估計多個光罩形狀。可包括一估計模組1060,以根據該等點擊估計所形成的一製造半導體佈局,並修改該等點擊,以使所形成的製造半導體佈局更加接近於所需製造形狀。在至少一個具體實施例中,評估模組1030、選擇模組1050、粗略估計模組1060及/或估計模組1070的功能都藉由一個或多個處理器1010實現。
該系統1000可以包括用於形狀分析之一電腦程式產品。該電腦程式產品可嵌入在一非瞬態電腦可讀介質中而且可包括:用於獲得一半導體設計佈局之代碼;用於確定與該半導體設計佈局相對應的一所需製造形狀之代碼;用於評估該半導體設計佈局以確定多個光罩之代碼;用於建立針對用於產生該等光罩形狀的多個點擊的點擊密度之代碼;用於利用該等點擊粗略估計多個光罩形狀的代碼;用於基於該等點擊估計所形成的製造半導體佈局之一代碼;以及用於修改該等點擊以使該所形成的製造半導體佈局更接近所需製造特徵之代碼。
上述方法中的每一個都可在一個或多個電腦系統上的一個或多個處理器上執行。具體實施例可以包括各種形式的分散式運算、用戶端/伺服器計算以及基於雲端的計算。此外,將會理解的是,在本發明揭露的流程圖中包含的所描繪步驟或方框僅僅是示意性及解釋性的。在不背離本揭露範圍情況下,可以對該等步驟進行修改、省略、重複或重新排序。另外,每個步驟都可以含有一個或多個子步驟。儘管前述附圖及描述提出了所揭露之系統的多個功能方面,但除非明確陳述或從上下文中清晰可見,從該等描述中不應當推斷出實現該等功能方面的軟體及/或硬體之具體安排。軟體及/或硬體的所有這類安排皆意圖在落在本揭露範圍之內。
方塊圖及流程圖圖式描繪了方法、設備、系統及電腦程式產品。方塊圖及流程圖中的構件及構件組合示出了方法、設備、系統、電腦程式產品及/或電腦實現方法之功能、步驟或步驟群組。任何及所有的此類功能(在此通常指的是“電路”、“模組”或“系統”)都可以由電腦程式指令、由基於硬體之專用電腦系統、由專用硬體及電腦指令之組合、由通用硬體及電腦指令之組合等等來 實現。
執行任何上面提到的電腦程式產品或電腦實現方法之可程式設計裝置可包括一個或多個微處理器、微控制器、嵌入式微控制器、可程式設計數位信號處理器、可程式設計裝置、可程式設計閘陣列、可程式設計陣列邏輯、記憶體裝置、專用積體電路等。每一個都可以適當地被採用或配置以處理多個電腦程式指令、執行電腦邏輯、儲存電腦資料等。
將會理解的是,一台電腦可以包括來自一電腦可讀儲存介質中的一種電腦程式產品,並且將會理解,這種介質可以是內部的或外部的、可拆卸的或可置換的、或是固定的。另外,一台電腦可以包括基本輸入/輸出系統(Basic Input/Output System,BIOS)、韌體、一作業系統、一資料庫或可包括、連接或支持在此述的軟體及硬體等類似物。
本發明之實施方式既不限於傳統的電腦應用程式也不限於進行該等程式的可程式設計設備。例如,目前所要求保護的發明之具體實施例可以包括光學電腦、量子電腦、類比電腦或類似的電腦。電腦程式可以載入到電腦上以產生可以執行所描繪的功能的任一項或全部的一具體機器。這個具體機器提供一種用於執行所描述功能之任一項或全部之手段。
可使用一個或多個電腦可讀介質之任意組合,包括但不限於:用於儲存的非瞬態電腦可讀介質;電子的、磁的、光學的、電磁的、紅外線的或半導體的電腦可讀儲存介質,或者前述事項的任意適當組合;可攜式電腦磁片;硬碟;隨機存取記憶體(random access memory,RAM);唯讀記憶體(read-only memory,ROM)、可抹除可程式設計唯讀記憶體(erasable programmable read-only memory,EPROM)、快閃記憶體、磁性隨機存取記憶體(magnetoresistive random access memory,MRAM)、鐵材料隨機存取記憶體(Ferroelectric random access memory,FeRAM)或相變記憶體;光纖;可攜式光碟;光學儲存裝置;磁儲存裝置;或前述的任意合適組合。在本說明書中,電腦可讀儲存介質可以是任意有形的介質,該介質包含或儲存一程式,該程式由指令執行系統、設備或裝置來使用或與其相結合使用。
將會認識到的是,電腦程式指令可以包括電腦可執行代碼。用於表達多條電腦程式指令的多種語言可以包括但不限於:C、C++、Java、JavaScriptTM、ActionScriptTM、組合語言、Lisp、Perl、Tcl、Python、Ruby、硬體描述語言、資料庫程式設計語言、函數式程式設計語言、命令式程式設計語言等。在多個實施方式中,可以儲存、編譯或解釋電腦程式指令,以便在電腦、可程式設計資料處理設備、處理器或處理器架構等等的不同組合上進行。不受限制地,本發明之具體實施例可以採用基於網路之電腦軟體之形式,該軟體包括用戶端/伺服器軟體、軟體服務、點對點軟體等。
在多個具體實施例中,電腦可以實現對包括多個程式或線程的電腦程式指令的執行。該多個程式或線程可以幾乎同時進行處理以便提高該處理器的利用率並且促進大致上同時起作用。藉由實施在此描述之任意的及全部的方法、程式碼、程式指令等等都可以在一個或多個可以依次建立其他線程的線程中執行,這樣其自身可能具有與其相關聯的優先順序。在一些具體實施例中,電腦可以基於優先順序或其他順序來處理該等線程。
除非上下文明確地陳述或以其他方式從上下文明確,動詞“執行”及“處理”可以可互換地用於代表執行、處理、解釋、編譯、彙編、連結、載入或上述事項的一組合。因此,執行或處理電腦程式指令、電腦可執行代碼等的具 體實施例可以根據該等指令或代碼以所描述方式的任意一種或所有方式進行動作。另外,所示方法步驟意在包括使一個或多個主體或實體執行該等步驟之任意適合方法。執行步驟或步驟一部分的該等主體不需要定位在一個特定地理位置或國家範圍內。例如,如果定位在美國的一實體使得它的方法步驟或其一部分在美國以外的地方執行,那麼該方法被認為藉由任意實體在美國執行。
雖然本發明係結合所示及詳細述之較佳實施方式而被揭露,各種修改及改進對於本領域人員將是明顯的。因此,該等前述示例不應限制本發明之精神及範疇;實際上,應從法律允許的最廣泛意義上進行理解。

Claims (27)

  1. 一種用於形狀分析之電腦實現方法,包括:確定與該半導體設計佈局相對應的所需製造形狀;評估該半導體設計佈局以確定多個光罩形狀;建立針對用於產生多個光罩形狀的多個點擊的一點擊密度;基於該點擊密度,利用多個點擊粗略估計多個光罩形狀;基於該等點擊估計所形成的製造半導體佈局;以及修改該等點擊以使該所形成的製造半導體佈局更接近該所需製造形狀。
  2. 如申請專利範圍第1項所述之電腦實現方法,其中該等光罩形狀包括一輔助特徵。
  3. 如申請專利範圍第2項所述之電腦實現方法,進一步包括:用於基於該輔助特徵產生具有該等光罩形狀的半導體光罩。
  4. 如申請專利範圍第3項所述之電腦實現方法,其中該半導體光罩的產生係以該等點擊的點擊密度為基礎。
  5. 如申請專利範圍第1項所述之電腦實現方法,其中該等光罩形狀包括藉由包括以下各項內容確定的一輔助特徵:分析該半導體設計佈局,以利用該輔助特徵評估多個所需製造形狀,從而說明製造該等所需製造形狀;選擇粗略估計該輔助特徵的一字形;以及將該字形放在該等光罩形狀內。
  6. 如申請專利範圍第1項所述之電腦實現方法,其中該等光罩形狀包括基於該半導體設計佈局並不出現在實際晶片上的一輔助特徵。
  7. 如申請專利範圍第1項所述之電腦實現方法,其中該等光罩形狀包括不在該半導體設計佈局中的一輔助特徵。
  8. 如申請專利範圍第1項所述之電腦實現方法,進一步包括:用於獲得代表用於該等光罩形狀之多個輔助形狀的一預定點擊群集函式庫。
  9. 如申請專利範圍第8項所述之電腦實現方法,進一步包括:用於從該預定點擊群集函式庫中的該等多個輔助形狀中選擇一輔助形狀的代碼,其中該輔助形狀系以該所需製造形狀為基礎。
  10. 如申請專利範圍第1項所述之電腦實現方法,其中包括一個或多個光罩形狀的多個預定點擊群集包括多個字形。
  11. 如申請專利範圍第1項所述之電腦實現方法,進一步包括:用於基於該等點擊控制一形狀可變光束。
  12. 如申請專利範圍第1項所述之電腦實現方法,進一步包括:用於確定該等點擊之一成本固定的最佳化結果品質(QoR)解決方案。
  13. 如申請專利範圍第1項所述之電腦實現方法,進一步包括:用於確定該等點擊的所需最小點擊構型。
  14. 如申請專利範圍第1項所述之電腦實現方法,其在繞過多邊形產生的同時建立該等點擊。
  15. 如申請專利範圍第1項所述之電腦實現方法,進一步包括:用於校正該等點擊以消除不利假影。
  16. 如申請專利範圍第15項所述之電腦實現方法,進一步包括:用於修改該等點擊在鄰近字形之邊界處的圖案。
  17. 如申請專利範圍第1項所述之電腦實現方法,進一步包括:用於利用一規則表來確定由該等點擊組成的多個點擊群集的放置。
  18. 如申請專利範圍第1項所述之電腦實現方法,進一步包括:用於對該等點擊進行表徵以產生多個預定點擊群集。
  19. 如申請專利範圍第18項所述之電腦實現方法,進一步包括:用於將該預定點擊群集儲存到函式庫中。
  20. 如申請專利範圍第1項所述之電腦實現方法,其中該粗略估計包括繞過作為多個光罩形狀的中間代表的多邊形。
  21. 如申請專利範圍第20項所述之電腦實現方法,其中該粗略估計包括允許點擊構型重疊。
  22. 如申請專利範圍第21項所述之電腦實現方法,其中自由度的增加用於確定最小點擊構型。
  23. 一種用於形狀分析的電腦系統,包括:一記憶體,儲存多條指令;一個或多個處理器,與該記憶體耦合,其中該一個或多個處理器被配置成用於:確定與一半導體設計佈局相對應的一所需製造形狀;評估該半導體設計佈局以確定多個光罩形狀;建立用於產生多個光罩形狀之多個點擊的一點擊密度;利用多個點擊粗略估計多個光罩形狀;基於該等點擊估計一所形成的製造半導體佈局;以及修改該等點擊,以使該所形成的製造半導體佈局更接近該所需製造形狀。
  24. 如申請專利範圍第23項所述之電腦系統,其中該等光罩形狀包括一輔助特徵。
  25. 如申請專利範圍第23項所述之電腦系統,其中該等光罩形狀包括基於該半導體設計佈局並不出現在實際晶片上的一輔助特徵。
  26. 如申請專利範圍第23項所述之電腦系統,其中該等光罩形狀包括藉由包括以下各項內容確定的一輔助特徵:分析該半導體設計佈局,以利用該輔助特徵評估多個所需製造形狀,從而說明製造該等所需製造形狀;選擇粗略估計該輔助特徵的一字形;以及將該字形放在該等光罩形狀內。
  27. 如申請專利範圍第23項所述之電腦系統,進一步包括:用於獲得代表用於該等光罩形狀之多個輔助形狀的一預定點擊群集函式庫。
TW103108460A 2013-03-14 2014-03-11 使用點擊最佳化的次解析度輔助特徵實現方式 TWI621957B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361786266P 2013-03-14 2013-03-14
US61/786,266 2013-03-14
US201361846457P 2013-07-15 2013-07-15
US61/846,457 2013-07-15

Publications (2)

Publication Number Publication Date
TW201447620A TW201447620A (zh) 2014-12-16
TWI621957B true TWI621957B (zh) 2018-04-21

Family

ID=51534566

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103108460A TWI621957B (zh) 2013-03-14 2014-03-11 使用點擊最佳化的次解析度輔助特徵實現方式

Country Status (2)

Country Link
US (3) US9170481B2 (zh)
TW (1) TWI621957B (zh)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI621957B (zh) * 2013-03-14 2018-04-21 新納普系統股份有限公司 使用點擊最佳化的次解析度輔助特徵實現方式
US9747408B2 (en) * 2015-08-21 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Generating final mask pattern by performing inverse beam technology process
US20170053058A1 (en) * 2015-08-21 2017-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Model-based rule table generation
US10386828B2 (en) 2015-12-17 2019-08-20 Lam Research Corporation Methods and apparatuses for etch profile matching by surface kinetic model optimization
US9792393B2 (en) 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10146124B2 (en) * 2016-02-23 2018-12-04 Xtal, Inc. Full chip lithographic mask generation
US10032681B2 (en) 2016-03-02 2018-07-24 Lam Research Corporation Etch metric sensitivity for endpoint detection
US10197908B2 (en) 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
US10254641B2 (en) 2016-12-01 2019-04-09 Lam Research Corporation Layout pattern proximity correction through fast edge placement error prediction
US10386714B2 (en) * 2017-01-09 2019-08-20 Globalfoundries Inc. Creating knowledge base for optical proximity correction to reduce sub-resolution assist feature printing
US10534257B2 (en) 2017-05-01 2020-01-14 Lam Research Corporation Layout pattern proximity correction through edge placement error prediction
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
CN111971551A (zh) 2018-04-10 2020-11-20 朗姆研究公司 机器学习中的光学计量以表征特征
US11624981B2 (en) 2018-04-10 2023-04-11 Lam Research Corporation Resist and etch modeling
US11209728B2 (en) * 2018-06-27 2021-12-28 Taiwan Semiconductor Manufacturing Company Ltd. Mask and method for fabricating the same
US11092899B2 (en) 2018-11-30 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mask data synthesis with wafer target adjustment
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
US11867711B2 (en) 2019-05-30 2024-01-09 Esko-Graphics Imaging Gmbh Process and apparatus for automatic measurement of density of photopolymer printing plates
US11816411B2 (en) * 2020-01-29 2023-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for semiconductor wafer defect review
DE102020208980A1 (de) 2020-07-17 2022-01-20 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Reparieren eines Defekts einer lithographischen Maske
US20230377185A1 (en) * 2021-02-10 2023-11-23 Hitachi High-Tech Corporation Contour analysis apparatus, processing condition determination system, shape estimation system, semiconductor device manufacturing system, search apparatus, and data structure used in them
EP4377749A1 (en) * 2021-07-30 2024-06-05 D2S, Inc. Method for reticle enhancement technology of a design pattern to be manufactured on a substrate
US11783110B2 (en) 2021-07-30 2023-10-10 D2S, Inc. Method for reticle enhancement technology of a design pattern to be manufactured on a substrate
US11693306B2 (en) 2021-07-30 2023-07-04 D2S, Inc. Method for reticle enhancement technology of a design pattern to be manufactured on a substrate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201017460A (en) * 2005-02-24 2010-05-01 Synopsys Inc Method for identifying a manufacturing problem area in a layout using a process-sensitivity model
US20120066654A1 (en) * 2010-09-10 2012-03-15 International Business Machines Corporation Stability-dependent spare cell insertion
US8266556B2 (en) * 2010-08-03 2012-09-11 International Business Machines Corporation Fracturing continuous photolithography masks

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002118049A (ja) * 2000-10-06 2002-04-19 Hitachi Ltd 半導体集積回路装置の製造方法
US7698665B2 (en) 2003-04-06 2010-04-13 Luminescent Technologies, Inc. Systems, masks, and methods for manufacturable masks using a functional representation of polygon pattern
US20110004856A1 (en) * 2005-02-28 2011-01-06 Yuri Granik Inverse Mask Design and Correction for Electronic Design
US7747977B1 (en) 2005-09-15 2010-06-29 D2S, Inc. Method and system for stencil design for particle beam writing
KR100673014B1 (ko) * 2005-10-28 2007-01-24 삼성전자주식회사 포토 마스크의 제조 방법
US7772575B2 (en) 2006-11-21 2010-08-10 D2S, Inc. Stencil design and method for cell projection particle beam lithography
US7579606B2 (en) 2006-12-01 2009-08-25 D2S, Inc. Method and system for logic design for cell projection particle beam lithography
US7759027B2 (en) 2008-09-01 2010-07-20 D2S, Inc. Method and system for design of a reticle to be manufactured using character projection lithography
US7754401B2 (en) 2008-09-01 2010-07-13 D2S, Inc. Method for manufacturing a surface and integrated circuit using variable shaped beam lithography
US7759026B2 (en) 2008-09-01 2010-07-20 D2S, Inc. Method and system for manufacturing a reticle using character projection particle beam lithography
US8108802B2 (en) * 2009-04-29 2012-01-31 International Business Machines Corporation Method for forming arbitrary lithographic wavefronts using standard mask technology
US20120117520A1 (en) * 2010-11-08 2012-05-10 NGR, Inc. Systems And Methods For Inspecting And Controlling Integrated Circuit Fabrication Using A Calibrated Lithography Simulator
TWI621957B (zh) * 2013-03-14 2018-04-21 新納普系統股份有限公司 使用點擊最佳化的次解析度輔助特徵實現方式

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201017460A (en) * 2005-02-24 2010-05-01 Synopsys Inc Method for identifying a manufacturing problem area in a layout using a process-sensitivity model
TWI325547B (en) * 2005-02-24 2010-06-01 Synopsys Inc Method and apparatus for identifying a manufacturing problem area in a layout using a process-sensitivity model
US8266556B2 (en) * 2010-08-03 2012-09-11 International Business Machines Corporation Fracturing continuous photolithography masks
US20120066654A1 (en) * 2010-09-10 2012-03-15 International Business Machines Corporation Stability-dependent spare cell insertion

Also Published As

Publication number Publication date
US20140282290A1 (en) 2014-09-18
US20170032076A1 (en) 2017-02-02
US20160042118A1 (en) 2016-02-11
US9170481B2 (en) 2015-10-27
US9471746B2 (en) 2016-10-18
TW201447620A (zh) 2014-12-16
US10318697B2 (en) 2019-06-11

Similar Documents

Publication Publication Date Title
TWI621957B (zh) 使用點擊最佳化的次解析度輔助特徵實現方式
Spence Full-chip lithography simulation and design analysis: how OPC is changing IC design
US8572517B2 (en) System and method for modifying a data set of a photomask
US7337421B2 (en) Method and system for managing design corrections for optical and process effects based on feature tolerances
US10585346B2 (en) Semiconductor fabrication design rule loophole checking for design for manufacturability optimization
US11024623B2 (en) Layout modification method for exposure manufacturing process
US11900042B2 (en) Stochastic-aware lithographic models for mask synthesis
US20100081294A1 (en) Pattern data creating method, pattern data creating program, and semiconductor device manufacturing method
US10310372B1 (en) Full-chip hierarchical inverse lithography
JP2022544585A (ja) 人工ニューラルネットワークによって予測される故障モードに基づくレチクル強化技術レシピの適用
JP7443501B2 (ja) 欠陥確率分布および限界寸法変動に基づくリソグラフィ改良
KR20220092598A (ko) 리소그래피 마스크들의 보정에서의 마스크 제조 모델들의 사용
US20070143732A1 (en) Pixelated masks for high resolution photolithography
US20140195994A1 (en) Defective artifact removal in photolithography masks corrected for optical proximity
US20220335196A1 (en) Semiconductor Process Technology Assessment
TWI795687B (zh) 用於改良微影光罩之設計之方法、系統及非暫時性電腦可讀媒體
CN116710843A (zh) 用于自由形状的光学邻近校正
US8769445B2 (en) Method for determining mask operation activities
US20230152683A1 (en) Mask Synthesis Integrating Mask Fabrication Effects and Wafer Lithography Effects
US20230288812A1 (en) Methods to improve process window and resolution for digital lithography with two exposures
TW202225826A (zh) 改善光學近端校正技術的方法及系統
Suh et al. Merged contact OPC using pattern type specific modeling and correction