TWI601290B - 金屬閘極結構及其製造方法 - Google Patents

金屬閘極結構及其製造方法 Download PDF

Info

Publication number
TWI601290B
TWI601290B TW103146009A TW103146009A TWI601290B TW I601290 B TWI601290 B TW I601290B TW 103146009 A TW103146009 A TW 103146009A TW 103146009 A TW103146009 A TW 103146009A TW I601290 B TWI601290 B TW I601290B
Authority
TW
Taiwan
Prior art keywords
layer
barrier layer
metal
metal gate
semiconductor structure
Prior art date
Application number
TW103146009A
Other languages
English (en)
Other versions
TW201530764A (zh
Inventor
何偉碩
江宗育
陳光鑫
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201530764A publication Critical patent/TW201530764A/zh
Application granted granted Critical
Publication of TWI601290B publication Critical patent/TWI601290B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/326Application of electric currents or fields, e.g. for electroforming
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Composite Materials (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

金屬閘極結構及其製造方法
本揭露涉及半導體結構中的金屬閘極。
半導體積體電路(IC)產業經歷了快速增長。在IC發展的製程中,功能密度(即每一晶片區域互聯器件的數目)普遍增加而幾何尺寸(即能夠使用製作工藝創建的最小元件(或線))卻在減少。縮小的製程通常由於提高生產效率和降低相關成本而提供好處。這種縮小也增加了處理和製造IC的複雜度,並且為了實現這些進步,在IC處理和製造中需要類似的發展。隨著電晶體尺寸的減少,必須減小閘極氧化物的厚度,以利用減小的閘極長度保持性能。然而,為了減少閘漏,使用高介電常數(高k)的閘極絕緣層,其允許更大的物理厚度,同時保持與較大技術節點中使用的典型閘極氧化物提供的有效電容相同的有效電容。
此外,隨著技術節點縮小,在一些IC設計中,希望以金屬閘極(MG)電極代替典型的多晶矽閘電極,從而以降低的特徵尺寸改進器件性能。一種形成MG電極的工藝被稱為“後閘極”工藝,其與被稱為“先閘極”的另一種MG電極形成工藝相反。“後閘極”工藝使得後續處理的數目減少,該後續處理包括高溫處理,其必須在形成閘極之後執行。
於是,需要的是一種方法和半導體裝置,為在基底上形成的每一NFET和PFET提供不同配置的金屬閘極結構。
本發明的一些實施例提供一種半導體結構。該半導體結構包括:具有第一表面之半導體層以及界定該半導體層的第一表面上的金屬閘極之層間介電層(ILD)。該金屬閘極包括:與該金屬閘極的底部和側壁共形之高k介電層;與該高k介電層共形之阻障層;以及與該阻障層和該高k介電層共形之功函數金屬層。該金屬閘極側壁處的該阻障層的第一部分之厚度實質上薄於該金屬閘極底部處的該阻障層之厚度。
在一些實施例中,該半導體結構的該金屬閘極側壁處的該阻障層的第一部分之厚度是零。
在一些實施例中,該半導體結構的該金屬閘極側壁處的該阻障層的第二部分之高度大於2
在一些實施例中,該半導體結構的該金屬閘極側壁處沒有阻障層。
在一些實施例中,該半導體結構的該金屬閘極的該阻障層包括雙層。
在一些實施例中,該阻障層的第一部分之厚度薄於該金屬閘極的該阻障層的第二部分之厚度。
本發明的一些實施例提供一種半導體結構。該半導體結構包括:其與金屬閘極的底部和側壁共形高k介電層;與該高k介電層共形的阻障層;與該阻障層和該高k介電層共形的功函數金屬層;以及由該功函數金屬層圍繞的閘極填充金屬。鄰近該金屬閘極的底角的阻障層包括階梯輪廓。
在一些實施例中,該階梯輪廓的高度範圍從約2至約20
在一些實施例中,該阻障層是該功函數金屬層和該高k介電層之間的雙層。
在一些實施例中,該阻障層的厚度範圍從約2至約40
在一些實施例中,該功函數金屬層的厚度範圍從1至約20
在一些實施例中,該功函數金屬層包括鋁。
在一些實施例中,該半導體結構是N-MOSFET或N-FinFET。
本發明的一些實施例提供一種製造半導體結構的方法。該方法包括:在層間介電層(ILD)中形成金屬閘極渠道;在該金屬閘極渠道的底部和側壁形成阻障層;移除該金屬閘極渠道的該側壁處的該阻障層的第一部分;形成該功函數金屬層,其與該阻障層共形;以及填滿閘極填充金屬以使該閘極渠道變平。
在一些實施例中,製造半導體結構的方法中移除該金屬閘極渠道的側壁處的阻障層的第一部分包括:在金屬閘極渠道中填充可蝕刻材料;在金屬閘極渠道中回蝕可蝕刻材料至預定的高度以暴露阻障層的第一部分;移除阻障層的第一部分;以及移除剩餘的可蝕刻材料。
在一些實施例中,從由旋塗玻璃(SOG)、光阻、氧化物和硼磷矽玻璃(BPSG)組成的群組中的至少一者中選擇填充金屬閘極渠道的可蝕刻材料。
在一些實施例中,製造半導體結構的方法中在金屬閘極渠道中回蝕可蝕刻材料至預定的高度以暴露阻障層的第一部分包括回蝕可蝕刻材料至範圍從約2至約20的高度。
在一些實施例中,製造半導體結構的方法中移除阻障層的第一部分在氮化物和氧化物之間執行有蝕刻選擇比的濕蝕刻操作或乾蝕刻操作。
在一些實施例中,製造半導體結構的方法還包括從ILD的頂表面 移除溢出的閘極填充金屬和功函數金屬層。
在一些實施例中,製造半導體結構的方法還包括在形成該功函數金屬層後的離子植入操作。
10‧‧‧半導體結構
100‧‧‧半導體層
100A‧‧‧第一表面
101‧‧‧層間介電層
103‧‧‧金屬閘極
103A‧‧‧底部
103B‧‧‧側壁
103C‧‧‧金屬閘極渠道
1030‧‧‧中間層
1031‧‧‧高k介電層
1033‧‧‧阻障層
1035‧‧‧功函數金屬層
1035A‧‧‧尾部
1037‧‧‧側壁間隔物
1039‧‧‧氮化物層
105A‧‧‧第一部分
105B‧‧‧第二部分
107‧‧‧閘極填充金屬
20‧‧‧半導體結構
201‧‧‧犧牲閘極電極
202‧‧‧可蝕刻材料
30‧‧‧半導體結構
302‧‧‧閘極填充金屬
40‧‧‧半導體結構
T1‧‧‧厚度
T2‧‧‧厚度
T3‧‧‧厚度
T4‧‧‧厚度
A‧‧‧接合部
C‧‧‧底角
W1‧‧‧寬度
H1‧‧‧高度
Lg‧‧‧長度
當閱讀隨附的附圖時,從以下詳細的描述可以最清楚地理解本發明的各個方面。需要強調的是,根據本行業的標準做法,不是按比例繪製各個特徵。事實上,各個特徵的尺寸可以任意增大或減小以便進行清楚的討論。
圖1是根據本發明一些實施例的具有金屬閘極的半導體結構的截面圖;圖2是根據本發明一些實施例的具有金屬閘極的半導體結構的截面圖;圖3是根據本發明一些實施例的具有金屬閘極的半導體結構的截面圖;圖4是根據本發明一些實施例的具有金屬閘極的半導體結構的截面圖;圖5是根據本發明一些實施例的針對金屬閘極結構的半導體結構製造方法的操作;圖6是根據本發明一些實施例的針對金屬閘極結構的半導體結構製造方法的操作;圖7是根據本發明一些實施例的針對金屬閘極結構的半導體結構製造方法的操作;圖8是根據本發明一些實施例的針對金屬閘極結構的半導體結構製造方法的操作;圖9是根據本發明一些實施例的針對金屬閘極結構的半導體結構 製造方法的操作;圖10是根據本發明一些實施例的針對金屬閘極結構的半導體結構製造方法的操作;圖11是根據本發明一些實施例的針對金屬閘極結構的半導體結構製造方法的操作;圖12是根據本發明一些實施例的針對金屬閘極結構的半導體結構製造方法的操作;圖13是根據本發明一些實施例的針對金屬閘極結構的半導體結構製造方法的操作;圖14是根據本發明一些實施例的針對金屬閘極結構的半導體結構製造方法的操作;圖15是根據本發明一些實施例的針對金屬閘極結構的半導體結構製造方法的操作;圖16是根據本發明一些實施例的針對金屬閘極結構的半導體結構製造方法的操作;圖17是根據本發明一些實施例的針對金屬閘極結構的半導體結構製造方法的操作;圖18是根據本發明一些實施例的針對金屬閘極結構的半導體結構製造方法的操作。
在如下的詳細描述中,給出了許多具體細節以提供對於本發明的透徹理解。然而,本領域技術人員將會理解,在沒有這些具體細節的情況下也可以實現本發明。在其他情況下,為了不模糊本發明,沒有詳細描述已知的方法、製程、元件和電路。需要理解的是,為實現各種實施例的不同特徵,以下揭露中提出了很多不同的實施例或示 例。以下描述了組件和安置的具體示例以簡化本揭露。當然,它們僅僅是示例,並不旨在限制本發明。
如下詳細討論實施例的製造和使用。然而,需要理解的是,本發明提出很多適用的發明構思,其體現在各種各樣的具體上下文中。所討論的具體實施例僅僅是製造和使用本發明的示例性之具體方式,並不限制本發明的保護範圍。
鋁植入用於減少平帶電壓(VFB)以及接近電晶體溝道區的金屬閘極(MG)結構的有效功函數。鑒於具有吸引N型電晶體溝道區的負載體的能力,諸如鋁的金屬元素已經作為突出的工具來調整N型電晶體的閾值電壓,從而降低閾值電壓。在MG結構中,將鋁離子植入N-功函數金屬層,其使得鋁原子向位於更接近N型電晶體的溝道區的基礎阻障層或者介電層擴散。
如前面所述,在溝道長度(即在金屬閘極結構的源極區與汲極區之間的距離)足夠長(例如,超過40nm)的情況下,鋁原子減少N型電晶體的閾值電壓。然而,隨著溝道長度根據摩爾定律縮小,弱角打開(weak corner turn on,WCTO)效應成為阻止閾值電壓降低的問題。由於N-功函數金屬層與金屬閘極的底角之間的距離實質上大於N-功函數金屬層與金屬閘極的中心底部之間的距離,鄰近金屬閘極底角的阻障層或介電層相較於鄰近金屬閘極中心底部的阻障層或介電層接收更少的鋁原子。因此,接近金屬閘極底角的閾值電壓不能如接近金屬閘極中心底部的閾值電壓那樣,以相同程度降低。
在短溝道長度金屬閘極中WCTO效應尤其嚴重,這是因為短溝道長度金屬閘極中底角部分比長溝道長度金屬閘極中底角部分占更多比重。結果表明,在N-功函數金屬層給定相同鋁濃度,當溝道長度減小時,所測量的閾值電壓增加。當具有溝道長度組合的金屬閘極一起在同一晶圓上製造時,可以看到WCTO效應。相同的鋁濃度被植入或沉 積到N-功函數金屬層,但是結果顯示,具有較長溝道長度的電晶體中閾值電壓較低,而具有較短溝道長度的電晶體中閾值電壓較高。
本發明的一些實施例提供了一種具有金屬閘極的半導體結構。金屬閘極中N-功函數金屬層以使得更多鋁原子在金屬閘極的底角擴散的方式與所設計的基礎阻障層共形,從而解決由WCTO效應引起的問題。
本發明的一些實施例提供了一種製造具有金屬閘極的半導體結構的方法,金屬閘極具有N-功函數金屬層,其以使得更多鋁原子在金屬閘極的底角擴散的方式與所設計的基礎阻障層共形。
圖1示出了具有金屬閘極103的半導體結構10的截面圖。半導體結構10具有半導體層100,其上存在有金屬閘極103和界定並圍繞金屬閘極103的層間介電層(ILD)101。半導體層100具有第一表面100A,其鄰近電晶體的溝道區。金屬閘極103和ILD 101位於半導體層100的第一表面100A之上。在一些實施例中,此處所指的半導體層100是塊狀半導體基底,其上形成各種層和器件結構。在一些實施例中,塊狀基底包括矽或化合物半導體,例如,GaAs、InP、Si/Ge或SiC。半導體層100上可以形成各種層。例如,介電層、摻雜層、多晶矽層或導電層。半導體層100上可以形成各種器件。例如,電晶體、電阻器和/或電容器,其可以通過互連層與另外的積體電路互連。
在一些實施例中,半導體結構10可以是平面或者非平面電晶體結構,例如,具有半導體層100中各種特徵的MOSFET或FinFET結構。各種特徵包括但不限於輕摻雜源極/汲極區(n型和p型LDD),源極/汲極(S/D)區,矽化物的特徵,接觸蝕刻阻止層(contact etch stop layer,CESL)。需要注意的是,半導體層100中的應變結構,諸如矽鍺(SiGe)和碳化矽(SiC)壓力源,可以分別在P型和/或N型電晶體中形成。
參照圖1,金屬閘極103在側壁103B處由ILD 101包圍。金屬閘極103的底部103A位於半導體層100之上。在一些實施例中,此處的金屬閘極103包括高k介電層1031的水準部分和半導體層100之間的可選中間層1030。在一些實施例中,此處的金屬閘極103包括接觸高k介電層1031的豎直部分的可選的側壁間隔物1037。
在圖1中,金屬閘極103包括高k介電層1031、在高k介電層1031的開放表面形成的阻障層1033,其與高k介電層1031的表面輪廓共形,以及功函數金屬層1035,其與阻障層1033的表面輪廓共形。半導體結構10的高k介電層1031與金屬閘極130的底部103A和側壁103B共形。在金屬閘極130的側壁103B,阻障層1033具有厚度為T1的第一部分105A和厚度為T3的第二部分105B。在金屬閘極130的底部103A,阻障層1033的厚度為T2。在一些實施例中,金屬閘極130的底部103A處的厚度T2大於阻障層1033的第一部分105A的厚度T1。然而,在一些實施例中,金屬閘極130的底部103A處的厚度T2大於阻障層1033的第一部分105A的厚度T1以及阻障層1033的第二部分105B的厚度T3。在一些實施例中,功函數金屬層1035的厚度T4的範圍從大約1到大約20
在圖1中,金屬閘極103的阻障層1033具有厚度為T1的第一部分105A和厚度為T3的第二部分105B,厚度T3大於第一部分105A的厚度T1。因此,與阻障層1033的第一部分105A接觸的功函數金屬層1035比與阻障層1033的第二部分105B接觸功函數金屬層1035更接近金屬閘極103的側壁103B。因此,相較於第一部分的厚度T1與第二部分的厚度T3相同的情形(未示出),連接與第一部分105A和第二部分105B共形的功函數金屬層1035的接合部A更接近金屬閘極103的底角C。
在一些實施例這種,ILD 101包括介電材料。在一些實施例中,介電材料包括氧化矽,氮化矽,氧氮化矽,旋塗玻璃(spin-on glass,SOG),氟化矽玻璃(fluorinated silica glass,FSG),碳摻雜氧化矽(例如,SICOH),BLACK DIAMOND®(加利福尼亞州,聖克拉拉,應用材料公司),XEROGEL®,AEROGEL®,氟化非晶碳,聚對二甲苯,BCB(bis-benzocyclobutenes),FLARE®,SILK®(密歇根州,米德蘭,陶氏化學),聚醯亞胺,其他合適的多孔的聚合物材料,其他適合的介電材料,和/或它們的組合。在一些實施例中,ILD 101包括高密度電漿(high density plasma,HDP)的介電材料(例如,HDP氧化物)和/或高縱深比制程工藝(High Aspect Ratio Process,HARP)介電材料(例如,HARP氧化物)。需要理解的是,ILD 101可以包括一種或多種介電材料和/或一個或複數個介電層。ILD 101通過化學機械拋光(chemical-mechanical-polishing,CMP)工藝被平坦化,直至暴露金屬閘極103的頂部,如圖1所示。CMP工藝包括高選擇性,從而為金屬閘極103、可選的側壁間隔物1037以及ILD 101提供實質平坦的表面。在一些實施例中,CMP工藝具有低凹陷和/或金屬侵蝕效果。
在本發明的一些實施例中,通過ALD、CVD、金屬有機CVD(metalorganic CVD,MOCVD)、PVD、電漿輔助CVD(plasma enhanced CVD,PECVD),電漿輔助ALD(plasma enhance ALD,PEALD),熱氧化,它們的組合,或者其他合適的技術形成高k介電層1031。在一些實施例中,高k介電層1031具有從約5到約30的厚度。高k介電層1031包括二元或三元高k膜。在一些實施例中,高k介電層103包括LaO,AlO,ZrO,TiO,Ta2O5,Y2O3,SrTiO3(STO),BaTiO3(BTO),BaZrO,HfZrO,HfLaO,HfSiO,LaSiO,AlSiO,HfTaO,HfTiO,(Ba,Sr)TiO3(BST),Al2O3,Si3N4,氧氮化物,或其他合適的材料。
在一些實施例中,阻障層1033包括諸如TiN、TaN的金屬氮化物,或諸如鈦的碳氮化物的金屬碳氮化物,或具有通式(M1,M2)(C,N)的其他四元 層,其中,M1和M2是IVa或Va族的不同的金屬。在一些實施例中,阻障層1033的厚度範圍約2到約40。阻障層1033作為保護高k介電層1031的阻障。阻障層1033通過諸如ALD、PVD、CVD、PECVD的各種沉積技術或其他合適的技術形成。在圖1所示的一些實施例中,阻障層1033是包括兩層材料的雙層。例如,阻障層中鄰近護高k介電層1031的一個阻障層由第一組分的金屬氮化物或金屬碳氮化物製成,而阻障層中鄰近功函數金屬層1035的另一阻障層由第二組分的金屬氮化物或金屬碳氮化物製成。例如,若沒有化合物的相對原子濃度,組成該雙層的材料可以是相同的。例如,組成該雙層的材料可以是不同的。例如,組成該雙層的材料可以是相同的但是通過不同的沉積操作形成。在一些實施例中,鄰近功函數金屬層1035的阻障層被稱為蓋層。在一些實施例中,鄰近護高k介電層1031的阻障層具有從約1至約20的厚度,並且鄰近功函數金屬層1035的阻障層具有從約1至約20的厚度。
在一些實施例中,功函數金屬層1035包括諸如TiN的金屬氮化物、諸如TiSiN的金屬矽氮化物或金屬鋁化物。在一些實施例中,當功函數金屬層1035由金屬碳氮化物或金屬矽氮化物製成時,在沉積功函數金屬層1035後通過植入操作將鋁原子進一步引入功函數金屬層1035。在其他實施例中,功函數金屬層1035包括TiAlN、TiAl或TaAl。功函數金屬層1035可以通過諸如ALD、PVD、CVD、PECVD的各種沉積技術或其他合適的技術形成。在一些實施例中,功函數金屬層1035具有從約1至約20的厚度。
在一些實施例中,閘極填充金屬107佈置在金屬閘極103中。閘極填充金屬107包括具有4.2eV至4.8eV的獨立功函數的金屬。在一些實施例中,閘極填充金屬包括W、Al、Co,以及它們的合金。在本發明的一些實施例中,閘極填充金屬107的厚度在500至約3000之間,比功函數金屬層1035和阻障層1033的總厚度厚約5至30倍。
參照圖1,在鄰近金屬閘極103底角C的阻障層部分可以看到階梯輪廓。如圖1所示,該階梯輪廓包括兩個垂直佈置的表面。階梯輪廓的豎直部分具有高度H1,其被定義為佈置在金屬閘極103的底部的阻障層的頂表面與階梯輪廓的水準部分之間的距離。在一些實施例中,階梯輪廓豎直部分的高度H1大於2。在一些實施例中,階梯輪廓水準部分的寬度W1小於阻障層1033的原始厚度。例如,阻障層1033的原始厚度可以被定義為金屬閘極103底部的厚度T3。在圖1中,由於功函數金屬層1035與下麵的阻障層1033共形,因此階梯輪廓被傳遞到功函數金屬層1035,並且可以看到功函數金屬層1035描繪的階梯輪廓。
如圖1的半導體結構10所示,設計的阻障層1033包括階梯輪廓,於是,相較於阻障層1033不具有階梯輪廓的情形,功函數金屬層1035中鄰近阻障層1033第一部分105A的部分更接近金屬閘極103的底角C。當阻障層的第一部分105A和第二部分105B可以通過它們的厚度來區分時,功函數金屬層1035的部分向垂直於側壁103B的方向產生水平移位。該水平移位將功函數金屬層1035轉移到更接近金屬閘極103的底角C的位置。在一些實施例中,水平移位的距離大約是階梯輪廓的水準部分的寬度W1。當阻障層1033被設計為擁有階梯輪廓時,功函數金屬層1035中的鋁原子可以更有效地到達位於更接近N型電晶體的溝道區兩端的基礎阻障層1033和高k介電層1031。
圖2示出了具有金屬閘極103的半導體結構20的截面圖。與圖1具有相同數字標記的元件涉及相同的結構或材料,為了簡單起見不再重複。相較於圖1,阻障層1033第一部分105A的厚度T1在圖2中為零。也就是說,阻障層1033僅保留在底部103A處以及金屬閘極103的側壁103B的第二部分105B處。圖2示出了接合部A處的階梯輪廓,並且階梯輪廓的水準部分的寬度W1阻障層大約等於阻障層103的原始厚度T2。
在圖2中,功函數金屬層1035的水平移位大於圖1所示的功函數金屬層1035的水平移位,在圖1中阻障層1033僅在第一部分105A處被薄化而不是圖2所示的從半導體結構20中完全移除。相較於圖1,由於功函數金屬層1035更大的水平移位,當阻障層1033被設計為擁有階梯輪廓時,功函數金屬層1035中的鋁原子可以更有效地到達位於更接近N型電晶體的溝道區兩端的基礎阻障層1033和高k介電層1031。此外,半導體結構20的金屬閘極103還包括由側壁間隔物1037包圍的可選氮化物層1039。
在一些實施例中,半導體結構20是具有約20nm至約40nm溝道長度Lg的平面N-MOSFET。在其他實施例中,半導體結構20是具有約10nm至約20nm溝道長度Lg的非平面N-FinFET。
圖3示出了具有金屬閘極103的半導體結構30的截面圖。與圖1和2具有相同數字標記的元件涉及相同的結構或材料,為了簡單起見不再重複。相較於圖1,圖3中阻障層1033的第一部分105A的厚度T1以及第二部分的厚度T3都是零。也就是說,在金屬閘極103的側壁103B處不存在阻障層103。在半導體結構30中不能看到階梯輪廓。
在圖3中,功函數金屬層1035的水平移位與圖2所示的水平移位大致相同,其中阻障層1033的第一部分105A被完全移除。此外,在圖3中可以看到向垂直於金屬閘極103的底部103A方向的豎直移位。在圖3中,連接金屬閘極103的側壁103B處並在底部103B上的功函數金屬層1035的接合部A甚至更接近金屬閘極103的底角C。相較於圖2的接合部A,圖3所示的接合部A還朝向底部103A向下豎直移位,於是,功函數金屬層1035中的鋁原子可以更有效地到達位於更接近N型電晶體的溝道區兩端的基礎阻障層1033和高k介電層1031。此外,半導體結構30的金屬閘極103還包括由側壁間隔物1037包圍的可選氮化物層1039,並且氮化物層1039位於半導體層100的第一表面100A之 上。
在一些實施例中,半導體結構30是具有約20nm至約40nm溝道長度Lg的平面N-MOSFET。在其他實施例中,半導體結構30是具有約10nm至約20nm溝道長度Lg的非平面N-FinFET。
圖4示出了具有金屬閘極103的半導體結構40的截面圖。與圖1、圖2和圖3具有相同數字標記的元件涉及相同的結構或材料,為了簡單起見不再重複。類似於圖3,圖4中阻障層1033的第一部分105A的厚度T1以及第二部分的厚度T3都是零。也就是說,在金屬閘極103的側壁103B處不存在阻障層103。在半導體結構40中不能看到階梯輪廓。
在圖4中,功函數金屬層1035的水平移位與圖3所示的水平移位大致相同,其中阻障層1033的第一部分105A和第二部分105B被完全移除。此外,在圖4中可以看到向垂直於金屬閘極103的底部103A方向的豎直移位。在圖4中,功函數金屬層1035相對於金屬閘極103的底角C的最接近部分是尾部1035A,其從連接金屬閘極103的側壁103B處並在底部103A之上的功函數金屬層1035的接合部A突出。相較於圖3的功函數金屬層1035,圖4所示的功函數金屬層1035還包括尾部1035A,其有效地產生朝向底部103A的垂直移位,於是,功函數金屬層1035中的鋁原子可以更有效地到達位於更接近N型電晶體的溝道區兩端的基礎阻障層1033和高k介電層1031。此外,半導體結構40的金屬閘極103還包括由側壁間隔物1037包圍的可選氮化物層1039。
在一些實施例中,半導體結構40是具有約20nm至約40nm溝道長度Lg的平面N-MOSFET。在其他實施例中,半導體結構40是具有約10nm至約20nm溝道長度Lg的非平面N-FinFET。
在溝道長度組合在一起電晶體在同一晶圓上製造的一些實施例中,為了消除WCTO效應,可以實現不同實施例,包括示出在圖1至圖4中的實施例,以增加鋁原子的擴散效力到金屬閘極的底角。例 如,晶圓上具有相對較長溝道長度的一種N型電晶體可以採用圖1或圖2所示的金屬閘極結構,而相同晶圓上具有相對較短溝道長度的另一種N型電晶體可以採用圖3或圖4所示的金屬閘極結構。
圖5至圖14示出了根據本發明的一些實施例針對金屬閘極結構的半導體結構製造方法的操作。在圖5至圖7描述的操作中,形成金屬閘極渠道103C。在圖5中,犧牲閘極電極(sacrificial gate electrode)201封在ILD 101內。根據當前技術在半導體層100上形成中間層1030,間隔物1037和氮化物層1039。在一些實施例中,理想地,中間層1030由在犧牲閘極電極201的移除或蝕刻期間不會被充分腐蝕的材料中製成,從而當隨後移除犧牲閘極電極201時,中間層1030能夠保護下麵的半導體層100。如果中間層1030是生長介電質(grown dielectric),其僅在半導體層100暴露的表面上形成。如果中間層1030是沉積膜,它將氈毯狀沉積在半導體層100下方的絕緣基底(未示出)上以及沉積在半導體層100上。
在圖5中,在犧牲閘極電極201的側壁上形成間隔物1037和氮化物層1039。可以通過氈毯狀沉積覆蓋犧牲閘極電極201頂表面和側壁的共形介電膜而形成間隔物1037和氮化物層1039。氈毯狀沉積的間隔物1037還可以在半導體層100的第一表面100A上形成。形成間隔物1037和氮化物層1039的材料包括但不限於氮化矽、氧化矽、氮氧化矽,或它們的組合。在本發明的一些實施例中,間隔物1037是由熱壁低壓化學氣相沉積(low pressure chemical vapor deposition,LPCVD)操作形成的氮化矽膜。接著,間隔物1037和氮化物層1039例如通過電漿蝕刻或反應離子蝕刻(reactive ion etching,RIE)而被非等向性蝕刻。間隔物1037和氮化物層1039的非等向性蝕刻將介電膜從水準表面(例如,犧牲閘極電極201的頂部以及半導體層100的第一表面100A)移除。在一些事實例中,繼續RIE蝕刻足夠長的時間從而 將間隔物1037和氮化物層1039從所有水準表面移除。
在圖6中,在形成間隔物1037、氮化物層1039和ILD 101後執行平坦化操作,例如化學機械拋光(chemical mechanical polish,CMP)。執行平坦化操作移除犧牲閘極電極201頂表面上過多的ILD 101,直到將犧牲閘極電極201從ILD 101暴露。在圖7中,通過移除犧牲閘極電極201和中間層1030而形成金屬閘極渠道103C。在一些實施例中,犧牲閘極電極201由多晶矽形成。利用包括氫氧化四甲銨和水的濕蝕刻劑移除多晶矽犧牲閘極電極201。在本發明的一個實施例中,氫氧化四甲銨包括10-35%(體積)之間的溶液。在本發明的一個實施例中,在蝕刻期間,氫氧化四甲銨溶液被加熱到60-95攝氏度的溫度之間。在本發明的一個實施例中,在蝕刻製程中,採用諸如超聲波或兆聲波能量的音波能量。音波能量攪動蝕刻劑,從而使得蝕刻殘留物能夠從改變的犧牲閘極電極201中移除並且使得新蝕刻劑進入渠道蝕刻犧牲閘極電極201。
在本發明的一些實施例中,用於犧牲閘極電極201的蝕刻劑對於中間層1030是選擇性的(即,不蝕刻或者僅僅稍微蝕刻中間層1030),從而中間層1030作為對犧牲閘極電極201蝕刻的蝕刻阻止。以這種方式,半導體層100下面的溝道區被保護避免蝕刻劑。在一些實施例中,希望犧牲閘極電極與中間層介電之間的蝕刻選擇比至少為10:1。
接著,移除中間層1030。在本發明的一個實施例中,中間層1030是氧化物,可以利用包括氫氟酸水溶液的蝕刻劑除去。在本發明的一個實施例中,在水蝕刻劑中使用1-2%(體積)的HF。
參照圖8,在金屬閘極渠道103C的內部以及ILD 101的頂部以共形的方式形成中間層1030、高k介電層1031和阻障層1033。在一些實施例中,消除中間層1030,並且在鄰近半導體層100的溝道區直接形 成高k介電層1031。在本發明的一個實施例中,高k介電層1031生長到5-50之間的厚度。在本發明的一個實施例中,高k介電層1031是沉積介電質,例如但不限於,金屬氧化物介電質,例如,五氧化二鉭(Ta2O5)和氧化鈦(TiO2)、氧化鉭、氧化鉿、氧化鋯、氧化鋁、氧化鑭、鑭氧化鋁和矽酸鹽或其矽酸鹽,或其他高k介電質,例如PZT和BST。可以通過任何已知的技術,例如但不限於化學氣相澱積(CVD)或原子層沉積(ALD),形成高介電常數膜。在一些實施例中,在高k介電層1031上形成阻障層1033。在一些實施例中,阻障層1033包括TiN或TaN,其厚度範圍從約5至約30。阻障層1033作為保護高k介電層1031的阻障。阻障層1033是通過各種沉積技術形成的,例如,ALD、PVD、CVD、PECVD或其他合適的技術。
在一些實施例中,阻障層1033包括雙層結構。例如,雙層結構可以由任何兩種金屬碳氮化物層(TiN和TaN)製成。在一些實施例中,通過沉積厚度從約1至約20的TiN膜而形成雙層結構中的第一層(接近高k介電層1031)。通過沉積厚度從約1至約20的TaN膜而形成雙層結構中的第二層(接近功函數金屬層1035)。
圖9至圖12示出了移除金屬閘極渠道103C的側壁103B處的阻障層103的第一部分105A的操作。如圖9所示,可蝕刻材料202填滿金屬閘極渠道103C的內部以及ILD 101的頂部。能夠達到間隙填充並且能夠通過蝕刻操作移除的任何材料都可以被用作可蝕刻材料202。在一些實施例中,可蝕刻材料202是旋塗玻璃(spin-on glass,SOG)。最常用的SOG材料有兩類:無機類矽酸鹽基SOG和有機類矽氧烷基SOG。在一些實施例中,將氧化矽基聚矽氧烷應用於晶圓,作為填充金屬閘極渠道103C的液體。然後,分配的SOG在近400攝氏度的溫度下旋塗和固化。
在圖10中,可蝕刻材料202被回蝕到金屬閘極溝槽103C中預定高 度H1,並且在該回蝕操作後暴露阻障層1033的第一部分105A。在一些實施例中,當可蝕刻材料202是SOG時,可以採用濕蝕刻或乾蝕刻操作移除SOG。例如,包括蝕刻速率控制的緩衝氧化物蝕刻(BOE)或稀釋的HF蝕刻的濕蝕刻操作被用於移除所需的SOG量。再如,乾蝕刻操作包括採用諸如CF4、CHF3或SF6、Ar和O2的氟碳氣體的電漿或反應離子蝕刻。調整RF功率、氣體流以及相對氣體比例以移除所需的SOG量。在一些實施例中,預定高度H1的範圍從約2至約20。因為阻障層1033在ILD 101上沉積,當執行SOG回蝕時,下麵的高k介電層1031將不會被含氟化物的蝕刻劑蝕刻。也就是說,由金屬氮化物製成的阻障層1033用作硬掩膜,保護高k介電層1031避免受到蝕刻,同時移除氧化物基可蝕刻材料。
儘管在本發明中SOG可以被用作可蝕刻材料,諸如光阻、沉積氧化物或硼磷矽玻璃(boro-phospho-silicate-glass,BPSG)的其他材料也可以被用作可蝕刻材料,只要存在金屬氮化物時蝕刻劑能夠選擇性地移除光阻、沉積氧化物或BPSG(即,不蝕刻或僅稍微蝕刻金屬氮化物)。
在圖11中,通過乾蝕刻或濕蝕刻操作移除阻障層1033的第一部分105A。在一些實施例中,阻障層1033的第一部分105A被界定為圖10所示的回蝕可蝕刻材料後暴露的部分。在一些實施例中,用於移除阻障層1033(例如,金屬碳氮化物)的濕蝕刻劑包括30至40份的(重量)硝酸、10份的氫氟酸以及10份的水。用於移除阻障層1033(例如,諸如TiN或TaN的金屬氮化物)的另一種濕蝕刻劑磷酸(H3PO4,80%)、乙酸(CH3COOH,5%),硝酸(HNO3,5%),和水(H2O,10%)的混合物。在一些實施例中,移除阻障層1033的乾蝕刻操作包括採用包括Cl2或BCl3蝕刻氣體的電漿或RIE操作。可蝕刻材料202的高度H1足夠厚以維持乾蝕刻操作移除阻障層1033的第一部分105A,阻 障層但仍側向遮蓋阻障層1033的第二部分105B,並覆蓋金屬閘極103的底部103A處的阻障層。在一些實施例中,預定高度H1的範圍從約2至約20
在一些實施例中,移除阻障層1033的第一部分105A不完全移除阻障層1033,阻障層1033的有限厚度留作金屬閘極103的側壁103B上的殘留。導致隨後沉積的功函數金屬層1035的水平移位的對阻障層1033的第一部分105A的任何部分移除都在本發明的考慮範圍之內。
在圖12中,通過第二蝕刻移除圖11中所示的剩餘可蝕刻材料202。在一些實施例中,所使用的蝕刻劑對於阻障層1033是選擇性的(即,不蝕刻或僅稍微蝕刻阻障層),從而阻障層作為剩餘可蝕刻材料202蝕刻的蝕刻阻止。以這種方式,半導體層100下面的溝道區被保護免受蝕刻劑。在一些實施例中,希望可蝕刻材料比阻障層的蝕刻選擇比至少為10:1。在阻障層1033的第一部分105A被完全移除的一些實施例中,暴露高k介電層1031的部分,於是移除氧化物基可刻蝕材料的蝕刻劑能夠不可避免地蝕刻位於ILD 101頂表面以及金屬閘極103的側壁103B處的高k介電層1031。然而,金屬閘極底部的高k介電層1031通過阻障層1033受到保護從而沒有損壞。當剩餘的可蝕刻材料202是SOG時,可以使用乾蝕刻操作移除剩餘的SOG。例如,乾蝕刻操作包括採用諸如CF4、CHF3或SF6、Ar和O2的氟碳氣體的電漿或反應離子蝕刻。調整RF功率、氣體流以及相對氣體比例以移除剩餘的SOG。
在阻障層1033的第一部分105A被薄化而不是被完全移除並且剩餘的可蝕刻材料202是SOG的一些實施例中,乾蝕刻操作的濕蝕刻可以用於移除剩餘的SOG。之前參照圖12描述了適於本操作的乾蝕刻操作。包括蝕刻速率控制的緩衝氧化物蝕刻(buffer oxide etch,BOE)或稀釋的HF蝕刻的濕蝕刻操作用於移除剩餘的SOG。因為阻障層 1033的第一部分105A被薄化的阻障層1033覆蓋,濕蝕刻操作對於阻障層1033是選擇性的(即,不蝕刻或僅稍微蝕刻阻障層)並且以更大的蝕刻速率移除SOG。
在圖13中,以共形的方式在阻障層1033和高k介電層1031上形成功函數金屬層1035。在一些實施例中,通過原子層沉積、物理氣相沉積、化學氣相沉積、濺射或其他合適的操作在阻障層1033上形成功函數金屬層1035。在一些實施例中,功函數金屬層1035包括合適的金屬,如金屬碳氮化物、金屬鋁化合物、金屬矽氮化物、TiN、TiSiN、TiAlN、TiAl、TaAl、TaN或Ru,其在n型電晶體中正常執行。在一些實施例中,功函數金屬層1035包括多金屬層結構,例如TiN/WN。在一些實施例中,通過ALD操作將鋁原子摻雜在功函數金屬層1035中。在其他實施例中,在形成功函數金屬層1035後執行鋁離子植入操作,從而為n型電晶體調整閾值電壓或金屬閘極電極的功函數。
參照圖10、圖14和圖15,當以過度蝕刻的方式執行之前參照圖11描述的移除阻障層1033的第一部分105A時,金屬閘極103的側壁103B處的阻障層1033的第二部分105B以及第一部分105A被實質移除。在一些實施例中,參照圖10最初確定的可蝕刻材料202的厚度H1足夠厚以維持強加在側壁103B處的阻障層1033上的過度蝕刻操作,但仍保護底部103A處的阻障層1033避免蝕刻劑。在一些實施例中,高度H1的範圍從約2至約20。如圖15所示,以共形的方式在阻障層1033和高k介電層1031上形成功函數金屬層1035。功函數金屬層1035的形成如之前參照圖13所描述,為簡單起見這裏不再重複。
參照圖10、圖16和圖17,當以過度蝕刻的方式執行移除阻障層1033的第一部分105A時,第二部分105B、側壁103B處阻障層1033的第一部分105A以及第二部分105B之下沒有被可蝕刻材料202覆蓋的阻障層1033,被實質上移除。在一些實施例中,參照圖10最初確定的可 蝕刻材料202的厚度H1足夠厚以維持強加在側壁103B處的阻障層1033上的過度蝕刻操作,但仍保護底部103A處的阻障層1033避免蝕刻劑。在一些實施例中,高度H1的範圍從約2至約20。如圖17所示,以共形的方式在阻障層1033和高k介電層1031上形成功函數金屬層1035。在一些實施例中,功函數金屬層1035的部分1035A接觸金屬閘極103的底部103A處的高k介電層1031。功函數金屬層1035的形成如之前參照圖13所描述,為簡單起見這裏不再重複。
參照圖18,閘極填充金屬302填滿金屬閘極渠道103C。在一些實施例中,將包括W、WN、TaN或Ru的單金屬濺射到金屬閘極渠道103C,接著CMP操作移除ILD 101的頂表面上溢出的閘極填充金屬302以及功函數金屬層1035。在一些實施例中,閘極填充金屬302包括多金屬層結構,例如TaN、TiN、W、WN和WCN,或它們的任意組合。
此外,本申請的範圍並旨在限於說明書中描述的製程、機器、製造、物質組成、手段、方法和步驟的特定實施例。本領域普通技術人員容易從本發明的公開中理解,從現存的或後來發展的與此處描述的相應實施例執行實質上相同的功能或實質上能得到相同結果的製程、機器、製造、物質組成、手段、方法或步驟可根據本發明而被使用。
因此,所附請求項旨在包括落入它們範圍內的如製程、機器、製造、物質組成、手段、方法或步驟。此外,每個請求項構成一個單獨的實施例,並且各種請求項和實施例的組合在本公開的範圍之內。
10‧‧‧半導體結構
100‧‧‧半導體層
100A‧‧‧第一表面
101‧‧‧層間介電層
103‧‧‧金屬閘極
103A‧‧‧底部
103B‧‧‧側壁
1030‧‧‧中間層
1031‧‧‧高k介電層
1033‧‧‧阻障層
1035‧‧‧功函數金屬層
1037‧‧‧側壁間隔物
105A‧‧‧第一部分
105B‧‧‧第二部分
107‧‧‧閘極填充金屬
T1‧‧‧厚度
T2‧‧‧厚度
T3‧‧‧厚度
T4‧‧‧厚度
A‧‧‧接合部
C‧‧‧底角
W1‧‧‧寬度
H1‧‧‧高度

Claims (8)

  1. 一種半導體結構,其包括:半導體層,其具有第一表面;以及層間介電層(ILD),其界定該半導體層的該第一表面上的金屬閘極,其中該金屬閘極包括:高k介電層,其與該金屬閘極的底部和側壁共形;不含鋁之阻障層,其與該高k介電層共形;以及含鋁之功函數金屬層,其與該阻障層和該高k介電層共形。
  2. 如請求項1所述的半導體結構,其中,在該金屬閘極的該側壁處不具有該阻障層。
  3. 如請求項1所述的半導體結構,功函數金屬層界定阻障層的第一部分,且功函數金屬層的頂面實質上與高k介電層的頂面共平面。
  4. 如請求項1所述的半導體結構,其中,該阻障層包括雙層。
  5. 一種製造半導體結構的方法,其包括:在層間介電層(ILD)中形成金屬閘極渠道;在該金屬閘極渠道的底部和側壁形成不含鋁之阻障層;移除該阻障層的側壁部分;形成含鋁之功函數金屬層,其與該阻障層共形;以及填滿閘極填充金屬以使該閘極渠道變平。
  6. 如請求項5所述的製造半導體結構的方法,其中,移除該金屬閘極渠道的該側壁處的該阻障層包括:在該金屬閘極渠道中填充可蝕刻材料;在該金屬閘極渠道中回蝕該可蝕刻材料以暴露該阻障層側壁;移除該阻障層的側壁;以及移除剩餘的可蝕刻材料。
  7. 如請求項6所述的製造半導體結構的方法,其中,從由旋塗玻璃(SOG)、光阻、氧化物和硼磷矽玻璃(BPSG)組成的群組中的至少一者中選擇該可蝕刻材料。
  8. 如請求項6所述的製造半導體結構的方法,其中,移除該阻障層的側壁包括在氮化物和氧化物之間執行有蝕刻選擇比的濕蝕刻操作或乾蝕刻操作。
TW103146009A 2014-01-17 2014-12-29 金屬閘極結構及其製造方法 TWI601290B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/158,572 US9583362B2 (en) 2014-01-17 2014-01-17 Metal gate structure and manufacturing method thereof

Publications (2)

Publication Number Publication Date
TW201530764A TW201530764A (zh) 2015-08-01
TWI601290B true TWI601290B (zh) 2017-10-01

Family

ID=53497601

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103146009A TWI601290B (zh) 2014-01-17 2014-12-29 金屬閘極結構及其製造方法

Country Status (5)

Country Link
US (1) US9583362B2 (zh)
KR (1) KR101667116B1 (zh)
CN (1) CN104795437B (zh)
DE (1) DE102014019257B4 (zh)
TW (1) TWI601290B (zh)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9698019B2 (en) 2014-03-14 2017-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. N-work function metal with crystal structure
US10056462B2 (en) * 2014-08-13 2018-08-21 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
US9305923B1 (en) * 2014-12-02 2016-04-05 International Business Machines Corporation Low resistance replacement metal gate structure
US9425103B2 (en) * 2014-12-04 2016-08-23 Globalfoundries Inc. Methods of using a metal protection layer to form replacement gate structures for semiconductor devices
US20160322473A1 (en) * 2015-04-30 2016-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Buffer Layer on Gate and Methods of Forming the Same
US9818841B2 (en) 2015-05-15 2017-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with unleveled gate structure and method for forming the same
US9583485B2 (en) 2015-05-15 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device structure with uneven gate structure and method for forming the same
KR20160148795A (ko) * 2015-06-16 2016-12-27 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102406977B1 (ko) * 2015-07-16 2022-06-10 삼성전자주식회사 소자 분리막을 포함하는 반도체 장치의 제조 방법
CN106409889B (zh) * 2015-08-03 2021-06-22 联华电子股份有限公司 半导体元件
US10164059B2 (en) 2015-09-04 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device and fabricating method thereof
US9722038B2 (en) * 2015-09-11 2017-08-01 International Business Machines Corporation Metal cap protection layer for gate and contact metallization
WO2017052610A1 (en) * 2015-09-25 2017-03-30 Intel Corporation Techniques for bottom-up filling of three-dimensional semiconductor device topographies
DE102016114526B4 (de) 2015-10-20 2021-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Atomlagenabscheidungsverfahren und damit hergestellte Strukturen
US10008574B2 (en) 2015-11-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method of fabricating the same
US9865703B2 (en) * 2015-12-31 2018-01-09 International Business Machines Corporation High-K layer chamfering to prevent oxygen ingress in replacement metal gate (RMG) process
CN107492498B (zh) * 2016-06-13 2020-03-10 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
US10269917B2 (en) * 2016-10-19 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a FinFET with work function tuning layers having stair-step increment sidewalls
US10079289B2 (en) * 2016-12-22 2018-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods thereof
TWI730119B (zh) * 2017-06-09 2021-06-11 聯華電子股份有限公司 具有金屬閘極之半導體元件之製作方法
US10283623B2 (en) 2017-07-27 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with gate stacks
DE102018100042A1 (de) * 2017-07-27 2019-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Integrierte schaltkreise mit gate-stapeln
CN109427685B (zh) * 2017-08-24 2020-11-10 联华电子股份有限公司 动态随机存取存储器的埋入式字符线及其制作方法
US10870799B2 (en) 2017-08-25 2020-12-22 Versum Materials Us, Llc Etching solution for selectively removing tantalum nitride over titanium nitride during manufacture of a semiconductor device
KR102377358B1 (ko) 2017-10-16 2022-03-23 삼성전자주식회사 반도체 메모리 소자 및 그 제조 방법
TWI716818B (zh) * 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10636890B2 (en) * 2018-05-08 2020-04-28 Globalfoundries Inc. Chamfered replacement gate structures
CN110649091B (zh) * 2018-06-27 2024-02-27 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法和电子装置
US10535523B1 (en) * 2018-08-30 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Formation and in-situ etching processes for metal layers
CN109461651A (zh) * 2018-11-05 2019-03-12 武汉新芯集成电路制造有限公司 改善硅化物阻挡层刻蚀缺陷的方法
US11289578B2 (en) 2019-04-30 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching to increase threshold voltage spread
US11088034B2 (en) 2019-05-22 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
CN110459545A (zh) * 2019-07-18 2019-11-15 长江存储科技有限责任公司 存储器及其制作方法
US11139397B2 (en) * 2019-09-16 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned metal compound layers for semiconductor devices
US11295989B2 (en) * 2020-05-26 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
CN114464678A (zh) 2020-11-10 2022-05-10 联华电子股份有限公司 功函数金属栅极装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200812079A (en) * 2006-05-09 2008-03-01 Intel Corp Recessed workfunction metal in CMOS transistor gates
TW201318041A (zh) * 2011-10-26 2013-05-01 United Microelectronics Corp 具有金屬閘極之半導體元件及其製作方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100372643B1 (ko) 2000-06-30 2003-02-17 주식회사 하이닉스반도체 다마신 공정을 이용한 반도체 소자의 제조방법
US20060267113A1 (en) * 2005-05-27 2006-11-30 Tobin Philip J Semiconductor device structure and method therefor
US7682891B2 (en) * 2006-12-28 2010-03-23 Intel Corporation Tunable gate electrode work function material for transistor applications
US8105931B2 (en) * 2008-08-27 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating dual high-k metal gates for MOS devices
US7759239B1 (en) * 2009-05-05 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of reducing a critical dimension of a semiconductor device
US8609484B2 (en) * 2009-11-12 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high-K metal gate device
DE102009047307B4 (de) * 2009-11-30 2012-10-31 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Vergrößerung der Stabilität eines Gatedielektrikums mit großem ε in einem Gatestapel mit großem ε durch eine sauerstoffreiche Titannitriddeckschicht
US8669155B2 (en) * 2010-09-03 2014-03-11 Institute of Microelectronics, Chinese Academy of Sciences Hybrid channel semiconductor device and method for forming the same
TWI552209B (zh) 2011-03-03 2016-10-01 聯華電子股份有限公司 形成半導體元件的方法
CN102810561B (zh) * 2011-06-02 2015-12-02 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
US8546885B2 (en) 2011-07-25 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate electrode of a field effect transistor
US8669618B2 (en) 2011-12-15 2014-03-11 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US9012319B1 (en) * 2013-11-01 2015-04-21 Globalfoundries Inc. Methods of forming gate structures with multiple work functions and the resulting products
US9293551B2 (en) * 2013-11-25 2016-03-22 Globalfoundries Inc. Integrated multiple gate length semiconductor device including self-aligned contacts

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200812079A (en) * 2006-05-09 2008-03-01 Intel Corp Recessed workfunction metal in CMOS transistor gates
TW201318041A (zh) * 2011-10-26 2013-05-01 United Microelectronics Corp 具有金屬閘極之半導體元件及其製作方法

Also Published As

Publication number Publication date
US9583362B2 (en) 2017-02-28
KR20150086165A (ko) 2015-07-27
DE102014019257A1 (de) 2015-07-23
CN104795437B (zh) 2018-06-15
KR101667116B1 (ko) 2016-10-17
US20150206963A1 (en) 2015-07-23
TW201530764A (zh) 2015-08-01
DE102014019257B4 (de) 2023-05-04
CN104795437A (zh) 2015-07-22

Similar Documents

Publication Publication Date Title
TWI601290B (zh) 金屬閘極結構及其製造方法
KR102164061B1 (ko) 게이트 스택을 가지는 집적 회로
JP5503517B2 (ja) 電界効果トランジスタの製造方法
US9824931B2 (en) Semiconductor device and method for fabricating the same
US10056462B2 (en) Metal gate structure and manufacturing method thereof
US9484346B2 (en) Semiconductor structure and manufacturing method thereof
TWI419208B (zh) 半導體裝置的製造方法
US10217869B2 (en) Semiconductor structure including low-K spacer material
TWI567944B (zh) 半導體裝置與其製造方法
JP2005512326A (ja) 非平坦性の影響を最小限にするトランジスタ金属ゲート構造および製造方法
US10651041B2 (en) Semiconductor structure and manufacturing method thereof
TW201631667A (zh) 半導體元件及其製作方法
US20160189970A1 (en) Method for forming a semiconductor structure
US9941372B2 (en) Semiconductor device having electrode and manufacturing method thereof
US8466013B2 (en) Method for manufacturing a semiconductor structure
TWI847254B (zh) 半導體裝置之形成方法
CN105097692B (zh) 半导体器件的形成方法
TW202416350A (zh) 多閘極裝置及其形成方法