TWI593012B - Plasma processing method and plasma processing device - Google Patents

Plasma processing method and plasma processing device Download PDF

Info

Publication number
TWI593012B
TWI593012B TW102130778A TW102130778A TWI593012B TW I593012 B TWI593012 B TW I593012B TW 102130778 A TW102130778 A TW 102130778A TW 102130778 A TW102130778 A TW 102130778A TW I593012 B TWI593012 B TW I593012B
Authority
TW
Taiwan
Prior art keywords
gas
plasma processing
plasma
nickel
processing space
Prior art date
Application number
TW102130778A
Other languages
English (en)
Other versions
TW201423861A (zh
Inventor
Akitoshi Harada
Yen Ting Lin
Chih Hsuan Chen
Ju Chia Hsieh
Shigeru Yoneda
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201423861A publication Critical patent/TW201423861A/zh
Application granted granted Critical
Publication of TWI593012B publication Critical patent/TWI593012B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

電漿處理方法及電漿處理裝置
本發明各種面相及實施形態係關於一種電漿處理方法及電漿處理裝置
半導體製造程序中,係廣泛使用以薄膜沉積或蝕刻等為目的而實行電漿處理之電漿處理裝置。電漿處理裝置舉出有例如進行薄膜沉積處理之電漿CVD(Chemical Vapor Deposition)裝置或進行蝕刻處理之電漿蝕刻裝置等。
電漿處理裝置係具備例如區劃出電漿處理空間之處理容器、將被處理基板設置於處理容器內之試料台、以及用以將電漿反應所必須之處理氣體導入至處理室內之氣體供給系統等。又,電漿處理裝置為了將處理室內之處理氣體電漿化,係具備有供給微波、RF波等之電磁能的電漿產生機構、以及用以將偏壓電壓施加至試料台,而將電漿中之離子朝試料台上所設置的被處理基板加速之偏壓電壓施加機構等。
然而,電漿處理裝置中,在開口出場效電晶體(FET:Field effect transistor)用之接觸孔時,已知要蝕刻在矽化物膜表面形成有氧化矽膜或氮化矽膜之被處理基板。該點例如在專利文獻1已揭示有將鎳矽化物膜表面形成有氧化矽膜或氮化矽膜之被處理基板配置於電漿處理空間,而朝下底之鎳矽化物膜蝕刻被處理基板。
【先前技術文獻】
專利文獻1:日本特開2010-80798號公報
然而,習知技術中,被處理基板知蝕刻特性有與時惡化(變化)之虞的問題。亦即,習知技術中,在朝下底之鎳矽化物膜而蝕刻被處理基板的情況,會蝕刻到鎳矽化物膜本身。因此,習知技術中,被蝕刻之鎳矽化物膜所產生之含鎳物會累積性地附著在對向於電漿處理空間之各種構件而使得電漿處理空間內之電漿密度改變,其結果便有被處理基板之蝕刻特性有與時惡化(變化)之虞。
本發明一面相相關之電漿處理方法為電漿處理裝置中之電漿處理方法。電漿處理方法係包含第1工序、第2工序、第3工序。第1工序係將含氟氣體供給至電漿處理空間,將鎳矽化物膜表面形成有氧化矽膜或氮化矽膜之被處理基板使用該含氟氣體之電漿來進行蝕刻。第2工序係將含氫氣體供給至該電漿處理空間,針對將表面對向於該電漿處理空間所配置之構件將該第1工序後所附著之含鎳物使用該含氫氣體之電漿來進行還原。第3工序係將含氧氣體供給至該電漿處理空間,將該第2工序還原該含鎳物所得之鎳使用該含氧氣體之電漿進行去除
依本發明各種面相及實施形態,便能實現可抑制被處理基板之蝕刻特性的與時惡化(變化)之電漿處理方法及電漿處理裝置。
1‧‧‧電漿處理裝置
11‧‧‧處理容器
20‧‧‧聚焦環
30‧‧‧第1高頻電源
40‧‧‧第2高頻電源
42‧‧‧上部電極
51‧‧‧電極板
52‧‧‧電極支撐體
72‧‧‧處理氣體供給源
72a,72b,72c,72d‧‧‧氣體供給部
74a,74b,74c,74d‧‧‧流量調整機構
150‧‧‧控制部
D1‧‧‧鎳矽化物膜
D2,D4‧‧‧氮化矽膜
D3,D5‧‧‧氧化矽膜
W‧‧‧晶圓
圖1係概略顯示一實施形態相關之電漿處理裝置構成的縱剖視圖。
圖2係顯示使用一實施形態相關之電漿處理裝置所蝕刻之晶圓構成例的圖式。
圖3係用以說明上部電極之電極板附著有含鎳物情況之晶圓蝕刻特性的與時惡化(變化)機制之說明圖。
圖4A係顯示上部電極之電極板附著有含鎳物之模型例的圖式。
圖4B係顯示上部電極之電極板附著有含鎳物之模型例的圖式。
圖5A係顯示本實施形態相關之電漿處理模型例之圖式。
圖5B係顯示本實施形態相關之電漿處理模型例之圖式。
圖5C係顯示本實施形態相關之電漿處理模型例之圖式。
圖6係顯示實施例之電漿處理流程的圖式。
圖7係用以說明本實施形態相關之電漿處理方法之效果的圖式(其1)。
圖8係用以說明本實施形態相關之電漿處理方法之效果的圖式(其2)。
以下,便參照圖式就各種實施形態加以詳細說明。另外,各圖式中係對相同或相當部分賦予相同符號。
電漿處理方法係包含有:第1工序,係將含氟氣體供給至電漿處理空間,將鎳矽化物膜表面形成有氧化矽膜或氮化矽膜之被處理基板使用該含氟氣體之電漿來進行蝕刻;第2工序,係將含氫氣體供給至電漿處理空間, 針對將表面對向於電漿處理空間所配置之構件將第1工序後所附著之含鎳物使用含氫氣體之電漿來進行還原;以及第3工序,係將含氧氣體供給至電漿處理空間,將第2工序還原含鎳物所得之鎳使用含氧氣體之電漿進行去除。
電漿處理方法在一實施形態中,電漿處理裝置在實行第1工序後,係至少重複實行2次第2工序及第3工序。
電漿處理方法在一實施形態中,第2工序係將含氫氣體及含氮氣體供給至電漿處理空間,針對構件將第1工序後所附著之含鎳物使用含氫氣體及含氮氣體之電漿來進行還原。
電漿處理方法在一實施形態中,含氮氣體係N2氣體、NH3氣體及N2H2氣體中之至少任一者氣體。
電漿處理方法在一實施形態中,含氫氣體係H2氣體、CH3F氣體、CH2F2氣體及CHF3氣體中之至少任一者氣體。
電漿處理方法在一實施形態中,含氧氣體係O2氣體、CO2氣體及CO氣體中之至少任一者氣體。
電漿處理裝置在一實施形態中,係具備有:處理容器,係區劃出配置有在鎳矽化物膜表面形成有氧化矽膜或氮化矽膜之被處理基板的電漿處理空間;第1氣體供給部,係將含氟氣體供給至電漿處理空間;第2氣體供給部,係將含氫氣體供給至電漿處理空間;第3氣體供給部,係將含氧氣體供給至電漿處理空間;以及控制部,係實行:第1工序,係從第1氣體供給部將含氟氣體供給至電漿處理空間,使用含氟氣體之電漿來蝕刻被處理基板;第2工序,係從第2氣體供給部將含氫氣體供給至電漿處理空間,針對將表面對向於電漿處理空間所配置之構件將第1工序後所附著之含鎳物使用含氫氣體之電漿來進行還原;以及第3工序,係從第3氣體供給部將含氧氣體供給至電漿處理空間,將第2工序還原含鎳物所得之鎳使用含氧氣體之電漿進行去除。
圖1係概略顯示一實施形態相關之電漿處理裝置構成的縱剖視圖。如圖1所示,電漿處理裝置1係具有區劃出用以進行電漿處理之電漿處理空間S的略圓筒狀處理容器11。處理容器11係藉由接地線12電性連接而接地。又,處理容器11表面係對向於電漿處理空間S。亦即,處理容器11係將表 面對向於電漿處理空間S而加以設置。
處理容器11內係設有保持作為被處理基板之晶圓W的晶圓夾具10。晶圓夾具10其下面係藉由作為下部電極之晶座13加以支撐。晶座13係藉由例如鋁等金屬而形成為略圓盤狀。處理容器11底部係透過絕緣板14而設有支撐台15,晶座13係被支撐在該支撐台15上面。晶圓夾具10內部係設有電極(未圖示),藉由施加直流電壓至該電極所產生之靜電力而可吸附保持晶圓W。
晶座13上面之晶圓夾具10外周部為了提升電漿處理之均勻性,係設有例如矽所構成之導電性聚焦環20。晶座13、支撐台15及聚焦環20係藉由例如石英所構成之圓筒構件21來覆蓋其外側面。又,聚焦環20表面係對向於電漿處理空間S。亦即,聚焦環20係將表面對向於電漿處理空間S來加以設置。
支撐台15內部係設有流通冷媒而為例如圓環狀之冷媒路徑15a,藉由控制該冷媒路徑15a所供給之冷媒溫度,便可控制晶圓夾具10所保持之晶圓W的溫度。又,晶圓夾具10該晶圓夾具10所保持的晶圓W之間係貫穿例如晶座13、支撐台15及絕緣板14而設置有供給作為傳熱氣體之例如氦氣的傳熱氣體管22。
晶座13係透過第1匹配器31而電連接有用以將高頻電功率供給至該晶座13來產生電漿之第1高頻電源30。第1高頻電源30係構成為能輸出例如27~100MHz之頻率,本實施形態中為例如40MHz之高頻電功率。第1匹配器31會將第1高頻電源30之內部阻抗與負荷組抗加以匹配,在處理容器11內產生電漿時,具有使得第1高頻電源30之內部阻抗與負荷組抗看起來一致之功用。
又,晶座13係透過第2匹配器41而電連接有用以將高頻電功率供給至該晶座13來對晶圓W施加偏壓以將離子吸引到晶圓W之第2高頻電源40。第2高頻電源40係構成為會輸出較第1高頻電源30所輸出之高頻電功率之頻率要低,例如400kHz~13.56MHz之頻率,本實施形態中為例如13.56MHz之高頻電功率。第2匹配器41與第1匹配器31同樣地,會將第2高頻電源40之內部阻抗與負荷組抗加以匹配。該等第1高頻電源30、第1匹配器31、第2高頻電源40、第2匹配器41係連接至後述控制部150,該等之動作係 藉由控制部150加以控制。
為下部電極之晶座13上方係對向於晶座13而平行地設有上部電極42。上部電極42係透過導電性支撐構件50而被支撐在處理容器11上部。從而,上部電極42與處理容器11同樣為接地電位。
上部電極42係由對向於晶圓夾具10所保持之晶圓W所形成的電極板51,及從上方支撐該電極板51之電極支撐體52所構成。電極板51係貫穿電極板51而形成有將處理氣體供給至處理容器11內部之複數氣體供給口53。電極板51係由例如焦耳熱較少之低電阻導電體或半導體所構成,本實施形態中,係例如使用矽。又,電極板51對向於晶圓W之表面係對向於電漿處理空間S。亦即,電極板51係將表面對向於電漿處理空間S而加以設置。
電極支撐體52係藉由導電體所構成,本實施形態中,係例如使用鋁。 電極支撐部52內部之中央部係設有形成為略圓盤狀之氣體擴散室54。又,電極支撐體52下部係由氣體擴散室54形成有複數朝下方延伸之氣體孔55,氣體供給口53係透過該氣體孔55連接至氣體擴散室54。
氣體擴散室54係連接有氣體供給管71。氣體供給管71如圖1所示係連接有處理氣體供給源72,從處理氣體供給源72所供給之處理氣體會透過氣體供給管71供給至氣體擴散室54。被供給至氣體擴散室54之處理氣體會通過氣體孔55及氣體供給口53而被導入至處理容器11內。亦即,上部電極42係具有作為將處理氣體供給至處理容器11內之噴淋頭功能。
本實施形態中之處理氣體供給源72係具有氣體供給部72a、氣體供給部72b、氣體供給部72c、氣體供給部72d。氣體供給部72a係供給作為蝕刻處理用氣體的含氟氣體至電漿處理空間S。含氟氣體為例如C4F6氣體或CH2F2氣體。又,該含氟氣體係添加有適當的O2氣體。氣體供給部72a係將含氟氣體供給至電漿處理空間S之第1氣體供給部的一範例。
氣體供給部72b係供給作為蝕刻處理後的還原處理用氣體的含氫氣體至電漿處理空間S。含氫氣體為例如H2氣體、CH3F氣體、CH2F2氣體及CHF3氣體中之至少任一者氣體。氣體供給部72b係將含氫氣體供給至電漿處理空間S之第2氣體供給部的一範例。
氣體供給部72c係供給作為還原處理後之附著物去除處理用氣體的含 氧氣體至電漿處理空間S。含氧氣體為例如O2氣體、CO2氣體及CO氣體中之至少任一者氣體。氣體供給部72c係將含氧氣體供給至電漿處理空間S之第3氣體供給部的一範例。
氣體供給部72d係供給作為蝕刻處理後之還原處理用氣體的含氮氣體至電漿處理空間S。含氮氣體為例如N2氣體。另外,處理氣體供給源72雖未圖示,係供給有其他用於電漿處理裝置1的各種處理之氣體(例如Ar氣體等)。
又,處理氣體供給源72係具備有分別設置在各氣體供給部72a,72b,72c,72d與氣體擴散室54之間的閥73a,73b,73c,73d、及流量調整機構74a,74b,74c,74d。被供給至氣體擴散室54之氣體流量係藉由流量調整機構74a,74b,74c,74d來加以控制。
處理容器11底部係藉由處理容器11內壁及圓筒構件21外側面而形成有用以將處理容器11內氛圍朝該處理容器11外部排出以作為流道功能的排流道80。處理容器11底面係設有排氣口90。排氣口90下方係形成有排氣室91,該排氣室91係藉由排氣管92而連接有排氣裝置93。從而,藉由驅動排氣裝置93,便能透過排氣流道80及排氣口90將處理容器11內氛圍加以排氣,來將處理容器內減壓至既定真空度。
又,處理容器11周圍係與該處理容器11同心狀地配置有環狀磁石100。藉由環狀磁石100,可將磁場施加至晶圓夾具10與上部電極42之間的空間。該環狀磁石100係藉由未圖示之旋轉機構而構成為旋轉自如。
又,電漿處理裝置1係設有控制部150。控制部150為例如電腦,具有記憶體等記憶裝置之程式收納部(未圖示)。程式收納部亦收納有用以控制各電源30,40或各匹配器31,41及流量調整機構74等來使電漿處理裝置1作動之程式。例如,控制部150會進行從氣體供給部72a將含氟氣體供給至電漿處理空間S,將晶圓W使用含氟氣體之電漿來進行蝕刻之控制。又,例如,控制部150會進行從氣體供給部72b將含氫氣體供給至電漿處理空間S,針對將表面對向於電漿處理空間S所配置之構件(例如處理容器11、電極板51及聚焦環20等)將晶圓W蝕刻後所附著之含鎳物使用含氫氣體之電漿來進行還原之控制。又,例如,控制部150會進行從氣體供給部72c將含氧氣體供給至電漿處理空間S,將還原含鎳物所得之鎳使用含氧氣體之電漿進行去 除之控制。
另外,上述程式係記憶在例如電腦可讀取之硬碟(HD)、軟碟(FD)、光碟(CD)、磁光碟(MO)、記憶卡等之電腦可讀取記憶媒體,亦可從其記憶媒體來安裝至控制部150。
接著,就使用電漿處理裝置1來蝕刻之晶圓W構成例加以說明。圖2係顯示使用一實施形態相關之電漿處理裝置所蝕刻之晶圓構成例的圖式。如圖2所示,晶圓W係含有例如鎳矽化物膜D1、氮化矽膜D2、氧化矽膜D3、氮化矽膜D4、氧化矽膜D5、阻劑膜D6及閘極電極G1。
鎳矽化物膜D1係成為場效電晶體(FET:Field effect transistor)用之源極.汲極區域的下底膜。鎳矽化物膜D1表面係依序沉積有氮化矽膜D2、氧化矽膜D3、氮化矽膜D4、氧化矽膜D5及阻劑膜D6。另外,本範例中,鎳矽化物膜D1表面雖係顯示形成氮化矽膜D2,但亦可在鎳矽化物膜D1表面形成氧化矽膜。
氮化矽膜D2及氮化矽膜D4為蝕刻中止膜。氧化矽膜D3及氧化矽膜D5為層間絕緣膜。阻劑膜D6係形成有既定圖案之遮罩膜。氮化矽膜D2、氧化矽膜D3、氮化矽膜D4及氧化矽膜D5係藉由蝕刻而複數穿設有對應於阻劑膜D6之圖案的FET用接觸孔C1。
閘極電極G1係包含有閘極絕緣膜G11、閘極多晶矽膜G12及側壁絕緣膜G13。
然而,上述之電漿處理裝置1中,為了在晶圓W開口出接觸孔C1,係將阻劑膜D6作為遮罩而朝鎳矽化物膜D1蝕刻晶圓W。電漿處理裝置1中,在朝為下底之鎳矽化物膜D1蝕刻晶圓W的情況,接觸孔C1會到達鎳矽化物膜D1,使得鎳矽化物膜D1本身被蝕刻。鎳矽化物膜D1本身被蝕刻時,從鎳矽化物膜D1所產生之含鎳物會附著在將表面對向於電漿處理空間S所配置之構件(例如處理容器11、電極板51及聚焦環20等)。含鎳物附著在將表面對向於電漿處理空間S所配置之構件時,電漿處理空間S內之電漿密度會改變,其結果,晶圓W蝕刻特性便有與時惡化(變化)之虞。以下,便就該點加以說明。另外,以下說明中,雖係舉出上部電極42之電極板51作為將表面對向於電漿處理空間S所配置之構件一範例,但不限於此。本實施形態只要是將表面對向於電漿處理空間S所配置之構件,亦可同樣適 用於處理容器11及聚焦環20等其他構件。
圖3係用以說明上部電極之電極板附著有含鎳物情況之晶圓蝕刻特性的與時惡化(變化)機制之說明圖。圖3係顯示在鎳矽化物附著於上部電極42之電極板51狀況中,將作為蝕刻處理用氣體之O2氣體供給至電漿處理空間S來電漿化之狀態。圖3中,粒子模型110係顯示附著於電極板51之含鎳物所含有之鎳的模型。又,粒子模型120係顯示O2氣體所含有之氧的模型。又,粒子模型122係顯示被電漿化之O2氣體所含有之氧自由基的模型。又,粒子模型124係顯示被電漿化之O2氣體所含有之電子的模型。
如圖3所示,在上部電極42之電極板51附著有含鎳物的情況中,被電漿化之O2氣體所含有之氧自由基會因含鎳物所含有之鎳而非活性化。亦即,以粒子模型122所示之氧自由基會靠近以粒子模型110所示之鎳。含鎳物會與該等氧自由基反應,成為例如鎳氧化物Ni2O3等而沉積在電極板51。從而,附著有含鎳物之電漿處理空間S之電漿密度與未附著有含鎳物之狀態相比便會減少。其結果朝晶圓W之氧自由基的量便會變少,使得蝕刻率等晶圓W之蝕刻特性會與時惡化(變化)。另外,圖3中,雖係顯示將O2氣體作為蝕刻處理用氣體而供給至電漿處理空間S之範例,但O2氣體以外之其他處理氣體被供給至電漿處理空間S的情況亦同樣地應會有晶圓W蝕刻特性之與時惡化(變化)。又,在電漿產生用上部電極42之電極板51累積性地沉積Ni2O3般之金屬氧化物時,平行平板型電漿裝置之靜電電容便會改變。其結果,在供給相同高頻的情況,由於電漿密度會因其金屬氧化膜之累積量而變動,故晶圓W之蝕刻特性便會與時惡化(變化)。
接著,就上部電極42之電極板51附著有含鎳物的情況之模型例加以說明。圖4A及圖4B係顯示上部電極42之電極板51附著有含鎳物之模型例的圖式。圖4A及圖4B中,係說明晶圓W蝕刻後於電極板51附著有含鎳物之Ni2O3之範例。圖4A及圖4B中,分子模型群510係顯示晶圓W蝕刻後於電極板51附著鎳之模型。
本實施形態之電漿處理首先係實行將含氟氣體(例如C4F6氣體或CH2F2及O2氣體)供給至電漿處理空間S,使用含氟氣體之電漿來蝕刻晶圓W之第1工序。例如,電漿處理係為了在晶圓W開口出接觸孔C1,而使用含氟氣體之電漿,將阻劑膜D6作為遮罩而朝鎳矽化物膜D1蝕刻晶圓W。藉此, 如圖4A所示,電極板51表面便會附著有從被蝕刻之晶圓W的鎳矽化物膜D1所產生之含鎳物的Ni(分子模型群510)。因此,第1工序可稱作為例如「蝕刻工序」。
又,圖4B中,分子模型群530係顯示氫的模型。
蝕刻工序係以下述化學反應式(1)概略地表示。其中,H*為氫自由基,CF*為CF自由基。
NiSi+H*+CF* → Ni+SiH4+CF*...(1)
如圖4B所示,Ni會朝電極板51附著,該等會因蝕刻工序所含有之O2氣體之自由基而被氧化成Ni2O3並沉積。該沉積工序係以下述化學反應式(2)表示。其中,O*為氧自由基。
Ni+O* → Ni2O3...(2)
接著,說明晶圓W蝕刻後對電極板51附著含鎳物之Ni2O3情況之電漿處理的模型例。圖5A~圖5C係顯示本實施形態相關之電漿處理的模型例之圖式。圖5A~圖5C中,係說明晶圓W蝕刻後對電極板51附著有含鎳物之Ni2O3之範例。圖5A~圖5C中,分子模型群610係顯示晶圓W蝕刻後附著於電極板51之Ni2O3所含有之鎳的模型。又,圖5A~圖5C中,分子模型620係顯示晶圓W蝕刻後附著於電極板51之Ni2O3所含有之氧的模型。
本實施形態之電漿處理首先係實行將含氟氣體(例如C4F6氣體或CH2F2氣體及O2氣體)供給至電漿處理空間S,使用含氟氣體之電漿來蝕刻晶圓W之第1工序。例如,電漿處理係為了在晶圓開口出接觸孔C1,而使用含氟氣體之電漿,將阻劑膜D6作為遮罩而朝鎳矽化物膜D1蝕刻晶圓W。藉此,如圖5A所示,電極板51表面便會附著有從被蝕刻之晶圓W的鎳矽化物膜D1所產生之含鎳物的Ni2O3(分子模型群610及分子模型620)。因此,第1工序可稱作為例如「蝕刻工序」。
又,圖5B中,分子模型群630係顯示氮的模型。又,圖5B中,分子模型群640係顯示氫的模型。
本實施形態之電漿處理係實行將含氫氣體(例如H2氣體)與含氮氣體(例如N2氣體、NH3氣體及N2H2氣體中至少任一者氣體)供給至電漿處理空間S,對電極板51使用含氫體及含氮氣體之電漿來還原第1工序後所附著之Ni2O3的第2工序。藉此,如圖5B所示,含氫氣體及含氮氣體會還原電極板51 表面之Ni2O3,而產生NH3OH氣體,來從電極板51表面之Ni2O3去除氧。然後,電極板51表面中,氧會從Ni2O3被移除而殘留鎳。因此,第2工序可被稱為例如「還原工序」。還原工序係以下述化學反應式(3)表示。其中,含氫氣體之一範例係使用H2氣體,但亦可為CH3F氣體、CH2F2氣體、CHF3氣體、NH3氣體及N2H2氣體中之至少任一者氣體。
Ni2O3+N2+H2 → Ni+NH3OH...(3)
又,圖5C中,分子模型群650係顯示碳的模型。又,圖5C中,分子模型群660係顯示氧的模型。
本實施形態之電漿處理係實行將含氧氣體(例如CO2氣體)供給至電漿處理空間S,使用含氧氣體之電漿將第2工序還原NiSi所獲得之鎳加以去除之第3工序。藉此,如圖5C所示,電極板51表面所殘留之鎳會與含氧氣體之電漿化學反應而產生配位化合物之Ni(CO)4氣體,而從電極板51表面將鎳去除。因此,第3工序可被稱為例如「去除工序」。去除工序係以下述化學反應式(4)表示。
Ni+CO2 → Ni2O3+Ni(CO)4...(4)
如上述般,本實施形態之電漿處理及電漿處理裝置1係以第1工序將含氟氣體供給至電漿處理空S,使用含氟氣體之電漿來蝕刻晶圓W。然後,本實施形態之電漿處理及電漿處理裝置1係以第2工序將含氫氣體供給至電漿處理空間S,對電極板51使用含氫體之電漿來還原第1工序後所附著之含鎳物,使得電極板51表面殘存有鎳。然後,本實施形態之電漿處理及電漿處理裝置1係以第3工序將含氧氣體供給至電漿處理空間S,使用含氧氣體之電漿來去除第2工序還原含鎳物所得之鎳,而產生配位化合物之Ni(CO)4。因此,依本實施形態,即使在蝕刻時從晶圓W所產生之含鎳物附著在對向於電漿處理空間S之各種構件的情況,仍可從各種構件將含鎳物適當地加以去除,故可抑制電漿處理空間S內之電漿密度的變動。其結果,依本實施形態,便可抑制晶圓W之蝕刻特性的與時惡化(變化)。
又,本實施形態之電漿處理及電漿處理裝置1亦可以第2工序將含氫氣體及含氮氣體供給至電漿處理空間S,對電極板51使用含氫氣體及含氮氣體之電漿來還原第2工序後所附著之含鎳物。因此,依本實施形態,即使在對向於電漿處理空間S之各種構件所附著之含鎳物係含有Ni2O3的情況, 仍可從Ni2O3將鎳適當地還原。
接著,就本實施形態之電漿處理的實施例加以說明。圖6係顯示實施例之電漿處理的流程圖。
首先,實施例之電漿處理中,係實行蝕刻工序(步驟S101)。具體而言,控制部150會控制流量調整機構74a等,將C4F6氣體或CH2F2氣體及O2氣體朝電漿處理空間S供給。然後,控制部150會控制第1高頻電源30及第2高頻電源40,將C4F6氣體或CH2F2氣體及O2氣體電漿化,C4F6氣體或CH2F2氣體及O2氣體的電漿來蝕刻晶圓W。
接著,實施例之電漿處理中,會實行使用含氫氣體及含氮氣體之還原工序(步驟S102)。具體而言,控制部150會控制流量調整機構74b,74d等,將H2氣體/N2氣體以50/300sccm之比例朝電漿處理空間S供給。然後,控制部150會控制第1高頻電源30及第2高頻電源40,將H2氣體/N2氣體電漿化,使用H2氣體/N2氣體之電漿來還原對向於電漿處理空間S之電極板51所附著之Ni2O3
接著,實施例之電漿處理中,會實行去除工序(步驟S103)。具體而言,控制部150會控制流量調整機構74c等,將CO2氣體朝電漿處理空間S供給。然後,控制部150會控制第1高頻電源30及第2高頻電源40,將CO2氣體電漿化,使用CO2氣體之電漿來去除還原Ni2O3所獲得之鎳。
依實施例之電漿處理,藉由進行蝕刻工序來蝕刻晶圓W,之後藉由進行還原工序來還原電極板51所附著之Ni2O3使得電極板51表面殘留有鎳,之後藉由進行去除工序,便可去除成為配位化合物Ni(CO)4之鎳。因此,依實施例之電漿處理,即使在蝕刻時從晶圓W產生之含鎳物附著在電極板51的情況,仍可有效率地去除含鎳物所含有之Ni2O3,故可抑制電漿處理空間S內之電漿密度變動。其結果,便可抑制晶圓W之蝕刻特性之與時變動。另外,實施例中,雖係例示在實行蝕刻工序後進行1次之使用含氫氣體及含氮氣體之還原工序及去除工序的群組,但亦可在蝕刻工序後重複實行2次以上之使用含氫氣體及含氮氣體之還原工序及去除工序的群組。
接著,就本實施形態之電漿處理方法的效果加以說明。圖7係用以說明本實施形態電漿處理方法效果的圖式(其1)。圖7中,係顯示使用本實施形態電漿處理之情況的晶圓W之Vpp變動。Vpp係晶圓W表面高頻電功率之 電壓值最大值與最小值之差。圖7中,縱軸為晶圓W之Vpp(V),橫軸為測量晶圓W之Vpp的日期。該Vpp(V)可知道與高頻電功率之電漿密度相關,可謂言該Vpp(V)之變動即電漿密度之變動。
圖7中,群組710係顯示部用本實施形態之電漿處理而實行對晶圓W使用O2之乾清潔(DC:Dry Cleaning)處理情況之晶圓W的Vpp與日期之關係的圖表。族群720係顯示實行DC處理後實行本實施形態之電漿處理情況的Vpp與日期之關係的圖表。
如群組710所示,不使用本實施形態之電漿處理來實行DC處理的情況,晶圓W之Vpp會隨著日期經過而減少。這應該是蝕刻時從晶圓W之鎳矽化物D1所產生之含鎳物累積附著在對向於電漿處理空間S之各種構件,而使得電漿處理空間S內之電漿密度產生變動之故。
相對於此,在使用本實施形態之電漿處理的情況,係藉由進行還原工序及去除工序,來去除附著在電極板51之含鎳物。其結果,如群組720所示,晶圓W之Vpp與對應於晶圓W之Vpp量測開始日期的「3/1」之Vpp加以比較,會回復至相同的程度。這應該是即便在蝕刻時從晶圓W之鎳矽化物D1所產生之含鎳物附著在對向於電漿處理空間S之各種構件的情況,仍能從各種構件將含鎳物適當地去除之故。
圖8係用以說明本實施形態之電漿處理方法之效果的圖式(其2)。圖8中,橫軸係顯示電漿處理裝置1搬入之晶圓W的批次號,縱軸係顯示晶圓W之Vpp(V)。
圖8中,圖表810係顯示不用本實施形態之電漿處理而實行DC處理情況的晶圓W之Vpp與晶圓W批次號的關係之圖表。圖表820係顯示實行本實施形態之電漿處理情況之晶圓W之Vpp與晶圓W批次號的關係之圖表。
將圖表810與圖表820加以比較,實行本實施形態之電漿處理方法情況之晶圓W之Vpp的減少幅度與實行DC處理的情況相比會變小。該範例中,實行本實施形態之電漿處理方法情況之晶圓W的Vpp之減少幅度與實行DC處理情況之晶圓W之Vpp的減少幅度相比會小上72%。這應該是在實行本實施形態之電漿處理情況,即使為蝕刻時從晶圓W之鎳矽化物膜D1所產生之含鎳物附著在對向於電漿處理空間S之各種構件的情況,仍能從各種構件將含鎳物適當地加以去除之故。
S101‧‧‧蝕刻工序
S102‧‧‧使用含氫氣體及含氮氣體之還原工序
S103‧‧‧去除工序

Claims (19)

  1. 一種電漿處理方法,係在電漿處理裝置中之電漿處理方法,係含有:第1工序,係將含氟氣體供給至電漿處理空間而生成電漿,將鎳矽化物膜表面形成有氧化矽膜及氮化矽膜之至少一者之被處理基板使用該含氟氣體之電漿來進行蝕刻;第2工序,係將含氫氣體供給至該電漿處理空間而生成電漿,針對將表面對向於該電漿處理空間所配置之構件將該第1工序後所附著之含鎳物使用該含氫氣體之電漿來進行還原;以及第3工序,係將含氧氣體供給至該電漿處理空間而生成電漿,將該第2工序還原該含鎳物所得之鎳使用該含氧氣體之電漿進行去除;藉由進行該第2及第3工序,來去除將表面對向於該電漿處理空間所配置之構件上的含鎳物膜,以抑制該被處理基板之Vpp改變。
  2. 如申請專利範圍第1項之電漿處理方法,其係至少重複實行2次該第2工序及該第3工序。
  3. 如申請專利範圍第1項之電漿處理方法,其中該第2工序係以該含氫氣體及該含氮氣體來加以進行。
  4. 如申請專利範圍第3項之電漿處理方法,其中該含氮氣體係N2氣體、NH3氣體及N2H2氣體中之至少一者的氣體。
  5. 如申請專利範圍第1項之電漿處理方法,其中該含氫氣體係H2氣體、CH3F氣體、CH2F2氣體、CHF3氣體、NH3氣體以及N2H2氣體中之至少一者的氣體。
  6. 如申請專利範圍第1項之電漿處理方法,其中該含氧氣體係O2氣體、CO2氣體及CO氣體中之至少一者的氣體。
  7. 一種電漿處理裝置,係具備有:處理容器,係區劃出配置有在鎳矽化物膜表面形成有氧化矽膜及氮化矽膜的至少一者之被處理基板的電漿處理空間;第1氣體供給部,係將含氟氣體供給至該電漿處理空間;第2氣體供給部,係將含氫氣體供給至該電漿處理空間;第3氣體供給部,係將含氧氣體供給至該電漿處理空間;以及控制部,係實行:第1工序,係從該第1氣體供給部將該含氟氣體供給至該電漿處理空間而生成電漿,使用該含氟氣體之電漿來蝕刻該被處理基板;第2工序,係從該第2氣體供給部將該含氫氣體供給至該電漿處理空間而生成電漿,針對將表面對向於該電漿處理空間所配置之構件將該第1工序後所附著之含鎳物使用該含氫氣體之電漿來進行還原;以及第3工序,係從該第3氣體供給部將該含氧氣體供給至該電漿處理空間而生成電漿,將該第2工序還原該含鎳物所得之鎳使用該含氧氣體之電漿進行去除;藉由進行第2工序及第3工序,來去除表面對向於該電漿處理空間所配置之構件上的含鎳物膜,以抑制該被處理基板之Vpp改變;藉由進行第2工序及第3工序,來去除表面對向於該電漿處理空間所配置之構件上的含鎳物膜,以抑制該被處理基板之Vpp改變。
  8. 一種電漿處理方法,在電漿處理裝置中之電漿處理方法,係含有:在該電漿處理裝置之電漿處理空間形成鎳膜與氧化矽及氮化矽膜之至少一者,並將含氟氣體供給至該電漿處理空間而生成該含氟氣體之電漿,並藉由該電漿來蝕刻該氧化矽膜及氮化矽膜之至少一者的第1工序;藉由該第1工序來讓含鎳物附著於將表面對向於該電漿處理空間所配置之構件上,來減少(改變)該被處理基板之Vpp,將含氫氣體供給至該電漿處理空間而生成該氫氣體之電漿,並藉由該含氫氣體之電漿來還原該構件上的該含鎳物的(a)工序;將該含氧氣體供給至該電漿處理空間而生成該含氧氣體之電漿,並藉由該含氧氣體之電漿來去除該構件上的含鎳膜的(b)工序;以及 藉由去除該構件上之含鎳物,來回復(抑制)該被處理基板之Vpp減少(改變)的工序。
  9. 如申請專利範圍第8項之電漿處理方法,其係至少重複實行2次該(a)工序及該(b)工序。
  10. 如申請專利範圍第8項之電漿處理方法,其中該(a)工序之氣體係含氫氣體與含氮氣體之混合氣體。
  11. 如申請專利範圍第8項之電漿處理方法,其中該含氫氣體係H2氣體、CH3F氣體、CH2F2氣體、CHF3氣體、NH3氣體以及N2H2氣體中之至少一者的氣體。
  12. 如申請專利範圍第10項之電漿處理方法,其中該含氮氣體係N2氣體、NH3氣體及N2H2氣體中之至少一者的氣體。
  13. 如申請專利範圍第8項之電漿處理方法,其中該含氧氣體係O2氣體、CO2氣體及CO氣體中之至少一者的氣體。
  14. 如申請專利範圍第8項之電漿處理方法,其中該含鎳物係NiSi。
  15. 如申請專利範圍第10項之電漿處理方法,其中該含氫氣體係H2氣體、CH3F氣體、CH2F2氣體、CHF3氣體、NH3氣體以及N2H2氣體中之至少一者的氣體。
  16. 一種電漿處理方法,在電漿處理裝置中之電漿處理方法,係含有:第1工序,係將含氟氣體供給至電漿處理空間而生成電漿,將金屬矽化物膜表面形成有氧化矽膜及氮化矽膜之至少一者之被處理基板使用該含氟氣體之電漿來進行蝕刻; 第2工序,係將含氫氣體供給至該電漿處理空間而生成電漿,針對將表面對向於該電漿處理空間所配置之構件將該第1工序後所附著之含金屬物使用該含氫氣體之電漿來進行還原;以及第3工序,係將含氧氣體供給至該電漿處理空間而生成電漿,將該第2工序還原該含金屬物所得之金屬使用該含氧氣體之電漿進行去除;藉由進行該第2及第3工序,來去除將表面對向於該電漿處理空間所配置之構件上的含金屬物膜,以抑制該被處理基板之Vpp改變。
  17. 如申請專利範圍第16項之電漿處理方法,其係至少重複實行2次該第2工序及該第3工序。
  18. 一種電漿處理方法,在電漿處理裝置中之電漿處理方法,係含有:在該電漿處理裝置之電漿處理空間準備形成有金屬矽膜與氧化矽膜及氮化矽膜之至少一者的被處理基板之工序;將含氟氣體供給至該電漿處理空間而生成該含氟氣體之電漿,並藉由該電漿來蝕刻該氧化矽膜及該氮化矽膜之至少一者之工序;讓含金屬物附著於將表面對向於該電漿處理空間所配置之構件上之工序;藉由讓該含金屬物附著於該構件上,來減少該被處理基板之Vpp之工序;將含氫氣體供給至該電漿處理空間而生成該含氫氣體之電漿,並藉由該含氫氣體之電漿來還原該構件之該含金屬物之(a)工序;將含氧氣體供給至該電漿處理空間而生成該含氧氣體之電漿,並藉由該含氧氣體之電漿來去除該構件上的該含金屬物之(b)工序;以及藉由去除該構件上之含金屬物,來回復(抑制)該被處理基板之Vpp減少。
  19. 如申請專利範圍第18項之電漿處理方法,其係至少重複實行2次該(a)工序及該(b)工序。
TW102130778A 2012-08-29 2013-08-28 Plasma processing method and plasma processing device TWI593012B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012189063A JP6063181B2 (ja) 2012-08-29 2012-08-29 プラズマ処理方法、及びプラズマ処理装置

Publications (2)

Publication Number Publication Date
TW201423861A TW201423861A (zh) 2014-06-16
TWI593012B true TWI593012B (zh) 2017-07-21

Family

ID=50183486

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102130778A TWI593012B (zh) 2012-08-29 2013-08-28 Plasma processing method and plasma processing device

Country Status (7)

Country Link
US (2) US9209041B2 (zh)
EP (1) EP2879167B1 (zh)
JP (1) JP6063181B2 (zh)
KR (1) KR102104867B1 (zh)
CN (1) CN104603917B (zh)
TW (1) TWI593012B (zh)
WO (1) WO2014034674A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018121898A1 (en) * 2016-12-27 2018-07-05 Evatec Ag Rf capacitive coupled etch reactor
US20210249273A1 (en) * 2018-05-08 2021-08-12 Sony Semiconductor Solutions Corporation Etching method of oxide semiconductor film, oxide semiconductor workpiece, and electronic device
JPWO2019235196A1 (ja) * 2018-06-08 2021-06-17 株式会社アルバック 酸化膜除去方法、および、酸化膜除去装置
JP7190938B2 (ja) * 2019-02-27 2022-12-16 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3801366B2 (ja) * 1998-09-17 2006-07-26 株式会社日立製作所 プラズマエッチング処理装置のクリーニング方法
JP2001053008A (ja) * 1999-08-04 2001-02-23 Applied Materials Inc 半導体製造装置のクリーニング方法
JP2001335937A (ja) * 2000-05-29 2001-12-07 Mitsubishi Heavy Ind Ltd 金属汚染低減方法及びプラズマ装置の再生方法
JP4669605B2 (ja) 2000-11-20 2011-04-13 東京エレクトロン株式会社 半導体製造装置のクリーニング方法
US7452823B2 (en) * 2005-03-08 2008-11-18 Tokyo Electron Limited Etching method and apparatus
JP2006261216A (ja) * 2005-03-15 2006-09-28 Matsushita Electric Ind Co Ltd 半導体装置の形成方法
KR101185757B1 (ko) * 2005-06-20 2012-09-25 고에키자이단호진 고쿠사이카가쿠 신고우자이단 층간 절연막 및 배선 구조와 그것들의 제조 방법
DE102005030584B4 (de) * 2005-06-30 2010-11-25 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Nickelsilizid durch Abscheiden von Nickel aus einem gasförmigen Vorstufenmaterial
JP2010080798A (ja) 2008-09-29 2010-04-08 Renesas Technology Corp 半導体集積回路装置および半導体集積回路装置の製造方法

Also Published As

Publication number Publication date
US9209041B2 (en) 2015-12-08
JP2014049496A (ja) 2014-03-17
EP2879167A1 (en) 2015-06-03
US9953862B2 (en) 2018-04-24
US20160315005A1 (en) 2016-10-27
CN104603917B (zh) 2018-04-17
KR20150048135A (ko) 2015-05-06
EP2879167B1 (en) 2019-10-09
KR102104867B1 (ko) 2020-04-28
US20150221522A1 (en) 2015-08-06
JP6063181B2 (ja) 2017-01-18
EP2879167A4 (en) 2016-03-09
TW201423861A (zh) 2014-06-16
WO2014034674A1 (ja) 2014-03-06
CN104603917A (zh) 2015-05-06

Similar Documents

Publication Publication Date Title
JP7079686B2 (ja) 成膜方法及び成膜装置
US20200381263A1 (en) Method of processing target object
US9911607B2 (en) Method of processing target object
TWI571930B (zh) 電漿處理方法及電漿處理裝置
TWI593012B (zh) Plasma processing method and plasma processing device
KR20190026844A (ko) 피처리체를 처리하는 방법
JP7418632B2 (ja) プラズマ処理装置
JP2023118883A (ja) プラズマ処理装置
US20220246440A1 (en) Substrate processing method and substrate processing apparatus
US9305795B2 (en) Plasma processing method
TWI797739B (zh) 蝕刻方法、電漿處理裝置及基板處理系統
JP7309799B2 (ja) エッチング方法及びプラズマ処理装置
JP2022074000A5 (zh)

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees