TWI585855B - 鰭式場效電晶體結構及其製造方法 - Google Patents

鰭式場效電晶體結構及其製造方法 Download PDF

Info

Publication number
TWI585855B
TWI585855B TW104139099A TW104139099A TWI585855B TW I585855 B TWI585855 B TW I585855B TW 104139099 A TW104139099 A TW 104139099A TW 104139099 A TW104139099 A TW 104139099A TW I585855 B TWI585855 B TW I585855B
Authority
TW
Taiwan
Prior art keywords
fin
layer
sidewall
fins
gate
Prior art date
Application number
TW104139099A
Other languages
English (en)
Other versions
TW201635379A (zh
Inventor
蔡俊雄
倪俊龍
陳科維
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201635379A publication Critical patent/TW201635379A/zh
Application granted granted Critical
Publication of TWI585855B publication Critical patent/TWI585855B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2255Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides
    • H01L21/2256Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides through the applied layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66492Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a pocket or a lightly doped drain selectively formed at the side of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Description

鰭式場效電晶體結構及其製造方法
本揭露係關於半導體領域,更具體而言關於鰭式場效電晶體(Fin field effect transistor,FinFET)結構及其製造方法。
半導體積體電路(integrated circuit,IC)產業經歷指數型增長。IC材料與設計的技術進步產生各代的IC,其中每一代都比前一代具有更小且更複雜的電路。在IC演進的過程中,一般而言,功能密度(即,每晶片面積之互連裝置的數量)增加而幾何尺寸(即,使用製程可製造出的最小元件(或線))減小。此種按比例縮小製程通常藉由增加生產效率並降低相關成本而提供利益。
此種按比例縮小亦增加處理及製造IC的複雜度,且為了實現此等進步,在IC處理與製造亦需要類似的發展。例如,引入三維電晶體,如鰭式場效電晶體,以替代平面電晶體。鰭式電晶體具有與頂面及相對之兩側壁相關的通道(稱作鰭通道)。該鰭通道的總通道寬度係以頂面及相對之兩側壁定義。雖目前的FinFET裝置及製造FinFET裝置之方法通常能夠滿足期望的目的,但其等未能滿足所有方面。例如,在FinFET裝置製程發展中,鰭的寬度及輪廓之變化(尤其在鰭的端部)成為挑戰。此一領域的改善受到期望。
根據本揭露之一方面,提供一種FinFET結構,包含:複數鰭;閘極,實質上垂直地設置於複數鰭上方,覆蓋複數鰭之部分頂面與部分側壁;以及第一摻雜層,覆蓋第一鰭之接合部的頂面與側壁,第一摻雜層配置為對第一鰭之接合部提供第一導電型的摻雜物,其中,接合部與閘極相鄰。
在一些實施例中,該FinFET結構更包含:側壁間隔件,覆蓋接合部上方之第一摻雜層。
在一些實施例中,該FinFET結構更包含:第二摻雜層,覆蓋第二鰭之接合部的頂面與側壁,第二摻雜層配置為對第二鰭之接合部提供第二導電型的摻雜物。
在一些實施例中,該FinFET結構更包含:雙層,覆蓋第二鰭之接合部的頂面與側壁,其中,雙層包括第一摻雜層與擴散阻障層。
在一些實施例中,第一摻雜層包括硼矽酸鹽玻璃(BSG)、磷矽酸鹽玻璃(PSG)、硼磷矽酸鹽玻璃(BPSG)或其等之組合。
在一些實施例中,第一鰭中之第一摻雜物濃度在鄰近第一鰭的頂面處與側壁處均勻分布。
在一些實施例中,第一摻雜層的厚度界於約1nm至約8nm之範圍內。
根據本揭露之另一方面,提供一種MOS結構,包含:第一半導體鰭;以及金屬閘極,覆蓋MOS結構之通道。其中,第一半導體鰭包含:再生區域;及輕摻雜區域,位於再生區域與金屬閘極之間,其中,鄰近輕摻雜區域的頂面之第一摻雜物濃度與鄰近輕摻雜區域的底部側壁之第一摻雜物濃度,實質上相同。
在一些實施例中,鄰近輕摻雜區域的頂面之第一摻雜物濃度與鄰近 輕摻雜區域的側壁之第一摻雜物濃度之間的差,為約5%以下。
在一些實施例中,第一摻雜層覆蓋輕摻雜區域的頂面與側壁,第一摻雜層配置為對輕摻雜區域提供具有第一導電型之摻雜物。
在一些實施例中,第一摻雜層包括BSG、PSG、BPSG或其等之組合。
在一些實施例中,第一摻雜層的厚度界於約1nm至約8nm之範圍內。
在一些實施例中,該MOS結構更包含:第二半導體鰭,該第二半導體鰭具有位於再生區域與金屬閘極之間的輕摻雜區域,其中,鄰近輕摻雜區域的頂面之第二摻雜物濃度與鄰近輕摻雜區域的底部側壁之第二摻雜物濃度,實質上相同。
在一些實施例中,鄰近輕摻雜區域的頂面之第二摻雜物濃度與鄰近輕摻雜區域的側壁之第二摻雜物濃度之間的差,為約5%以下。
根據本揭露之更另一方面,提供一種製造FinFET結構之方法,包括:形成複數半導體鰭;於複數半導體鰭之第一組鰭的頂面與側壁上方形成擴散阻障層;於複數半導體鰭之第二組鰭的頂面與側壁上方形成第一摻雜層,第一摻雜層包含第一導電型之摻雜物;藉由退火操作使第一導電型之摻雜物擴散至複數半導體鰭之第二組鰭中,其中,將複數半導體鰭的第二組鰭之鄰近頂面的第一導電型之摻雜物的摻雜物濃度,控制為複數半導體鰭的該第二組鰭之鄰近底部側壁的第一導電型之摻雜物的摻雜物濃度實質上相同。
在一些實施例中,該製造FinFET結構之方法更包含:於退火操作之前,在第一摻雜層上方形成覆蓋層。
在一些實施例中,形成第一摻雜層包含:藉由原子層沉積(ALD)操作形成厚度界於約3nm至約5nm範圍內之摻雜氧化物層。
在一些實施例中,形成覆蓋層包括:藉由ALD操作形成厚度界於約8nm至約12nm範圍內之氮化物層。
在一些實施例中,退火操作包含界於約950℃至約1050℃之溫度範圍內的約1.5秒至約10秒之持續時間。
在一些實施例中,該製造FinFET結構之方法更包含:藉由蝕刻操作從複數半導體鰭之第一組鰭處去除擴散阻障層。
10‧‧‧FinFET(Fin field effect transistor,鰭式場效電晶體)結構
100、101、103、200、201、203‧‧‧鰭
1011、2011‧‧‧頂部區域
1013、2013‧‧‧側壁區域
1015、2015‧‧‧側壁底部區域
103A、201A‧‧‧頂面
103B、201B‧‧‧側壁
2015‧‧‧底部側壁
104‧‧‧側壁間隔件
105‧‧‧閘極
1051、1053‧‧‧側壁
105’‧‧‧替換閘極
106‧‧‧氧化物層
106’‧‧‧高k介電層
107‧‧‧接合部(接合區域)
109‧‧‧第一摻雜層
119‧‧‧擴散阻障層
129、219‧‧‧覆蓋層
200’‧‧‧絕緣層
209‧‧‧第二摻雜層
300‧‧‧被覆層
301‧‧‧再生源極
303‧‧‧再生汲極
1400‧‧‧遮罩層
1900‧‧‧光阻
自後述詳述說明與附屬圖式,可最佳理解本揭露之各方面。須注意,依據產業之標準實施方式,各種構件並非依比例繪製。實際上,為了清楚討論,可任意增大或減小各種構件之尺寸。
圖1顯示根據本揭露之一些實施例的FinFET結構之立體圖。
圖2顯示根據本揭露之一些實施例的沿著圖1所示之FinFET結構的AA線之剖面圖。
圖3顯示根據本揭露之一些實施例的沿著圖1所示之FinFET結構的AA線之剖面圖。
圖4顯示根據本揭露之一些實施例的FinFET結構之接合部的剖面圖。
圖5顯示根據本揭露之一些實施例的FinFET結構之接合部的剖面圖。
圖6顯示根據本揭露之一些實施例的沿著圖1所示之BB線與圖5所示之CC線的FinFET結構之剖面圖。
圖7顯示根據本揭露之一些實施例的沿著圖1所示之BB線與圖5所示之DD線的FinFET結構之剖面圖。
圖8顯示根據本揭露之一些實施例的FinFET結構之接合部的剖面圖。
圖9顯示根據本揭露之一些實施例的FinFET結構之接合部的剖面圖。
圖10顯示根據本揭露之一些實施例的沿著圖1所示之BB線與圖9所示之EE線的FinFET結構之剖面圖。
圖11顯示根據本揭露之一些實施例的沿著圖1所示之BB線與圖9所示之FF線的FinFET結構之剖面圖。
圖12至圖18顯示根據本揭露之一些實施例的關於FinFET結構之製造方法的沿著圖1之AA線的部分剖面圖。
圖19至圖22顯示根據本揭露之一些實施例的關於FinFET結構之製造方法的沿著圖1之AA線的部分剖面圖。
圖23至圖29顯示根據本揭露之一些實施例的關於FinFET結構之製造方法的沿著圖1之BB線的部分剖面圖。
以下揭露之內容提供許多不同的實施例或範例,用於實施本案所提供之主題的不同特徵。元件與配置的特定範例之描述如下,以簡化本揭露。自然,此等僅為範例,並非用於限制本揭露。例如,以下在第二構件上或上方形成第一構件的敘述,可包含形成直接接觸之第一與第二構件的實施例,亦可包含在該第一與第二構件之間形成其他構件,因而該第一與第二構件並未直接接觸的實施例。另外,本揭露可在不同範例中重複元件符號及/或字母。此一重複之目的係為了簡化與清晰化,而非支配所討論的各實施例及/或架構之間的關係。
另,為了易於描述,可使用空間對應語詞,例如「之下」、「低於」、「較低」、「高於」、「較高」等類似語詞之簡單說明,以描述圖式中一元件或構件與另一元件或構件的關係。空間對應詞語係用以包括除了圖式中描述的位向之外,裝置於使用或操作中之不同位向。裝置可被定 位(旋轉90度或是其他位向),並可相應解釋本揭露使用的空間對應描述。
在半導體FinFET結構中,輕摻雜汲極(lightly-doped drain,LDD)區域形成於閘極與汲極區域或源極區域之間的邊界附近。在形成鰭上的凹部之前,於輕摻雜汲極(LDD)的形成使用例如砷或磷之佈植摻雜物。在n型鰭之LDD佈植期間,藉由光阻塊覆蓋相鄰設置的p型鰭,以防止n型摻雜物轟擊p型鰭。形成在對應的n型鰭內之LDD佈植,基本上受到該光阻塊的高度限制,而導致佈植遮蔽效應(implant shadowing effect),其中第一佈植角度受到光阻塊的高度約束。另外,兩個相鄰n型鰭之間的間隔(例如,n型鰭的間距)決定遮蔽n型鰭之特定區域以使其不被佈植之第二佈植角度。第一佈植角度與第二佈植角度可為約10度或10度以下。結果,可觀察到因佈植遮蔽效應所導致之不均勻的LDD頂部至底部摻雜物分布。例如,對應的鰭LDD區域的底部較頂部之鰭LDD的摻雜物濃度小約30%或以下。
更具體而言,具有期望的摻雜物類型(如n型)之鰭的頂面、第一側壁、及與第一側壁相對之第二側壁的摻雜物濃度可顯著不同。例如,鰭的頂面可能接收最大量之摻雜物,但鰭的底部側壁可能接收較頂部對應明顯更少之摻雜物。此外,由於第一側壁、及第二側壁周圍之環境不同,故第一側壁可能較與該第一側壁相對之第二側壁接收更多的摻雜物。例如,覆蓋具有相反導電型的鰭之光阻塊可設置為緊鄰第一側壁,而暴露出的鰭可設置為與第二側壁相鄰。因此對於第一側壁、及第二側壁之遮蔽效應不同。因此,在佈植之後,頂面、第一側壁、及第二側壁的摻雜物濃度蝕質上不同。即使隨後為了活化摻雜物並使其再分布而進行退火操作,但其效果僅限於一定程度。
為了解決鰭之LDD區域中的摻雜物之不均勻分布,例如增加佈植能 量之解決方案已被提出。然而,不僅摻雜物之垂直範圍,摻雜物之橫向範圍亦可能隨著佈植能量的增加而擴展。由於LDD區域接近通道區域,故摻雜物之橫向侵入會在通道區域中實質上建立散射中心,因而電晶體裝置之性能劣化。鰭的頂面、第一側壁、及第二側壁的摻雜物濃度之均勻性,對於裝置的Ion性能至為關鍵。應理解,電流密度集中在通道區域的表面處,在此處鰭與環繞鰭之閘極接觸。位於缺乏足夠摻雜物之LDD區域的鰭表面,形成流經通道之電流的瓶頸。因此,於鰭上形成在頂面、第一側壁、及與第一側壁相對之第二側壁具有均勻摻雜物分布之LDD區域,對改善裝置性能而言至為關鍵。
本揭露提供一種FinFET結構,其可在LDD區域沿著鰭的頂面、第一側壁、及第二側壁,形成均勻之摻雜物分布。
本揭露亦提供一種形成FinFET結構之方法,其中該FinFET結構可在LDD區域沿著鰭的頂面、第一側壁、及第二側壁形成均勻之摻雜物分布。
參照圖1,圖1係FinFET結構10之立體圖。FinFET結構10僅顯示絕緣層(例如淺溝槽隔離部(shallow trench isolation,STI))上方之部分。在一些實施例中,半導體鰭101及103係n型鰭,且兩個鰭的底部延伸至絕緣層(未於圖1中顯示)中。FinFET結構10更包括實質上垂直地設置於鰭101及103上方之閘極105。然而,鰭與閘極之間的正交並非為了實現此處所述之FinFET結構的必要條件。其他配置(例如傾斜或交錯)亦在本揭露所設想之範圍內。鰭101及103沿著鰭的縱向貫穿閘極105之側壁1051及1053。因此,閘極105覆蓋鰭101及103之一部分頂面與一部分側壁。為了清楚化,未在圖1中顯示鰭被閘極105覆蓋的部分。在一些實施例中,將鰭被閘極105覆蓋的部分被稱作鰭103的通道部分。
仍然參照圖1,鰭101及103之接合部107可被定義為鰭之與閘極105鄰近的區域。在一些實施例中,接合部107可為鰭之LDD區域或Halo區域。參照鰭103,第一摻雜層109覆蓋於鰭103的頂面103A及側壁103B上。第一摻雜層109可包括n型摻雜物(例如磷),且配置為對鰭之該第一摻雜層109所覆蓋的區域提供n型摻雜物。在一些實施例中,第一摻雜層109可包括磷矽酸鹽玻璃(PSG)或摻雜磷之氧化物。圖1中之接合區域107與閘極105之側壁1051相鄰。在閘極105的相對側上,亦形成與閘極105之側壁1053相鄰的另一第一摻雜層(未圖示),以覆蓋鰭103的頂面103A及側壁103B。
仍然參照圖1,將側壁間隔件104設置於閘極105之兩個側壁1051、1053。為了清楚化,以立體圖顯示側壁間隔件104,以可觀察到間隔件104覆蓋之接合區域107及第一摻雜層109。在其他實施例中,第一摻雜層109可未完全覆蓋在間隔件104下方。
參照圖2,圖2係沿著圖1所示之FinFET結構的AA線之剖面圖。在圖2中,沿著線AA切開的剖面暴露出鰭103之接合部107、第一摻雜層109、以及圍繞該接合部與該第一摻雜層之側壁間隔件104。圖2進一步顯示絕緣層200’(例如STI)的表面下方之部分。如圖2所示,鰭101及103包括從絕緣層200’凸出之部分、及被絕緣層200’圍繞之部分。僅從絕緣層200’凸出之部分被第一摻雜層109覆蓋。在一些實施例中,鰭101的從絕緣層200’突出之部分,具有約30nm至約50nm的高度。鰭101的被絕緣層200’圍繞之部分,具有約60nm至約80nm的高度。覆蓋鰭101的頂面101A與側壁101B之第一摻雜層109,可具有界於約2nm至約8nm之範圍內的厚度T1。具有如圖2所示地配置之第一摻雜層的FinFET結構,顯示鄰近鰭101的頂面101A與側壁101B之區域的均勻之摻雜物分布。例如,頂部區域1011與側壁區域1013的摻雜 物濃度實質上相同。換言之,頂部區域1011與側壁區域1013間之摻雜物濃度的差為約5%以下。
在一些實施例中,可藉由掃描擴展電阻式顯微鏡(SSRM)測量二維摻雜物濃度映射(mapping)。SSRM提供廣範圍電阻映射及高空間解析度的載子(carrier)密度輪廓圖。藉由使用SSRM作為測量方式,而可映射鰭101及103中的摻雜物濃度分布,且可比較頂部區域1011與側壁區域1013的摻雜物濃度。
參照圖3,圖3係沿著圖1所示之FinFET結構的AA線之剖面圖。除了第一摻雜層109外,更於該第一摻雜層上方設置覆蓋層129。在一些實施例中,覆蓋層129的厚度T2界於約5nm至約10nm之範圍內。在一些實施例中,覆蓋層129與側壁間隔件104可由相同之材料(例如SiN)製成,或其等可由不同材料製成,以使覆蓋層129與側壁間隔件104有所區別。與未於鰭103之接合區域107上方設置第一摻雜層109的習知FinFET結構相比,即使在退火操作前,本揭露之頂部區域1011與側壁底部區域1015的摻雜物濃度仍實質上相同。在未設置摻雜層之情況下,由於佈植遮蔽效應,到達側壁底部1015的佈植量減少,因此即使在佈植後退火後,頂部區域1011與側壁底部區域1015之間的摻雜物濃度仍顯著不同。
參照圖4,圖4顯示根據本揭露之一些實施例的FinFET結構之接合部的剖面圖。在圖4之剖面圖中顯示四個鰭的接合部。將鰭101及103稱作「第一鰭」100或「第一組鰭」。將鰭201及203被稱作「第二鰭」200或「第二組鰭」。在一些實施例中,第一鰭100與第二鰭200之導電型不同。例如,第一鰭100係n型鰭,而第二鰭200係p型鰭。如圖4所示,將第一摻雜層109形成為與第一鰭100直接接觸,而將擴散阻障層119形成為與第二鰭200直接接觸,而隔開第二鰭200與第一摻雜 層109間。換言之,在第一鰭100上方形成單層,在第二鰭200上方形成雙層。在一些實施例中,雙層包括第一摻雜層109與擴散阻障層119。第一摻雜層109的厚度界於約1nm至約8nm之範圍內。擴散阻障層119應具有足夠厚度以防止第一摻雜層109中之n型摻雜物擴散至第二鰭200中。在一些實施例中,擴散阻障層119的厚度為界於約5nm至約10nm之間。
參照圖5,圖5顯示根據本揭露之一些實施例的FinFET結構之接合部的剖面圖。圖4與圖5之間的區別在於,在第一鰭100之第一摻雜層109與第二鰭200之第一摻雜層109上方進一步設置覆蓋層129。在一些實施例中,覆蓋層129與擴散阻障層119由相同材料製成。在其他實施例中,覆蓋層129與擴散阻障層119由不同材料製成。在一些實施例中,覆蓋層129的厚度與擴散阻障層119的厚度實質上相同。如本揭露稍後的討論,圖5所示之覆蓋層129係為了在高溫退火操作中為第一摻雜層109提供穩定的密封。
參照圖6,圖6顯示根據本揭露之一些實施例的沿著圖1之BB線與圖5之CC線的FinFET結構之剖面圖。閘極105與側壁間隔件104位於第一鰭103上方。圖6所示的虛線表示橫跨第一鰭103之閘極105與側壁間隔件104的隱藏輪廓。第一摻雜層109與覆蓋層129設置於第一鰭103上方,且與閘極105之側壁1051及1053鄰接。如圖6所示,再生源極301與再生汲極303部分地形成於第一鰭103中。在一些實施例中,再生區域的最寬部分侵入至側壁間隔件104下方。在其他實施例中,再生區域的最寬部分,與形成於第一鰭103之接合部107處的輕摻雜區域或LDD上方之三層接觸。
參照圖7,圖7顯示根據本揭露之一些實施例的沿著圖1之BB線與圖5之DD線的FinFET結構之剖面圖。閘極105與側壁間隔件104 位於第二鰭201上方。圖7所示的虛線表示橫跨第二鰭201之閘極105與側壁間隔件104的隱藏輪廓。阻障層119、第一摻雜層109、及覆蓋層129設置於第二鰭201上方,且與閘極105之側壁1051及1053鄰接。如圖7所示,再生源極301與再生汲極303部分地形成於第二鰭201中。在一些實施例中,再生區域的最寬部分侵入至側壁間隔件104下方。在其他實施例中,再生區域的最寬部分,與形成於第二鰭201之接合部107處的輕摻雜區域或LDD上方之三層接觸。
參照圖8,圖8顯示根據本揭露之一些實施例的FinFET結構之接合部的剖面圖。如圖8所示,將第二摻雜層209形成為與第二鰭200直接接觸,而將第一摻雜層109形成為與第一鰭100直接接觸。在一些實施例中,第二摻雜層209包括與第一摻雜層109為相反型之摻雜物。例如,第二摻雜層209包括硼矽酸鹽玻璃(BSG)或摻雜硼之氧化矽。第二摻雜層209覆蓋第二鰭200的頂面201A與側壁201B。第二摻雜層209直接接觸第二鰭200,以允許該第二摻雜層中所包含的第二摻雜物擴散至第二鰭200中。
仍然參照圖8,於第一鰭100上方之第一摻雜層109上方,依序設置覆蓋層129與第二摻雜層209。換言之,於第一鰭100上方形成三層,而於第二鰭200上方形成單層。第二摻雜層209的厚度界於約1nm至約8nm之範圍內,其與第一摻雜層109的厚度類似。如圖8所示,根據SSRM測量,第二鰭200之頂部區域2011與底部側壁2015的第二摻雜物濃度實質上相同。換句話說,第二鰭200之頂部區域2011與側壁區域2013的第二摻雜物濃度之間的差為約5%以下。類似地,第一鰭100之頂部區域1011與底部側壁1015的第一摻雜物濃度實質上相同。換句話說,第一鰭100之頂部區域1011與側壁1013的第一摻雜物濃度之間的差為約5%以下。
參照圖9,圖9顯示根據本揭露之一些實施例的FinFET結構之接合部的剖面圖。圖8與圖9之間的區別在於,在第一鰭100之第二摻雜層209與第二鰭200之第二摻雜層209上方進一步設置覆蓋層219。如本揭露稍後的討論,圖9所示之覆蓋層219係為了在高溫退火操作中為第二摻雜層209提供穩定的密封。
參照圖10,圖10顯示根據本揭露之一些實施例的沿著圖1之BB線與圖9之EE線的FinFET結構之剖面圖。閘極105與側壁間隔件104位於第一鰭103上方。圖10所示的虛線表示橫跨第一鰭103之閘極105與側壁間隔件104的隱藏輪廓。將第一摻雜層109、覆蓋層129、第二摻雜層209、及覆蓋層219依序設置於第一鰭103上方,且與閘極105之側壁1051及1053鄰接。
參照圖11,圖11顯示根據本揭露之一些實施例的沿著圖1之BB線與圖9之FF線的FinFET結構之剖面圖。閘極105與側壁間隔件104位於第二鰭201上方。圖11所示的虛線表示橫跨第一鰭103之閘極105與側壁間隔件104的隱藏輪廓。將第二摻雜層209與覆蓋層219依序設置於第二鰭201上方,且與閘極105之側壁1051及1053鄰接。如圖11所示,再生源極301與再生汲極303部分地形成於第二鰭201中。在一些實施例中,再生區域的最寬部分侵入至側壁間隔件104下方。在其他實施例中,再生區域的最寬部分,與形成於第二鰭201之接合部107處的輕摻雜區域或LLD上方之三層接觸。
圖12至圖18顯示根據本揭露之一些實施例的沿著圖1之AA線的各部分剖面圖,並顯示製造FinFET結構之方法的各個操作。在圖12中,使用本領域習知之微影與蝕刻技術形成複數個半導體鰭101、103、201、203,而後藉由沉積絕緣層200’並將該絕緣層200’回蝕至預定高度,以暴露出半導體鰭的部分。在一些實施例中,從絕緣層200’凸出的高度H1 與被絕緣層200’圍繞的高度H2之比,界於約0.3至約1的範圍內。將鰭101及103以「第一組鰭」100表示。在本揭露中,將鰭201及203以「第二組鰭」200表示。第一組鰭100與第二組鰭200可具有不同導電型之摻雜物。
參照圖13與圖14,將擴散阻障層119形成於第二組鰭200的頂面201A與側壁201B上方。在圖13中,實施擴散阻障層119之毯覆式沉積以覆蓋所有鰭,隨後如圖14所示,進行光微影與蝕刻操作,以去除擴散阻障層119之設置於第一組鰭100上方的部分。圖案化的遮罩層1400可為光阻。在一些實施例中,擴散阻障層119可為厚度為約3nm至約8nm之SiN層。可使用以H3PO4為基底之化學物去除SiN層。在一些實施例中,在形成遮罩層1400之前,於擴散阻障層119上方共形地沉積底部抗反射塗層(BARC)。在一些實施例中,例如,於半導體晶片的I/O區域中,在沉積擴散阻障層119之前,於鰭上方沉積氧化物層(未圖示)。因此,進行另外的操作,以將氧化物層去除直至暴露出第一組鰭100為止。
參照圖15,在去除圖14中之遮罩層1400後,於所有鰭上方共形地沉積包含第一類型之摻雜物(例如n型摻雜物,如磷或砷)的第一摻雜層109。在一些實施例中,使用原子層沉積(ALD)沉積第一摻雜層109。ALD用於將所沉積之層的厚度控制在原子級(level)之操作中。在一些實施例中,使用電漿增強ALD(PEALD)沉積厚度為約2nm至約8nm之間的PSG層。PSG層可包含大於或約為1E22/cm3的第一摻雜物濃度。另外,可使用PEALD形成遞變的第一摻雜層109,其中,第一摻雜物濃度在鄰近鰭處較大,隨著遠離鰭而逐漸減小。在圖15中,第一摻雜層109與第一組鰭100的頂面103A及側壁103B直接接觸,但其與第二組鰭200之間藉由擴散阻障層119隔開。
參照圖16,可選擇性地將覆蓋層129沉積於先前沉積在第一組鰭100上方之第一摻雜層109的上方。在一些實施例中,可藉由ALD或PEALD將覆蓋層129沉積為約8nm至約12nm的厚度。在一些實施例中,覆蓋層129包括補償側壁沉積與虛設側壁沉積。參照圖15與圖16,可在單次操作中進行第一摻雜層109與覆蓋層129之ALD操作。在一些實施例中,覆蓋層包含氮化物材料。
參照圖17,執行退火操作,以使摻雜物從第一摻雜層109擴散至第一組鰭100。在一些實施例中,退火操作包括尖峰退火,其在約950℃至約1050℃之溫度下持續約1.5秒至10秒。如圖17所示,藉由擴散阻障層119阻擋位於第二組鰭200上方之第一摻雜層109中的摻雜物,防止第一摻雜物擴散至第二組鰭200中。在一些實施例中,在1000℃的溫度與1秒的持續時間內進行尖峰退火,可藉由二次離子質譜(SIMS)測量,在鰭與摻雜層之界面下方25nm處測量到大於1E19/cm3的摻雜物濃度。類似地,在1000℃與10秒持續時間內進行尖峰退火,可在界面下方60nm處測量到大於1E19/cm3的摻雜物濃度。圖16中形成之覆蓋層129可作為堅固的堅固的屏蔽使用,以防止第一摻雜層109在退火操作下揮發。
在一些實施例中,半導體的平均鰭寬度W為約10nm至約15nm,尖峰退火後之擴散輪廓(profile)可有效地覆蓋半導體鰭的寬度W,因此如圖18所示,第一組鰭100之頂部區域1011與側壁區域1013的摻雜物濃度實質上相同。此外,第一組鰭100之剖面的摻雜物濃度,沿著第一組鰭100的頂面101A與側壁101B呈現出倒U形之輪廓(未圖示)。
在圖18中,可於第一組與第二組鰭上方形成被覆層300。在僅摻雜n型鰭之接合部的一些實施例中,被覆層300可為先前如圖1至圖11所討論之側壁間隔件。在其他實施例中,當n型鰭與p型鰭之接合部皆進行摻雜時,被覆層300可為光阻。圖19至圖22顯示在摻雜n型鰭後進 一步摻雜p型鰭的操作。應理解,可使用上述操作或等同方式分別執行n型LDD摻雜或p型LDD摻雜。進行n型LDD摻雜與p型LDD摻雜之順序,並非本揭露所欲限制之內容。
在圖19中,光阻1900被圖案化,且藉由適當之蝕刻操作去除擴散阻障層119、第一摻雜層109、覆蓋層129,以暴露出第二組鰭200。在去除光阻1900之後,圖20中,在與先前圖15中描述之類似條件下,於第二組鰭200上方沉積第二摻雜層209。第二摻雜層209包含第二類型之摻雜物,例如硼。BSG或摻雜硼之氧化物可用於形成第二摻雜層209。在一些實施例中,可在沉積第二摻雜層209之前或之後進行退火操作。如圖21所示,如先前圖16所述地,於第二摻雜層209上方形成可選的覆蓋層219,隨後進行退火操作。第二摻雜層209中之第二摻雜物及第一摻雜層109中之第一摻雜物,分別擴散至第二組鰭200與第一組鰭100中。在圖22中,形成側壁間隔件104,以覆蓋所有鰭。第二組鰭200之頂部區域2011與側壁區域2013的第二摻雜物濃度實質上相同。在同一裝置中,第一組鰭100之頂部區域1011與側壁區域1013的第一摻雜物濃度實質上相同。
圖23至圖29係沿著圖1之BB線的各剖面圖,顯示在第一鰭103之接合部107上方形成第一摻雜層109後的操作。在圖23中,將可選的氧化物層106形成於替換閘極105’下方且位於第一鰭103上方。在一些實施例中,例如,於裝置之I/O區域中,在形成半導體鰭後毯覆式沉積可選的氧化物層。第一摻雜層109與覆蓋層129係依序且共形地形成於接合部107與替換閘極105’上方。在圖24中,將側壁間隔件104形成為至少覆蓋接合部107並因而覆蓋第一摻雜層109。亦可形成其他介電層,以圍繞側壁間隔件104,但為了簡化並未於圖24中顯示。在圖25中,將再生源極301與再生汲極303形成於第一鰭103中,且與側壁間 隔件104鄰接。在一些實施例中,再生源極與汲極係藉由於第一鰭103中之凹部(未圖示)中沉積適當材料而形成。將第一鰭103暴露於含磷、含碳、及含矽之蒸汽源(source vapor)的脈衝,以在凹部中沉積磊晶材料。在一些實施例中,脈衝更包含含碳蒸汽源與含矽蒸汽源。在一些實施例中,包含PH3之含磷蒸汽源更具有界於約260sccm與約310sccm之間的流速。在一些實施例中,包含甲矽烷(MMS)之含碳蒸汽源更具有界於約132sccm與約120sccm之間的流速。在一些實施例中,包含SiH4或Si3H8之含矽蒸汽源具有約190sccm的流速。在一些實施例中,磊晶材料具有界於約2E21/cm3與約5E21/cm3之間的磷濃度,該磊晶材料被配置為在通道內產生拉伸應變。
在圖26中,執行平坦化操作例如化學機械拋光操作,以去除部分側壁間隔件104及第一摻雜層109,因而暴露出替換閘極105’。圖27顯示藉由蝕刻操作,例如乾蝕刻操作,而去除替換閘極105’。可在乾蝕刻操作期間去除第一摻雜層109之一部分。而後,如圖28所示,進行濕蝕刻操作。覆蓋層129與剩餘之第一摻雜層109均可在濕蝕刻操作中去除。使用適當的蝕刻操作去除氧化物層106。
參照圖29,藉由多層沉積形成金屬閘極105,以填充由於替換閘極105’之去除而形成的溝槽。在一些實施例中,於沉積金屬閘極105之前形成高k介電層106’。金屬閘極的頂面進一部經受平坦化操作。
本揭露之一些實施例提供一種FinFET結構,包括:複數鰭、閘極、及第一摻雜層。於複數鰭上方實質上垂直地設置閘極,以覆蓋複數鰭之部分頂面與部分側壁。第一摻雜層覆蓋第一鰭之接合部的頂面與側壁,該第一摻雜層配置為對第一鰭之接合部提供第一導電型的摻雜物。接合部與閘極相鄰。
在一些實施例中,FinFET結構更包括覆蓋接合部上方之第一摻雜層 的側壁間隔件。
在一些實施例,FinFET結構更包括第二摻雜層以覆蓋第二鰭之接合部的頂面與側壁,該第二摻雜層配置為對第二鰭之接合部提供第二導電型的摻雜物。
在一些實施例,FinFET結構更包括雙層,以覆蓋第二鰭之接合部的頂面與側壁。雙層包括第一摻雜層與擴散阻障層。
在一些實施例,第一摻雜層包括硼矽酸鹽玻璃(BSG)、磷矽酸鹽玻璃(PSG)、硼磷矽酸鹽玻璃(BPSG)或其等之組合。
在一些實施例,第一鰭中之第一摻雜物濃度在鄰近第一鰭的頂面處與側壁處均勻分布。
在一些實施例,第一摻雜層的厚度界於約2nm至約8nm之範圍內。
本揭露之一些實施例提供一種MOS結構,包括第一半導體鰭、以及覆蓋MOS結構之通道的金屬閘極。第一半導體鰭包括再生區域及輕摻雜區域。輕摻雜區域位於再生區域與金屬閘極之間。鄰近輕摻雜區域的頂面之第一摻雜物濃度與鄰近輕摻雜區域的底部側壁之第一摻雜物濃度,實質上相同。
在一些實施例,鄰近輕摻雜區域的頂面之第一摻雜物濃度與鄰近輕摻雜區域的側壁之第一摻雜物濃度之間的差,為約5%以下。
在一些實施例,第一摻雜層覆蓋輕摻雜區域的頂面與側壁,該第一摻雜層配置為對輕摻雜區域提供具有第一導電型之摻雜物。
在一些實施例,摻雜層包括BSG、PSG、BPSG或其等之組合。
在一些實施例,第一摻雜層的厚度界於約1nm至約8nm之範圍內。
在一些實施例,MOS結構更包括第二半導體鰭,第二半導體鰭具有位於再生區域與金屬閘極之間的輕摻雜區域。鄰近輕摻雜區域的頂面之第二摻雜物濃度與鄰近輕摻雜區域的底部側壁之第二摻雜物濃度實質上 相同。
在一些實施例,鄰近輕摻雜區域的頂面之第二摻雜物濃度與鄰近輕摻雜區域的側壁之第二摻雜物濃度之間的差,為約5%以下。
本揭露之一些實施例提供一種製造FinFET結構之方法,包括:(1)形成複數半導體鰭;(2)於複數半導體鰭之第一組鰭的頂面與側壁上方形成擴散阻障層;(3)於複數半導體鰭之第二組鰭的頂面與側壁上方形成第一摻雜層,第一摻雜層包括第一導電型之摻雜物;(4)藉由退火操作使第一導電型之摻雜物擴散至複數半導體鰭之第二組鰭中。將複數半導體鰭的第二組鰭之鄰近頂面的第一導電型之摻雜物的摻雜物濃度,控制為與複數半導體鰭的第二組之鄰近底部側壁的第一導電型之摻雜物的摻雜物濃度實質上相同。
在一些實施例,形成第一摻雜層包括:藉由原子層沉積(ALD)操作形成厚度界於約3nm至約5nm範圍內之摻雜氧化物層。
在一些實施例,形成覆蓋層包括:藉由ALD操作形成厚度界於約8nm至約12nm範圍內之氮化物層。
在一些實施例,退火操作包括界於約950℃至約1050℃之溫度範圍內的約1.5秒至約10秒之持續時間。
在一些實施例,該製造FinFET結構之方法更包括:藉由蝕刻操作從複數半導體鰭之第一組鰭去除擴散阻障層。
以上內容概述若干實施例的特徵,因而所屬技術領域中具有通常知識者可更為理解本申請案揭示內容之各方面。所屬技術領域中具有通常知識者應理解可輕易使用本申請案揭示內容作為基礎,用於設計或修改其他製程及結構而與本申請案該之實施例具有相同目的及/或達到相同優點。所屬技術領域中具有通常知識者亦應理解此均等架構並未脫離本申請案揭示內容的精神與範圍,且在不脫離本申請案揭示內容之 精神及範圍的情況下,所屬技術領域中具有通常知識者可進行各種變化、取代、與替換。
10‧‧‧FinFET(Fin field effect transistor,鰭式場效電晶體)結構
101、103‧‧‧鰭
103A‧‧‧頂面
103B‧‧‧側壁
104‧‧‧側壁間隔件
105‧‧‧閘極
1051、1053‧‧‧側壁
107‧‧‧接合部(接合區域)
109‧‧‧第一摻雜層

Claims (10)

  1. 一種FinFET(Fin field effect transistor,鰭式場效電晶體)結構,包含:複數鰭;閘極,實質上垂直設置於該複數鰭上方,覆蓋該複數鰭之部分頂面與部分側壁;第一摻雜層,覆蓋第一鰭之接合部的頂面與側壁,該第一摻雜層配置為對該第一鰭之該接合部提供第一導電型的摻雜物,其中,該接合部與該閘極相鄰;以及側壁間隔件,覆蓋該接合部上方之該第一摻雜層。
  2. 如申請專利範圍第1項之FinFET結構,更包含:第二摻雜層,覆蓋第二鰭之接合部的頂面與側壁,該第二摻雜層配置為對該第二鰭之該接合部提供第二導電型的摻雜物。
  3. 如申請專利範圍第1項之FinFET結構,更包含:雙層,覆蓋第二鰭之接合部的頂面與側壁,其中,該雙層包括第一摻雜層與擴散阻障層。
  4. 如申請專利範圍第1項之FinFET結構,其中,該第一摻雜層包括硼矽酸鹽玻璃(BSG)、磷矽酸鹽玻璃(PSG)、硼磷矽酸鹽玻璃(BPSG)或其等之組合。
  5. 一種MOS結構,包含:第一半導體鰭;以及金屬閘極,覆蓋該MOS結構之通道,其中,該第一半導體鰭包含:再生區域;及 輕摻雜區域,位於該再生區域與該金屬閘極之間,其中,鄰近該輕摻雜區域的頂面之第一摻雜物濃度與鄰近該輕摻雜區域的底部側壁之該第一摻雜物濃度,實質上相同。
  6. 如申請專利範圍第5項之MOS結構,其中,鄰近該輕摻雜區域的該頂面之該第一摻雜物濃度與鄰近該輕摻雜區域的側壁之該第一摻雜物濃度之間的差,為約5%以下。
  7. 如申請專利範圍第5項之MOS結構,其中,第一摻雜層覆蓋該輕摻雜區域的該頂面與側壁,該第一摻雜層配置為對該輕摻雜區域提供具有第一導電型之摻雜物。
  8. 一種非平面FinFET(Fin field effect transistor,鰭式場效電晶體)結構,包括:一半導體鰭;一閘極,在該半導體鰭上方;一源極,至少部分在該半導體鰭中並且設置在該閘極的一側;一側壁間隔件,在該半導體鰭上方並隔開該閘極及該源極;以及一摻雜層,在該半導體鰭的一頂部表面上,水平鄰接該閘極並被該側壁間隔件囊封。
  9. 如申請專利範圍第8項之非平面FinFET結構,其中該閘極為金屬閘極。
  10. 如申請專利範圍第8項之非平面FinFET結構,更包括一覆蓋層,該覆蓋層被該側壁間隔件囊封。
TW104139099A 2014-12-26 2015-11-25 鰭式場效電晶體結構及其製造方法 TWI585855B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/583,449 US9515072B2 (en) 2014-12-26 2014-12-26 FinFET structure and method for manufacturing thereof

Publications (2)

Publication Number Publication Date
TW201635379A TW201635379A (zh) 2016-10-01
TWI585855B true TWI585855B (zh) 2017-06-01

Family

ID=56165122

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104139099A TWI585855B (zh) 2014-12-26 2015-11-25 鰭式場效電晶體結構及其製造方法

Country Status (4)

Country Link
US (2) US9515072B2 (zh)
KR (1) KR101792918B1 (zh)
CN (1) CN105742356B (zh)
TW (1) TWI585855B (zh)

Families Citing this family (334)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
EP4224531A3 (en) * 2013-09-25 2023-08-23 Tahoe Research, Ltd. Isolation well doping with solid-state diffusion sources for finfet architectures
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9390976B2 (en) 2014-05-01 2016-07-12 International Business Machines Corporation Method of forming epitaxial buffer layer for finFET source and drain junction leakage reduction
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9847233B2 (en) 2014-07-29 2017-12-19 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9620407B2 (en) 2014-12-08 2017-04-11 Applied Materials, Inc. 3D material modification for advanced processing
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9515072B2 (en) * 2014-12-26 2016-12-06 Taiwan Semiconductor Manufacturing Company Ltd. FinFET structure and method for manufacturing thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9548388B1 (en) * 2015-08-04 2017-01-17 International Business Machines Corporation Forming field effect transistor device spacers
KR102427596B1 (ko) * 2015-09-03 2022-07-29 삼성전자주식회사 반도체 장치 및 이의 제조 방법
DE112015006974T5 (de) 2015-09-25 2019-01-24 Intel Corporation Verfahren zum Dotieren von Finnenstrukturen nicht planarer Transsistorenvorrichtungen
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9634009B1 (en) * 2015-12-18 2017-04-25 International Business Machines Corporation System and method for source-drain extension in FinFETs
US9735275B2 (en) * 2015-12-18 2017-08-15 International Business Machines Corporation Channel replacement and bimodal doping scheme for bulk finFET threshold voltage modulation with reduced performance penalty
US9607837B1 (en) * 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10998443B2 (en) 2016-04-15 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Epi block structure in semiconductor product providing high breakdown voltage
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
TWI704622B (zh) 2016-11-15 2020-09-11 聯華電子股份有限公司 半導體元件及其製作方法
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10164066B2 (en) * 2016-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
US9805988B1 (en) 2016-12-01 2017-10-31 Globalfoundries Inc. Method of forming semiconductor structure including suspended semiconductor layer and resulting structure
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10297663B2 (en) * 2017-04-19 2019-05-21 International Business Machines Corporation Gate fill utilizing replacement spacer
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
CN109216448A (zh) * 2017-06-29 2019-01-15 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10727226B2 (en) * 2017-07-18 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN109390401B (zh) * 2017-08-10 2022-07-05 联华电子股份有限公司 半导体元件及其制作方法
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10510671B2 (en) * 2017-11-08 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with conductive line
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
DE102018105741B3 (de) 2018-03-13 2019-07-11 Infineon Technologies Dresden Gmbh Verfahren zum erzeugen komplementär dotierter halbleitergebiete in einem halbleiterkörper und halbleiteranordnung
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10840355B2 (en) * 2018-05-01 2020-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Increasing source/drain dopant concentration to reduced resistance
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11670551B2 (en) * 2019-09-26 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Interface trap charge density reduction
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20210153385A (ko) * 2020-06-10 2021-12-17 삼성전자주식회사 집적회로 장치
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114121671A (zh) * 2021-11-23 2022-03-01 上海华力集成电路制造有限公司 半导体器件的制造方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080237719A1 (en) * 2007-03-28 2008-10-02 Doyle Brian S Multi-gate structure and method of doping same
US20130102137A1 (en) * 2011-10-25 2013-04-25 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Doping method in 3d semiconductor device
US8883585B1 (en) * 2013-06-26 2014-11-11 Semiconductor Manufacturing International (Shanghai) Corporation Fin field-effect transistors and fabrication method thereof

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT1225625B (it) * 1988-11-03 1990-11-22 Sgs Thomson Microelectronics Procedimento per la realizzazione di strutture di isolamento incassate nel substrato di silicio per dispositivi cmos ed nmos.
JPH08181220A (ja) * 1994-12-21 1996-07-12 Nippondenso Co Ltd 半導体装置の製造方法
KR970052024A (ko) * 1995-12-30 1997-07-29 김주용 에스 오 아이 기판 제조방법
JP4718908B2 (ja) * 2005-06-14 2011-07-06 株式会社東芝 半導体装置および半導体装置の製造方法
US8883597B2 (en) * 2007-07-31 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
US8980719B2 (en) * 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8313999B2 (en) * 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
US9997357B2 (en) * 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8252689B2 (en) * 2010-11-30 2012-08-28 Institute of Microelectronics, Chinese Academy of Sciences Chemical-mechanical planarization method and method for fabricating metal gate in gate-last process
US8815659B2 (en) * 2012-12-17 2014-08-26 Globalfoundries Inc. Methods of forming a FinFET semiconductor device by performing an epitaxial growth process
US9087724B2 (en) * 2013-03-21 2015-07-21 International Business Machines Corporation Method and structure for finFET CMOS
US9515072B2 (en) * 2014-12-26 2016-12-06 Taiwan Semiconductor Manufacturing Company Ltd. FinFET structure and method for manufacturing thereof

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080237719A1 (en) * 2007-03-28 2008-10-02 Doyle Brian S Multi-gate structure and method of doping same
US20130102137A1 (en) * 2011-10-25 2013-04-25 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Doping method in 3d semiconductor device
US8883585B1 (en) * 2013-06-26 2014-11-11 Semiconductor Manufacturing International (Shanghai) Corporation Fin field-effect transistors and fabrication method thereof

Also Published As

Publication number Publication date
US20170077269A1 (en) 2017-03-16
KR101792918B1 (ko) 2017-11-02
US9515072B2 (en) 2016-12-06
US9859404B2 (en) 2018-01-02
US20160190137A1 (en) 2016-06-30
CN105742356A (zh) 2016-07-06
TW201635379A (zh) 2016-10-01
KR20160079645A (ko) 2016-07-06
CN105742356B (zh) 2019-06-11

Similar Documents

Publication Publication Date Title
TWI585855B (zh) 鰭式場效電晶體結構及其製造方法
US10026811B2 (en) Integrated circuit structure and method with solid phase diffusion
US10410871B2 (en) Semiconductor devices and methods of manufacturing the same
TWI685035B (zh) 場效電晶體的製造方法及積體電路結構
TWI668744B (zh) 半導體裝置及其形成方法
TWI816685B (zh) 半導體裝置及其製造方法
CN108573869B (zh) 鳍式场效应管及其形成方法
TW202004859A (zh) 半導體製程的方法
TWI656564B (zh) 半導體結構的製造方法
US10840355B2 (en) Increasing source/drain dopant concentration to reduced resistance
TW201735265A (zh) 半導體結構及其製造方法
TW201814830A (zh) 半導體裝置及其形成方法
CN111834297A (zh) 集成电路器件及用于制作集成电路器件的方法
CN106558614B (zh) 半导体结构及其形成方法
TWI617031B (zh) Finfet結構及其製造方法
TWI572008B (zh) 具有超接面結構的半導體元件及其製造方法
TWI697039B (zh) 半導體裝置及其形成方法
CN109494253B (zh) 垂直场效应晶体管和包括其的半导体器件
KR100714288B1 (ko) 핀 트랜지스터 제조 방법
KR102264257B1 (ko) 막 형성 방법 및 이를 이용한 반도체 장치 제조 방법
CN107731808B (zh) 静电放电保护结构及其形成方法
TWI478341B (zh) 功率電晶體元件及其製作方法
KR100832017B1 (ko) 채널면적을 증가시킨 반도체소자 및 그의 제조 방법
CN108630533B (zh) 一种半导体器件的制造方法
TWI730165B (zh) 半導體結構與半導體結構的製造方法