TWI570915B - 半導體裝置以及製造鰭式場效電晶體裝置的方法 - Google Patents

半導體裝置以及製造鰭式場效電晶體裝置的方法 Download PDF

Info

Publication number
TWI570915B
TWI570915B TW104138981A TW104138981A TWI570915B TW I570915 B TWI570915 B TW I570915B TW 104138981 A TW104138981 A TW 104138981A TW 104138981 A TW104138981 A TW 104138981A TW I570915 B TWI570915 B TW I570915B
Authority
TW
Taiwan
Prior art keywords
fin structure
source
layer
fin
drain regions
Prior art date
Application number
TW104138981A
Other languages
English (en)
Other versions
TW201711198A (zh
Inventor
江宏禮
彭成毅
許志成
楊育佳
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Application granted granted Critical
Publication of TWI570915B publication Critical patent/TWI570915B/zh
Publication of TW201711198A publication Critical patent/TW201711198A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7849Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being provided under the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

半導體裝置以及製造鰭式場效電晶體裝置 的方法
本揭露係關於一種半導體積體電路。
在追求更高的元件密度、更高的效能以及更低的成本時,半導體工業發展到奈米技術製程節點,製造及設計問題帶來的挑戰引發了三維設計的發展,諸如鰭式場效電晶體(fin field effect transistor;Fin FET)。鰭式場效電晶體裝置是一種類型的多閘極結構,此多閘極結構通常包含具有高深寬比的半導體鰭並且其中形成有半導體電晶體裝置的通道以及源極/汲極區。在鰭結構(例如,包封)上方以及沿著鰭結構的側面形成閘極,利用通道及源極/汲極區增大表面積的優點,以產生更快速、更可靠以及更好控制的半導體電晶體裝置。隨著鰭式場效電晶體裝置的不斷增大的元件密度,在源極/汲極區中接觸區的形成逐漸受到限制。
根據本揭露的一個實施方式,在一種用於製造鰭式場效電晶體(fin field-effect transistor,Fin FET)裝置的方法中,在基板上方提供第一鰭結構與第二鰭結構。第一鰭結構與第二鰭結構從設置在基板上方的隔離絕緣層伸出。在第一鰭結構與第二鰭結構上方形成閘極結構。第一鰭結構與第二鰭結構中的每一者具有在閘極結構下面的通道區域與在閘極結構外面的源極/汲極區。源極/汲極區具有第一寬度。移除第一鰭結構與第二鰭結構中的源極/汲極區的部分側壁以形成經修整的源極/汲極區。每一經修整的源極/汲極區具有小於第一寬度的第二寬度。在第一鰭結構與第二鰭結構的經修整的源極/汲極區上方形成應變材料。應變材料被形成為使得在第一鰭結構上形成的應變材料是與在第二鰭結構上形成的應變材料分隔的。在閘極結構與源極或汲極區上方用應變材料形成層間介電層。在形成於第一鰭結構與第二鰭結構的源極/汲極區上的應變材料上形成接觸層,以使得接觸層環繞源極/汲極區上的應變材料。
在另一個實施方式中,在一種用於製造鰭式場效電晶體裝置的方法中,在基板上方提供第一鰭結構與第二鰭結構。第一鰭結構與第二鰭結構從設置在基板上方的隔離絕緣層伸出。在第一鰭結構與第二鰭結構上方形成閘極結構。第一鰭結構與第二鰭結構中的每一者具有在閘極結構下面的通道區域與在閘極結構外面的源極/汲極區。源極/汲極區具有第一寬度。在閘極結構與具有第一寬度的源極/汲極區上方形成層間介電層。移除部分層間介電層以暴露具有第一寬度的源極/汲 極區。移除第一鰭結構與第二鰭結構的暴露的源極/汲極區的部分側壁以形成經修整的源極/汲極區。每一經修整的源極/汲極區具有小於第一寬度的第二寬度。在第一鰭結構與第二鰭結構的經修整的源極/汲極區上方形成應變材料。應變材料被形成為使得在第一鰭結構上形成的應變材料是與在第二鰭結構上形成的應變材料分隔的。在形成於第一鰭結構與第二鰭結構上的應變材料上形成接觸層,以使得接觸層環繞源極/汲極區的應變材料。
在又一實施方式中,半導體裝置包含基板、第一與第二鰭結構、閘極結構、第一與第二應變材料層,以及接觸層。第一鰭結構設置在基板上方並且包含第一通道區域與第一源極或汲極區。第二鰭結構設置在基板上方並且包含第二通道區域與第二源極/汲極區。閘極結構設置在第一鰭結構與第二鰭結構的至少一部分上方。第一與第二通道區域在閘極結構下面,並且第一與第二源極/汲極區在閘極結構外面。第一應變材料層設置在第一源極或汲極區上方,以及第二應變材料層設置在第二源極/汲極區上方。第一與第二應變材料層分別提供應力至第一與第二通道區域。接觸層環繞第一與第二應變材料層。第一應變材料層是與第二應變材料層分隔的。
100‧‧‧鰭式場效電晶體裝置
101‧‧‧鰭式場效電晶體裝置
110‧‧‧基板
120‧‧‧鰭
120A‧‧‧阱區域
120B‧‧‧通道區域
125‧‧‧源極/汲極區
130‧‧‧閘極堆疊
132‧‧‧閘極介電層
134‧‧‧閘電極層
150‧‧‧隔離絕緣層
150a‧‧‧第一隔離區
150b‧‧‧第二隔離區
160‧‧‧應變材料
200‧‧‧鰭式場效電晶體裝置
204a‧‧‧襯墊層
204b‧‧‧遮罩層
206‧‧‧光阻層
210‧‧‧溝槽
214‧‧‧介電材料
217‧‧‧頂表面
219‧‧‧頂表面
223‧‧‧頂表面
224‧‧‧側壁
226‧‧‧凹陷部分
602‧‧‧硬遮罩層
902‧‧‧輕摻雜汲極植入
904‧‧‧垂直軸
1002‧‧‧蝕刻操作
1302‧‧‧層間介電層
1402‧‧‧開放區
1602‧‧‧金屬閘極
1802‧‧‧環繞型接觸層
1902‧‧‧內連接層
2102‧‧‧輕摻雜汲極植入
2202‧‧‧層間介電層
2302‧‧‧開放區
2402‧‧‧蝕刻區域
2502‧‧‧金屬閘極
2702‧‧‧蝕刻操作
3002‧‧‧環繞型接觸層
3102‧‧‧內連接層
W‧‧‧寬度
D‧‧‧深度
S‧‧‧寬度
H‧‧‧高度
第1A圖是鰭式場效電晶體裝置的示例性透視圖。
第1B圖是根據本揭露的一個實施方式的鰭式場效電晶體裝置的示例性透視圖。
第2圖到第19圖圖示根據本揭露的一個實施方式的鰭式場效電晶體結構的第一連續製造製程的多個中間階段的透視圖的實施例。
第20圖到第31圖圖示根據本揭露的另一實施方式的鰭式場效電晶體結構的第二連續製造製程的多個中間階段的透視圖的實施例。
以下揭示內容提供用於實施施所提供標的之不同特徵的許多不同的實施方式或實施例。部件及配置之特定實施例描述如下,以簡化本揭露。此等實施例當然僅為示例並且並不意欲作為限制。例如,以下描述中在第二特徵結構上方或上面形成第一特徵結構可包含其中此等第一和第二特徵結構是以直接接觸形成的實施方式,以及還可包含其中可在此等第一和第二特徵結構之間形成額外的特徵結構以使得此等第一和第二特徵結構可不直接接觸的實施方式。此外,本揭露可在各個實施例中重複參考數字及/或字母。此重複是出於簡潔明瞭的目的並且其本身並非指示所論述的各個實施方式及/或配置之間的關係。
此外,空間相對術語,諸如「在……下方」、「在……下面」、「在……下部」、「在……上方」、「在……上部」等等可在本文中用於簡化描述,以描述如附圖中所圖示的一個 元件或特徵結構與另一元件或特徵結構的關係。應理解的是此等空間相對術語意欲涵蓋使用或操作中的元件除了在附圖中描述的取向以外的不同取向。此設備可以其他方式取向(旋轉90度或者為其他取向),並且本文使用的空間相對描述詞可據此類似地解釋。此外,術語「由……構成」可意謂「包含」、「包含」或者「由……組成」。
第1A圖是具有鰭結構的鰭式場效電晶體(fin field-effect transistor;Fin FET)裝置100的示例性透視圖,以及第1B圖是根據本揭露的一個實施方式的鰭式場效電晶體裝置101的示例性透視圖。在此等附圖中,為了簡化省略了一些層/特徵結構。本揭露包含關於鰭式場效電晶體裝置的實施例以便解釋所提供標的之特徵結構,但是本揭露可取決於實施而係關於其他多閘極結構。
分別描繪於第1A圖及第1B圖中的鰭式場效電晶體裝置100及鰭式場效電晶體裝置101包含基板110、鰭結構120、閘極介電層132及閘電極層134,以及其他的特徵結構。基板110可為矽基板。
在第1A圖及第1B圖中,鰭結構120設置在基板110上方。鰭結構120可由與基板110相同的材料構成,並且可從基板110連續地延伸。在此實施方式中,鰭結構是由矽(Si)構成的。鰭結構120的矽層可為本質的,或者適當地摻雜有n型雜質或者p型雜質。
在第1A圖及第1B圖中的基板110上方設置了三個鰭結構120。然而,鰭結構的數量並不限於三個。此數量可 為一個、兩個或者四個,或者更多個。此外,可在鄰近於鰭結構120的兩側設置一或多個虛設鰭結構,以改善圖案化操作中的圖案保真度。在部分實施方式中,鰭結構120的寬度在約5奈米到約40奈米的範圍中,並且在部分實施方式中可在約7奈米到約12奈米的範圍中。在部分實施方式中鰭結構120的高度在約100奈米到約300奈米的範圍中,並且在其他實施方式中可在約50奈米到約100奈米的範圍中。
鰭結構120之間的間隔及/或鰭結構與形成在基板110上方的另一個元件之間的間隔是用包含絕緣材料的隔離絕緣層150(或者所謂的「淺溝槽隔離(shallow-trench-isolation;STI)」層)填充的。用於隔離絕緣層150的絕緣材料可包含一或多層的氧化矽、氮化矽、氧氮化矽(SiON)、氮碳氧化矽(SiOCN)、摻雜氟的矽酸鹽玻璃(FSG),或者低介電係數的介電材料。
閘電極層134下面的鰭結構120的下部分被稱為阱區域120A,以及鰭結構120的上部分被稱為通道區域120B。在閘電極層134下面,阱區域120A嵌入在隔離絕緣層150中,並且通道區域120B從隔離絕緣層150伸出。通道區域120B的下部分亦可嵌入隔離絕緣層150中達約1nm到約5nm的深度。
從隔離絕緣層150伸出的通道區域120B被閘極介電層132覆蓋,以及閘極介電層132進一步被閘電極層134覆蓋。通道區域120B的未被閘電極層134覆蓋的部分充當鰭式場效電晶體裝置100的源極及/或汲極。
在某些實施方式中,閘極介電層132包含一或多層介電材料(諸如氧化矽、氮化矽、或者高介電係數的介電材料)、其他適當的介電材料及/或其組合。閘電極層134包含一或多層任何適當的導電材料,諸如多晶矽、鋁、銅、鈦、鉭、鎢、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、氮化鈦(TiN)、氮化鎢(WN)、鈦鋁(TiAl)、氮化鋁鈦(TiAlN)、碳氮化鉭(TaCN)、氮化鉭(TaC)、氮矽化鉭(TaSiN)、金屬合金、其他適當的導電材料及/或其組合。
藉由在源極/汲極區125中適當地摻雜雜質,源極/汲極區125亦形成於鰭結構120的未被閘電極層134覆蓋的上部分中。矽或鍺與諸如鈷、鎳、鎢、鈦或鉭的金屬的合金,或者任何其他適當的材料可被形成在源極/汲極區125上。
源極/汲極區125的形成是基於現有的製造操作,現有的製造操作包含深源極/汲極凹陷操作、選擇性的磊晶成長操作以及頂接觸形成操作。接觸面積取決於磊晶成長的源極/汲極區(例如,源極/汲極區125)的表面積。不同晶體轉向的不同成長速率可導致切割面或者鑽石形的源極或汲極結構。
在第1A圖中,相鄰的多個鰭的源極/汲極區125一般是合併的(merged)。當元件密度增加時,鰭間距縮小使得相鄰鰭之間的間隔減小,從而增加源極/汲極區125合併的可能性。雖然三個源極或汲極(用於三個鰭式場效電晶體)被設計成具有相同的電位,但是在此種結構中,接觸插塞延伸至上方的源極/汲極區125,接觸插塞可僅接觸源極/汲極區125 的上部分,而可不接觸源極/汲極區125的側面(尤其是,側面的底部)。此限制了到源極/汲極區的「環繞型」接觸的形成,並且減少了可用的接觸面積量,從而增大了鰭式場效電晶體裝置100中的寄生電阻。
與第1A圖中所示的鰭式場效電晶體裝置100不同,在第1B圖中相鄰的源極/汲極區125並不與彼此合併。因此,延伸至上方的源極/汲極區125的接觸插塞可接觸源極/汲極區125的上部分與實質上源極/汲極區125的整體側壁,以形成「環繞式」接觸。在第1B圖的結構中,可獲得更大的接觸面積,此更大的接觸面積可減少寄生電容。
本揭露提供用於形成非切割面鰭形、高深寬比(例如,高並且薄的)的磊晶成長的源極/汲極區,此磊晶成長的源極/汲極區不與相鄰鰭式裝置的磊晶成長的源極/汲極區合併(例如,第1B圖)。就此而言,環繞型接觸插塞可被形成用於具有強按大幅縮放的鰭間距與高深寬比的鰭。在鰭狀源極或汲極上環繞型接觸插塞與保形磊晶成長的源極或汲極的組合可增大接觸面積量並且降低鰭式場效電晶體裝置中的寄生電阻。此外,由於沒有合併的源極/汲極區,可避免源極或汲極的缺陷,如空穴。本揭露的有利特徵包含與現有的鰭式場效電晶體為基底的互補式金屬氧化物半導體裝置製造流程的兼容性,相較於原始製造流程具有較低的額外成本。
第2圖到第19圖圖示根據本揭露的部分實施方式的鰭式場效電晶體裝置200的連續製造製程的中間階段的截面透視圖的實施例。然而,並非所有描繪的部件都是必要的,並 且一或多個實行方式可包含在附圖中未圖示的額外部件。在不脫離如本文所闡明的申請專利範圍的範疇的情況下,可以改變元件的佈置與類型。可提供額外的元件、不同的元件,或者更少的元件。此外,可改變操作次序。
第2圖是根據本揭露的一個實施方式之鰭式場效電晶體裝置200在第一連續製造製程的各個階段的初期階段的透視圖。在此實施方式中,基板110包含結晶矽基板(例如,晶圓)。可使用p型基板或者n型基板,並且基板110可取決於設計要求而包含各種摻雜區域。在部分實施方式中,摻雜區域可摻雜有p型或者n型摻雜劑。例如,摻雜區域可摻雜有p型摻雜劑,諸如硼或者二氟化硼(BF2);n型摻雜劑,諸如磷或者砷;及/或其組合。摻雜區域可配置用於n型鰭式場效電晶體,或者配置用於p型鰭式場效電晶體。
或者,基板110可包含另一元素半導體,諸如鍺;化合物半導體,包含IV-IV族化合物半導體如碳化矽(SiC)與矽鍺(SiGe),III-V族化合物半導體如砷化鎵(GaAs)、磷化鎵(GaP)、氮化鎵(GaN)、磷化銦(InP)、砷化銦(InAs)、銻化銦(InSb)、磷砷化鎵(GaAsP)、氮化鎵鋁(AlGaN)、砷化銦鋁(AlInAs)、砷化鎵鋁(AlGaAs)、砷化銦鎵(GalnAs)、磷化銦鎵(GalnP)及/或磷砷化鎵銦(GalnAsP);或其組合。在部分實施方式中,基板110是絕緣體上矽(silicon-on insulator;SOI)基板的矽層。當使用絕緣體上矽基板時,鰭結構120可從絕緣體上矽基板的矽層伸出或者可從絕緣體上矽基板的絕緣體層伸出。在後一情況 中,絕緣體上矽基板的矽層用以形成鰭結構120。非晶基板(例如非晶矽或者非晶碳化矽)或者絕緣材料(例如氧化矽)也可用作基板110。
又或者,基板可包含磊晶層。舉例而言,基板可具有上覆於塊狀半導體的磊晶層。此外,可以應變此基板以增進效能。舉例而言,磊晶層可包含不同於塊狀半導體的半導體材料的半導體材料,諸如覆蓋於塊狀矽的矽鍺層或者覆蓋於塊狀矽鍺的矽層。可藉由選擇性磊晶成長(selective epitaxial growth;SEG)形成此類應變基板。又或者,基板可包含內埋式介電層,諸如內埋式氧化物(buried oxide;BOX)層,諸如藉由注氧隔離(separation by implantation of oxygen;SIMOX)技術、晶圓鍵結、選擇性磊晶成長或者其他適當的操作形成的層體。
如第2圖所示,襯墊層204a與遮罩層204b形成在半導體基板110上。襯墊層204a可為具有氧化矽的薄膜,例如使用熱氧化操作形成氧化矽。襯墊層204a可充當半導體基板110與遮罩層204b之間的黏附層。在至少一個實施方式中,遮罩層204b是用氮化矽,例如使用低壓化學氣相沈積(low-pressure chemical vapor deposition;LPCVD)或者電漿增強化學氣相沈積(plasma enhanced chemical vapor deposition;PECVD)形成的。遮罩層204b在後續圖案化操作中被用作硬遮罩。在遮罩層204b上方形成光阻層206,以及隨後用微影圖案化操作圖案化光阻層206,從而在此光阻層 206中形成開口。在圖案化遮罩層204b與襯墊層204a之後以及在溝槽蝕刻之前可移除此光阻層。
第3圖是根據本揭露的一個實施方式之鰭式場效電晶體裝置200在第一連續製造製程的各個階段中的一個階段的透視圖。蝕刻遮罩層204b與襯墊層204a而暴露位於其下的半導體基板110。隨後,藉由使用圖案化的遮罩層204b與襯墊層204a作為遮罩,以溝槽方式蝕刻此暴露的半導體基板110,來形成溝槽210。
在此溝槽蝕刻操作中,可藉由各種方法蝕刻此基板110,包含乾式蝕刻、濕式蝕刻,或者乾式蝕刻與濕式蝕刻的組合。可用含氟氣體(例如,四氟化碳(CF4)、六氟化硫(SF6)、二氟甲烷(CH2F2)、三氟甲烷(CHF3)及/或八氟環丁烷(C4F8))、含氯氣體(例如,氯氣(Cl2)、三氯甲烷(CHCl3)、四氯化碳(CCl4)及/或三氯化硼(BCl3))、含溴氣體(例如,溴化氫(HBr)及/或三溴甲烷(CHBr3))、含氧氣體、含碘氣體,其他適當的氣體及/或電漿,或其組合來實施乾式蝕刻操作。在部分實施方式中,可執行濕式清洗操作以在溝槽蝕刻之後移除半導體基板110的自然氧化層。可使用稀氫氟(dilute hydrofluoric;DHF)酸執行此清洗。
溝槽210之間的部分半導體基板110形成了半導體鰭120。鰭120可彼此平行地成條狀佈置(從鰭式場效電晶體裝置200的頂端觀察的),並且相對於彼此緊密相間。鰭120中的每一者具有寬度W與深度D,並且與相鄰的鰭藉由寬度S 的溝槽210間隔開來。舉例而言,在部分實施方式中,半導體鰭120的寬度W可在約2奈米至約20奈米的範圍中。
第4圖是根據本揭露的一個實施例,鰭式場效電晶體裝置200在第一連續製造製程的各個階段中的一個階段處的透視圖。在形成溝槽210與鰭120之後,以一或多個層介電材料214填充溝槽210。介電材料214可包含氧化矽。在一或多個實行方式中,介電材料214是由例如藉由低氣壓化學氣相沉積、電漿化學氣相沉積或者流動式化學氣相沉積形成的二氧化矽構成的。在流動式化學氣相沉積中,沉積可流動的介電材料代替氧化矽。可流動的介電材料,如其名稱,可在沉積期間「流動」以填入具有高深寬比的間隙或者空間。通常,將各種化學品添加到含矽前驅物中以讓所沉積的膜具有流動性。在部分實施方式中,添加氮氫鍵。可流動的介電前驅物(特別是可流動的氧化矽前驅物)的實施例包含矽酸鹽、矽氧烷、甲基倍半矽氧烷(methyl silsesquioxane;MSQ)、氫倍半矽氧烷(hydrogen silsesquioxane;HSQ)、甲基倍半矽氧烷/氫倍半矽氧烷、全氫矽氮烷(perhydrosilazane;TCPS)、全氫聚矽氧烷(perhydro-polysilazane;PSZ)、原矽酸四乙酯(tetraethyl ortho silicate;TEOS)或者矽烷胺,例如三甲矽烷胺(trisilylamine;TSA)。此等可流動的氧化矽材料是以多重操作製程形成的。在沉積可流動的膜之後,固化此膜,隨後退火以移除不理想的元素,從而形成氧化矽。在移除不理想的元素後,可流動的膜密實化並縮小。在部分實施方式 中,進行多重退火處理,以及不止一次地固化與退火此可流動的膜。
在部分實施方式中,一或多個層其他介電材料,諸如氮化矽、氧氮化矽、摻雜氟的矽酸鹽玻璃(fluoride-doped silicate glass;FSG)、低介電常數的介電材料(low-K dieletric material),亦可用於形成介電材料214。在一實施方式中,使用高密度電漿(high-density-plasma;HDP)化學氣相沉積操作,使用矽烷(SiH4)與氧氣(O2)作為反應前驅物來形成介電材料214。在其他實施方式中,可使用亞大氣壓化學氣相沉積(sub-atmospheric CVD;SACVD)操作或者高深寬比處理(high aspect-ratio process;HARP)形成介電材料214,其中製程氣體可包含原矽酸四乙酯(TEOS)及/或臭氧(O3)。在另外其他實施例中,可使用旋塗式介電(spin-on-dielectric;SOD)操作形成介電材料214,諸如氫倍半矽氧烷(HSQ)或者甲基倍半矽氧烷(MSQ)。在部分實施方式中,經填充的凹陷區域(或者溝槽210)可具有多層結構,諸如填充有氮化矽或者氧化矽的熱氧化襯墊層。
在沉積介電材料214之後,隨後執行平坦化操作,諸如化學機械研磨(chemical mechanical polish;CMP)與回蝕(etch-back)操作。在部分實施方式中,在介電材料214填充溝槽210之後,可以執行退火操作。退火操作包含快速熱退火(rapid thermal annealing;RTA)、鐳射退火操作或其他適當的退火操作。
在平坦化操作期間,可移除遮罩層204b與襯墊層204a。或者,在至少一個實施方式中,若遮罩層204b是由氮化矽形成的,則可藉由採用磷酸(H3PO4)的濕式操作移除遮罩層204b。若襯墊層204a是由氧化矽形成的,則可使用稀氫氟酸移除襯墊層204a。溝槽210中介電材料214的剩餘部分在此後中被稱為隔離絕緣層150(亦稱為隔離區)。
第5圖是根據本揭露的一個實施方式之鰭式場效電晶體裝置200在第一連續製造製程的各個階段中的一個階段處的透視圖。每一半導體鰭120的頂部部分是凹陷的,以形成半導體鰭120的凹陷部分226,其中半導體鰭120的凹陷部分226具有低於第一隔離區150a與第二隔離區150b的頂表面217的頂表面219。在一個實施方式中,執行偏壓蝕刻操作以凹陷半導體鰭120的頂表面219,從而形成半導體鰭120的凹陷部分226。在一實施方式中,可使用溴化氫(HBr)及/或氯氣(Cl2)作為蝕刻氣體來執行蝕刻操作。
第6圖是根據本揭露的一個實施方式之鰭式場效電晶體裝置200在第一連續製造製程的各個階段中的一個階段處的透視圖。如第6圖所示,在凹陷部分226中,依序形成用於通道區域120B(包含源極/汲極區125)與硬遮罩層602的半導體材料。在通道區域120B上設置硬遮罩層602。在後續蝕刻操作期間,硬遮罩層602被用作硬遮罩以圖案化在源極/汲極區中的半導體鰭120。硬遮罩層602相較於通道區域120B具有實質上更慢的蝕刻速率。在部分實施方式中,藉由低氣壓化學氣相沉積製程在凹陷的半導體鰭120上方磊晶成長通道區域 120B,諸如矽碳(SiC)及/或磷化矽(SiP)。在至少另一實施方式中,可藉由低氣壓化學氣相沉積製程在凹陷的半導體鰭120上方磊晶成長通道區域120B,諸如矽鍺(SiGe)或者鍺錫(GeSn)。可藉由低氣壓化學氣相沉積製程磊晶地成長硬遮罩層602,諸如矽。在一部分實施方式中,通道區域120B是由矽構成的,以及硬遮罩層602是由碳化矽構成的。
第7圖是根據本揭露的一個實施方式之鰭式場效電晶體裝置200在第一連續製造製程的各個階段中的一個階段處的透視圖。執行蝕刻操作以蝕刻部分隔離絕緣層150(亦稱為隔離區),從而使半導體鰭120的通道區域120B外露於隔離絕緣層150(亦稱為隔離區)。在此實施方式中,硬遮罩層602保留在通道區域120B上。蝕刻操作可包含乾式蝕刻操作、濕式蝕刻操作,或者組合的乾式與濕式蝕刻操作,以移除部分隔離絕緣層150(亦稱為隔離區)。應理解的是,可將蝕刻操作執行為單一蝕刻操作或者多重蝕刻操作。
剩餘的隔離絕緣層150(亦稱為隔離區)包含頂表面217。此外,在剩餘的隔離絕緣層150(亦稱為隔離區)的頂表面217上方突出的半導體鰭120的通道區域120B用以形成鰭式場效電晶體裝方式之鰭式場效電晶體裝置200的主動區。半導體鰭120的通道區域120B可包含頂表面223與側壁224。半導體鰭120的通道區域120B自隔離絕緣層150(亦稱為隔離區)的頂表面217起的高度H可在約6奈米至約200奈米的範圍中。在部分實施方式中,高度H大於200奈米或者小於6奈米。
第8圖是根據本揭露的一個實施方式鰭式場效電晶體裝置200在第一連續製造製程的各個階段中的一個階段處的透視圖。
在通道區域120B露出於隔離絕緣層150(亦稱為隔離區)之後,在暴露的通道區域120B上方形成閘極堆疊130,以便沿著第一隔離區150a與第二隔離區150b的頂表面217延伸。在此實施方式中,硬遮罩層602的區段被***於半導體鰭120(暴露的通道區域120B)與閘極堆疊130之間。閘極堆疊130包含閘極介電層132以及閘電極層134,閘電極層134設置在閘極介電層132上方。
所形成的閘極介電層132覆蓋半導體鰭120的通道區域120B的至少一部分的頂表面223與側壁224。在部分實施方式中,閘極介電層132包含一或多層氧化矽、氮化矽、氧氮化矽,或者高介電常數的介電質。高介電常數的介電質可包含金屬氧化物。用於高介電常數的介電質的金屬氧化物的實施例包含Li、Be、Mg、Ca、Sr、Sc、Y、Zr、Hf、Al、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu,及/或其混合物的氧化物。高介電常數的介電材料的實例包含HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、氧化鈦、二氧化鉿-氧化鋁(HfO2-Al2O3)合金,其他適當的高k介電材料,及/或其組合。可使用適當的操作如原子層沉積(ALD)、化學氣相沈積、物理氣相沈積(physical vapor diposition;PVD)、熱氧化、UV臭氧氧化,或其組合來形成閘極介電層132。閘極介電層132可進一 步包含界面層(未圖示)來減少閘極介電層132與半導體鰭120之間的損傷。此界面層可包含氧化矽。
隨後在閘極介電層132上形成閘電極層134。在至少一個實施方式中,閘電極層134覆蓋多於一個半導體鰭120的通道區域120B。在一些替代實施例中,半導體鰭120的每一通道區域120B可用於形成單獨的鰭式場效電晶體裝置200。閘電極層134可包含單層或者多層結構。閘電極層134可包含多晶矽。此外,閘電極層134可用均勻或者不均勻的摻雜而摻雜有多晶矽。在一些替代實施例中,閘電極層134可包含金屬如Al、Cu、W、Ti、Ta、TiN、TiAl、TiAlN、TaN、NiSi、CoSi,具有與基板材料相容的功函數的其他導電材料,或其組合。可使用適當的操作如ALD、CVD、PVD、電鍍或其組合來形成閘電極層134。在部分實施方式中,用於圖案化多晶矽層的硬遮罩層位於在閘極堆疊130上。
在部分實施方式中,可在閘極介電層132與閘電極層134之間***一或多個功函數調整層(未圖示)。功函數調整層可包含單層或者替代地多層結構,諸如具有所選的用以提高裝置效能的功函數的金屬層(功函數金屬層)、襯墊層、潤濕層、黏附層、金屬合金或者金屬矽化物的各種組合。此功函數調整層是由導電材料構成的,例如單層的Ti、Ag、Al、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、TaN、Ru、Mo、Al、WN、Cu、W、Re、Ir、Co、Ni、其他適當的金屬材料或者多層的這些材料中的兩種或更多種材料。在部分實施方式中,功函數調整層可包含用於n型通道鰭式場效電晶體的 第一金屬材料與用於p型通道鰭式場效電晶體的第二金屬材料。例如,用於n型通道鰭式場效電晶體的第一金屬材料可包含具有與基板導電帶的功函數實質上對準的功函數,或者至少與通道區域120B的導電區的功函數實質上對準的功函數的金屬。類似地,例如,用於p型通道鰭式場效電晶體的第二金屬材料可包含具有與基板價電帶的功函數實質上對準的功函數,或者至少與通道區域120B的價電帶的功函數實質上對準的功函數的金屬。對於n型通道鰭式場效電晶體,TaN、TaAlC、TiN、TiC、Co、TiAl、HfTi、TiSi與TaSi中的一或多者被用作功函數調整層,以及對於p型通道鰭式場效電晶體,TiAlC、Al、TiAl、TaN、TaAlC、TiN、TiC與Co中的一或多者被用作功函數調整層。在部分實施方式中,功函數調整層可替代地包含多晶矽層。可藉由原子層沉積(Atomic Layer Deposition;ALD)、物理氣相沈積、化學氣相沈積、電子束蒸發、或者其他適當的操作來形成功函數調整層。此外,可分別形成用於n型通道鰭式場效電晶體與p型通道鰭式場效電晶體的功函數調整層,n型通道鰭式場效電晶體與p型通道鰭式場效電晶體可使用不同的金屬層。
第9圖是根據本揭露的一個實施方式之鰭式場效電晶體裝置200200在第一連續製造製程的各個階段中的一個階段的透視圖。在形成閘極堆疊130之後,在半導體鰭120的未被閘極堆疊130覆蓋的源極/汲極區125上執行輕摻雜汲極(lightly-doped-drain;LDD)植入902。相對於垂直軸904,可以傾斜角執行輕摻雜汲極植入902。在一或多個實行方式 中,若在圖案化閘極堆疊130之後立即從半導體鰭120的頂表面223移除硬遮罩層602,則可不執行輕摻雜汲極植入902。輕摻雜汲極植入902可針對P型金屬氧化物半導體(PMOS)裝置利用p型摻雜劑(例如,B或者In)以及針對N型金屬氧化物半導體(NMOS)裝置利用n型摻雜劑(P或者As)。
在一些態樣中,輕摻雜汲極植入902使用在約0.1千電子伏特(KeV)至約500KeV的範圍中的植入能量來植入摻雜劑物質。在部分實施方式中,植入劑量可在約每平方公分1x1012原子至約每平方公分1x1015原子的範圍中。在其他實施方式中,加速電壓在約10KeV至約100KeV的範圍中。在一或多個實行方式中,亦將離子植入到暴露的半導體鰭120的側壁224中。相對於垂直軸904,傾斜角可在約0度至約45度的範圍中變化。此外,可從兩個方向(例如,藉由旋轉晶圓的0度與180度)或者四個方向植入離子。
在輕摻雜汲極植入902之後,可沿著閘極堆疊130的側面設置介電層以形成側壁間隔物(未圖示)。在部分實施方式中,介電層包含一或多層氧化矽、氮化矽、氧氮化矽或者其他適當的材料。介電層可包含單層或者多層結構。可藉由化學氣相沈積、物理氣相沈積、原子層沉積或者其他適當的技術來形成介電層的毯覆層。隨後,對介電層執行各向異性蝕刻及/或回蝕操作,以在閘極堆疊130的兩側上形成一對側壁間隔物。在形成閘極堆疊130期間,執行蝕刻淺溝槽隔離區域(亦即第一隔離區150a與第二隔離區150b)的各種清洗/蝕刻操 作。在形成側壁間隔物之後,可執行額外的離子植入操作來以在源極/汲極區125中引入雜質。
第10圖是根據本揭露的一個實施方式之鰭式場效電晶體裝置200在第一連續製造製程的各個階段中的一個階段的透視圖。在輕摻雜汲極植入902之後,藉由蝕刻操作1002執行半導體鰭120的側壁224的橫向修整。硬遮罩層602用於藉由保持側壁224的完整性來保護半導體鰭120的頂表面223。就此而言,硬遮罩層602可降低頂表面223處的蝕刻速率,從而減少與在通道區域120B的剩餘部分上在蝕刻的相同時間期間頂表面223處的蝕刻量。
可移除(或者蝕刻)位於硬遮罩層602下方的側壁224的部分以縮小源極/汲極區125的尺寸(寬度)(例如,沿著<110>軸線),從而降低當應變材料形成時(例如,相鄰應變材料變成相連接的)源極/汲極區125合併的可能性。在此實施方式中,蝕刻操作1002是在沒有偏壓(例如,0V偏壓)的情況下施加的,但是於其他實施方式可改變此偏壓。用於橫向修整的總蝕刻量可為通道區域120B的原始寬度的約40%至約60%。在其他實施例中,用於橫向修整的總蝕刻量可高達通道區域120B的原始寬度的約45%至約50%。在此實施例中,在執行橫向修整操作之後源極/汲極區125的最小寬度可為約2.0奈米。可改變蝕刻操作1002的蝕刻速率及/或蝕刻時間以獲產生所需的源極/汲極區125的修整後寬度。在一或多個實施方式中,可針對相應的磊晶成長速率來改變不同晶體取向(例如,<100>、<110>、<101>)的蝕刻速率。在一些實施例中, 循環地應用閉環蝕刻操作1002,直到達到所需得修整後寬度為止。例如,執行用於從側壁224移除材料的大量反覆操作,以產生所需的源極/汲極區125的寬度。
蝕刻操作可包含乾式蝕刻操作、濕式蝕刻操作,或者乾式蝕刻操作與濕式蝕刻操作的組合。應理解的是蝕刻操作可執行為單一蝕刻操作或者多重蝕刻操作。蝕刻操作亦可包含在側壁224上執行以減小源極/汲極區125的寬度的各向異性蝕刻及/或回蝕操作。
在一或多個實施方式中,可在側壁224上採用表面電漿處理,以增大側壁224的表面處的蝕刻速率。在其他實施方式中,採用原子層蝕刻操作以塑形側壁224,使其具有所需寬度以用於後續的源極/汲極磊晶操作。
第11圖是根據本揭露的一個實施方式之鰭式場效電晶體裝置200在第一連續製造製程的各個階段中的一個階段的透視圖。在蝕刻操作1002(亦稱為修整操作)之後,從半導體鰭120的頂表面223移除硬遮罩層602。可使用蝕刻及/或清洗操作來移除硬遮罩層602。在部分實施方式中,硬遮罩層602的殘餘物可***在閘極堆疊130下面的閘極介電層132與通道區域120B之間。
第12圖是根據本揭露的一個實施方式之鰭式場效電晶體裝置200在第一連續製造製程的各個階段中的一個階段的透視圖。在第12圖中描繪的結構是藉由在經修整的半導體鰭120上方選擇性地成長應變材料160並且在第一隔離區150a與第二隔離區150b的頂表面217上方延伸而產生的。因為應變 材料160的晶格常數不同於通道區域120B,所以通道區域120B經應變或者受應力而增大載流子遷移率以及提高裝置效能。在此實施方式中,針對每一半導體鰭120分別(亦即,沒有合併)形成應變材料160。半導體鰭120的修整部分增大相鄰鰭結構之間的空間並降低應變材料160合併(例如,相鄰的應變材料變成合併的)的可能性。
在至少一個實施方式中,藉由低壓化學氣相沈積操作磊晶地成長應變材料160如碳化矽(SiC)及/或磷化矽(SiP),以形成用於n型鰭式場效電晶體裝置的源極/汲極區域125。在至少另一實施方式中,藉由低壓化學氣相沈積操作磊晶地成長應變材料160如矽鍺(SiGe),以形成用於p型鰭式場效電晶體裝置的源極/汲極區125。在此實施例中,可用例如氮化矽(SiN)層覆蓋n型鰭式場效電晶體,以便在p型鰭式場效電晶體中的凹陷以及源極/汲極形成期間保護n型鰭式場效電晶體。在形成用於p型鰭式場效電晶體的應變材料160之後,用氮化矽層覆蓋p型鰭式場效電晶體,以及隨後在n型鰭式場效電晶體上執行包含凹陷形成以及應變材料形成的類似操作。
第13圖是根據本揭露的一個實施方式之鰭式場效電晶體裝置200在第一連續製造製程的各個階段中的一個階段的透視圖。在形成應變材料160之後,執行沉積層間介電(interlayer dielectric,ILD)層1302的操作。藉由適當的技術如化學氣相沈積來沉積層間介電層1302。在此實施例中,層間介電層1302可用作源極/汲極區125上方的均勻層。層間 介電層1302包含一或多層介電材料,如氧化矽、氮化矽,低介電常數的介電材料或其組合。隨後可藉由化學機械研磨操作來平坦化層間介電層1302。
第14圖是根據本揭露的一個實施方式,鰭式場效電晶體裝置200在第一連續製造製程的各個階段中的一個階段的透視圖。在對層間介電層1302執行化學機械研磨操作之後,執行移除虛設閘極(例如,閘極堆疊130)的操作以及移除虛設閘極介電質(例如,閘極介電層132)的操作,從而留下開放區1402。虛設閘極與虛設閘極介電質是使用適當的蝕刻操作移除的。在此實施方式中,***在閘極堆疊130與半導體鰭120之間的硬遮罩層602保留在通道區域120B的頂表面223上。
第15圖是根據本揭露的一個實施方式之鰭式場效電晶體裝置200在第一連續製造製程的各個階段中的一個階段的透視圖。在移除閘極堆疊130之後,移除保留在通道區域120B的頂表面223上的硬遮罩層602。可藉由適當的蝕刻操作來移除硬遮罩層602。就此而言,硬遮罩層602的移除增強閘極控制,從而使操作的電流的效能增強。若硬遮罩層602保留在通道區域120B上,則閘極控制將受到不利地影響,從而導致約6-10%的操作電流退化。在部份態樣中,隨著硬遮罩層602的厚度增大,所導致的操作電流退化更加嚴重。藉由移除硬遮罩層602可消除此類問題。
第16圖是根據本揭露的一個實施方式之鰭式場效電晶體裝置200在第一連續製造製程的各個階段中的一個階 段的透視圖。隨後,在通道區域120B上方形成金屬閘極1602與高介電常數的閘極介電層(未圖示)。根據本揭露的實施方式,高介電常數的閘極介電層可包含一或多層的HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、二氧化鉿-氧化鋁(HfO2-Al2O3)合金、其他適當的高介電常數的介電材料或其組合。金屬閘極1602的材料可包含一或多層的Ti、TiN、鈦鋁合金、Al、AlN、Ta、TaN、TaC、TaCN、TaSi等等。
第17圖是根據本揭露的一個實施方式之鰭式場效電晶體裝置200在第一連續製造製程的各個階段中的一個階段的透視圖。在形成金屬閘電極結構之後,藉由蝕刻層間介電層1302的部分來暴露源極/汲極區125。在一些實施方式中,可藉由圖案化層間介電層1302形成源極/汲極區125上方的開口,例如微影製程連同蝕刻操作,其用於形成暴露具有應變材料160的源極或汲極區的開口。
第18圖是根據本揭露的一個實施方式之鰭式場效電晶體裝置200在第一連續製造製程的各個階段中的一個階段的透視圖。在從ILD層1302暴露源極/汲極區125之後,執行沉積導電材料以在應變材料160的表面上形成環繞型接觸層1802(或者層間接觸層)的操作。環繞型接觸層1802可表示到/從源極/汲極區125的內連接結構。
藉由適當的技術沉積環繞型接觸層1802,例如濺射、電鍍或者化學氣相沈積。在一個實施方式中,環繞型接觸層1802可用作源極/汲極區125上方的均勻層。導電材料的實 施例包含一或多層的金屬如Al、Cu、W、Ti、Ta、TiN、TiAl、TiAlN、TaN、NiSi、CoSi、以及其他導電材料。
第19圖是根據本揭露的一個實施方式鰭式場效電晶體裝置200在第一連續製造製程的各個階段中的一個階段的透視圖。在形成環繞型接觸層1802之後,可執行沉積內連接內連接層1902的操作。在一些態樣中,內連接內連接層1902充當接觸插塞以將環繞型接觸層1802互連至鰭式場效電晶體裝置200的上部佈線層(未圖示)。
在此實施例中,可施加內連接層1902以填充形成在源極/汲極區125上方的環繞型接觸層1802上方的開口/間隔。在環繞型接觸層1802上沉積適當的導電材料,諸如銅、鎢、鎳、鈦等等。例如,鎢可用於在源極/汲極區125上方的開口中形成鎢插塞。可藉由化學氣相沈積、物理氣相沈積、電鍍等等來形成內連接層1902。可利用鑲嵌技術來形成內連接層1902。
相較於鰭式場效電晶體裝置100(第1A圖),鰭式場效電晶體裝置200中經修整的源極或汲極區與應變材料的保形磊晶成長之組合(第19圖)增大了接觸面積並且減少了鰭式場效電晶體裝置200中存在的寄生電容。例如,在合併的鑽石形源極/汲極區125(第1A圖)中,接觸插塞可以僅接觸合併的源極/汲極區125的上表面。相反地,在第19圖中,接觸插塞(例如,內連接層1902)可接觸源極/汲極區125的側面,以及因此可獲得更大的接觸面積,此更大的接觸面積減少了寄生電容。
第20圖到第31圖圖示根據本揭露的一些實施例的鰭式場效電晶體結構的第二連續製造製程的中間階段的透視圖的示例。因為此實施方式中的許多操作與特徵結構與第2圖到第19圖的操作相同或類似,所以出於簡化目的可省略一些詳細論述。
第20圖是根據本揭露的一個實施方式之鰭式場效電晶體裝置200在第二連續製造製程的各個階段中的一個階段的透視圖。類似於第8圖,在基板110上方形成閘極堆疊130,此閘極堆疊130在半導體鰭120的頂表面223與側壁224上方並且延伸到第一隔離區150a與第二隔離區150b的頂表面217。部分硬遮罩層602***在半導體鰭120與閘極堆疊130之間。閘極堆疊130包含閘極介電層132,以及設置在閘極介電層132上的閘電極層134。
第21圖是根據本揭露的一個實施方式之鰭式場效電晶體裝置200在第二連續製造製程的各個階段中的一個階段的透視圖。類似於第9圖,在形成閘極堆疊130之後,在半導體鰭120的源極/汲極區125上執行輕摻雜汲極植入2102。相對於垂直軸904,可以傾斜角執行輕摻雜汲極植入2102。在一或多個實施方式中,若在圖案化閘極堆疊130之後立即從半導體鰭120的頂表面223移除硬遮罩層602,則可不執行輕摻雜汲極植入2102。
第22圖是根據本揭露的一個實施方式之鰭式場效電晶體裝置200在第二連續製造製程的各個階段中的一個階段的橫截面透視圖。類似於第13圖但是不同於第10圖到第12 圖,執行沉積層間介電(ILD)層2202的操作。藉由適當的技術如化學氣相沈積來沉積層間介電層2202。在此實例中,層間介電層2202可用作源極/汲極區125上方的均勻層。隨後可藉由化學機械研磨操作來平坦化層間介電層2202。
第23圖是根據本揭露的一個實施方式之鰭式場效電晶體裝置200在第二連續製造製程的各個階段中的一個階段的透視圖。類似於第14圖,在執行對層間介電層2202的化學機械研磨操作之後,執行移除閘極堆疊130的操作以及移除閘極介電層132的操作,從而留下開放區2302。使用適當的蝕刻操作來移除閘極堆疊130與閘極介電層132。
第24圖是根據本揭露的一個實施方式之鰭式場效電晶體裝置200在第二連續製造製程的各個階段中的一個階段的透視圖。類似於第15圖,在移除閘極堆疊130之後,移除保留在通道區域120B的頂表面223上的硬遮罩層602,以產升蝕刻區域2402。可藉由適當的蝕刻操作來移除硬遮罩層602。
第25圖是根據本揭露的一個實施方式之鰭式場效電晶體裝置200在第二連續製造製程的各個階段中的一個階段的透視圖。類似於第16圖,在移除硬遮罩層602之後,執行在開放區2302(參見,第23圖)中沉積包含金屬閘極2502與高介電常數的閘極介電層(未圖示)的金屬閘極結構的操作。
第26圖是根據本揭露的一個實施方式之鰭式場效電晶體裝置200在第二連續製造製程的各個階段中的一個階段的透視圖。類似於第17圖,藉由在ILD層2202上使用諸如乾式蝕刻及/或濕式蝕刻的蝕刻操作,暴露半導體鰭120的源極/ 汲極區125,如第26圖所示。應理解的是蝕刻操作可被執行為單一蝕刻操作或者多重蝕刻操作。在此實施例中,硬遮罩層602保留在暴露的半導體鰭120的頂表面223上。
第27圖是根據本揭露的一個實施方式之鰭式場效電晶體裝置200在第二連續製造製程的各個階段中的一個階段的透視圖。類似於第10圖,在從層間介電層2202暴露源極/汲極區125之後,藉由蝕刻操作2702來執行對半導體鰭120的源極/汲極區125的側壁224的橫向修整。在部分實施方式中,循環地應用閉環蝕刻操作2702,直到達到所需的修整後寬度為止。例如,執行從側壁224移除材料的大量反覆操作,直到源極/汲極區125的寬度變成通道區域120B的原始寬度的約40%至約60%為止。硬遮罩層602保護半導體鰭120的源極/汲極區125的頂表面223。
第28圖是根據本揭露的一個實施方式之鰭式場效電晶體裝置200在第二連續製造製程的各個階段中的一個階段的透視圖。類似於第11圖,在修整操作之後,從半導體鰭120的頂表面223移除硬遮罩層602。可使用蝕刻及/或清洗操作來移除硬遮罩層602。
第29圖是根據本揭露的一個實施方式之鰭式場效電晶體裝置200在第二連續製造製程的各個階段中的一個階段的透視圖。類似於第12圖,在半導體鰭120的源極/汲極區125上方選擇性地成長應變材料160,以沿著不同的晶體取向(例如,<100>、<110>、<101>)覆蓋源極/汲極區125的側壁224與頂表面223的表面。在此實施方式中,針對每一半導 體鰭120空間上分開地(亦即,沒有合併)形成應變材料160。類似於第11圖中,源極/汲極區125的修整部分使源極/汲極區域125沿著<110>軸線縮小尺寸,從而降低應變材料160合併的可能性。
第30圖是根據本揭露的一個實施方式之鰭式場效電晶體裝置200在第二連續製造製程的各個階段中的一個階段的透視圖。類似於第18圖,在形成應變材料160之後,執行沉積導電材料以在應變材料160的表面上形成環繞型接觸層3002(或者層間接觸層)的操作。藉由適當的技術如化學氣相沈積或者原子層沉積來沉積環繞型接觸層1802。
第31圖是根據本揭露的一個實施方式之鰭式場效電晶體裝置200在第二連續製造製程的各個階段中的一個階段的透視圖。類似於第19圖,在形成環繞型接觸層3002之後,執行沉積內連接層3102的操作。在一些態樣中,內連接層3102充當接觸插塞以將環繞型接觸層3002互連至鰭式場效電晶體裝置200的其他內連接層(未圖示)。
根據本揭露的實施方式,後續處理亦可在半導體基板110上形成各種觸點/通孔/線路以及多層內連接特徵結構(例如,金屬層與層間介電質),此等觸點/通孔/線路以及多層內連接特徵結構被配置用於連接鰭式場效電晶體裝置200的各種特徵結構或者結構。舉例而言,多層內連接包含垂直式內連接,諸如傳統的通路或者觸點;以及水平式內連接,諸如金屬線路。
鰭式場效電晶體裝置200僅用作一個實施例。鰭式場效電晶體裝置200可用於各種應用,諸如數位電路、圖像感測裝置、異質半導體裝置、動態隨機存取記憶體(dynamic random access memory;DRAM)單元,單電子電晶體(single electron transistor;SET),及/或其他微電子裝置(在本文中統稱為微電子裝置)。當然,本揭露的態樣同樣可用於及/或輕易地適合於其他類型的電晶體,包含單閘極電晶體、雙閘極電晶體以及其他的多閘極電晶體,並且可應用於許多不同的應用,包含感測器單元、記憶體單元、邏輯單元,以及其他。
本揭露提供用於形成非切割面鰭狀的、高深寬比(例如,高並且薄的)的磊晶成長的源極/汲極區,此等源極/汲極區不與相鄰鰭式裝置的源極/汲極區合併。在鰭狀源極/汲極上的環繞型接觸與保形磊晶成長的源極/汲極的組合可增大接觸面積量並且降低鰭式場效電晶體裝置中的寄生電阻。此外,由於沒有合併的源極/汲極區,可避免源極/汲極的缺陷。本揭露的有利特徵結構包含與現有鰭式場效電晶體為基底的互補式金屬氧化物半導體裝置製造流程的兼容性,相較於原始製造流程具有較低的額外成本。
根據本揭露的一個實施例,在一種用於製造鰭式場效電晶體(fin field-effect transistor,Fin FET)裝置的方法中,在基板上方提供第一鰭結構與第二鰭結構。第一鰭結構與第二鰭結構從設置在基板上方的隔離絕緣層伸出。在第一鰭結構與第二鰭結構上方形成閘極結構。第一鰭結構與第二鰭結構中的每一者具有在閘極結構下面的通道區域與在閘極結構 外面的源極/汲極區。源極/汲極區具有第一寬度。移除第一鰭結構與第二鰭結構中的源極/汲極區的部分側壁以形成經修整的源極/汲極區。每一經修整的源極/汲極區具有小於第一寬度的第二寬度。在第一鰭結構與第二鰭結構的經修整的源極/汲極區上方形成應變材料。應變材料被形成為使得在第一鰭結構上形成的應變材料是與在第二鰭結構上形成的應變材料分隔的。在閘極結構與源極或汲極區上方用應變材料形成層間介電層。在形成於第一鰭結構與第二鰭結構的源極/汲極區上的應變材料上形成接觸層,以使得接觸層環繞源極/汲極區上的應變材料。
在另一個實施例中,在一種用於製造鰭式場效電晶體裝置的方法中,在基板上方提供第一鰭結構與第二鰭結構。第一鰭結構與第二鰭結構從設置在基板上方的隔離絕緣層伸出。在第一鰭結構與第二鰭結構上方形成閘極結構。第一鰭結構與第二鰭結構中的每一者具有在閘極結構下面的通道區域與在閘極結構外面的源極/汲極區。源極/汲極區具有第一寬度。在閘極結構與具有第一寬度的源極/汲極區上方形成層間介電層。移除部分層間介電層以暴露具有第一寬度的源極/汲極區。移除第一鰭結構與第二鰭結構的暴露的源極/汲極區的部分側壁以形成經修整的源極/汲極區。每一經修整的源極/汲極區具有小於第一寬度的第二寬度。在第一鰭結構與第二鰭結構的經修整的源極/汲極區上方形成應變材料。應變材料被形成為使得在第一鰭結構上形成的應變材料是與在第二鰭結構上形成的應變材料分隔的。在形成於第一鰭結構與第二鰭結構 上的應變材料上形成接觸層,以使得接觸層環繞源極/汲極區的應變材料。
在又另一實施例中,半導體裝置包含基板、第一與第二鰭結構、閘極結構、第一與第二應變材料層,以及接觸層。第一鰭結構設置在基板上方並且包含第一通道區域與第一源極或汲極區。第二鰭結構設置在基板上方並且包含第二通道區域與第二源極/汲極區。閘極結構設置在第一鰭結構與第二鰭結構的至少一部分上方。第一與第二通道區域在閘極結構下面,並且第一與第二源極/汲極區在閘極結構外面。第一應變材料層設置在第一源極或汲極區上方,以及第二應變材料層設置在第二源極/汲極區上方。第一與第二應變材料層分別提供應力至第一與第二通道區域。接觸層環繞第一與第二應變材料層。第一應變材料層是與第二應變材料層分隔的。
先前概述了若干實施例的特徵,以便本領域熟習此項技藝者可更好地理解本揭露的各態樣。本領域熟習此項技藝者應當瞭解到他們可容易地使用本揭露作為基礎來設計或者修改用於實行相同目的及/或實現本文引入的實施例的相同優勢的其他製程及結構。本領域熟習此項技藝者亦應當瞭解到,此類等效構造不脫離本揭露的精神及範疇,以及在不脫離本揭露的精神及範疇的情況下,其可對本文進行各種改變、取代及變更。
200‧‧‧鰭式場效電晶體裝置
1902‧‧‧內連接層

Claims (10)

  1. 一種用於製造一鰭式場效電晶體裝置的方法,該方法包含:在一基板上方提供一第一鰭結構與一第二鰭結構,該第一鰭結構和第二鰭結構從設置在該基板上方的一隔離絕緣層伸出;在該第一鰭結構與該第二鰭結構上方形成一閘極結構,該第一鰭結構與該第二鰭結構中的每一者具有在該閘極結構下方的一通道區域與在該閘極結構外部的複數個源極/汲極區,該些源極/汲極區具有一第一寬度;移除該第一鰭結構與該第二鰭結構中的該些源極/汲極區的側壁的部分,以形成經修整的源極/汲極區,該些經修整的源極/汲極區中的每一者具有小於該第一寬度的一第二寬度;在該第一鰭結構與該第二鰭結構的該些經修整的源極/汲極區上方形成一應變材料,該應變材料被形成為使得形成在該第一鰭結構上的該應變材料是與形成在該第二鰭結構上的該應變材料分隔的;在該閘極結構與該些源極/汲極區上方用該應變材料形成一層間介電層;以及在形成於該第一鰭結構與該第二鰭結構的該些源極/汲極區上的該應變材料上形成一接觸層,以便該接觸層環繞該些源極/汲極區上的該些應變材料。
  2. 如請求項1所述之方法,其中提供一第一鰭結構與一第二鰭結構的步驟包含: 在該基板上方形成複數個鰭;形成一絕緣材料層,以便該些鰭嵌入於該絕緣材料層中;使該些嵌入的鰭的複數個部分凹陷,以在該絕緣材料層中形成複數個相應的凹陷開口;在該些凹陷的嵌入的鰭上方將一半導體材料沉積到該些相應的凹陷開口中;以及移除該絕緣材料層的部分以暴露該沉積的半導體材料的複數個上部分,該第一鰭結構與該第二鰭結構分別包含該些暴露的上部分,該絕緣材料層的一剩餘部分是該隔離絕緣層。
  3. 如請求項2所述之方法,其中在沉積到該些相應的凹陷開口中的該半導體材料上方形成一遮罩層。
  4. 如請求項3所述之方法,其中該移除該些源極/汲極區的側壁的部分的步驟是用該遮罩層作為一蝕刻遮罩來執行的。
  5. 一種用於製造一鰭式場效電晶體裝置的方法,該方法包含:在一基板上方提供一第一鰭結構與一第二鰭結構,該些第一鰭結構與該第二鰭結構從設置在該基板上方的一隔離絕緣層伸出;在該第一鰭結構與該第二鰭結構上方形成一閘極結構,該第一鰭結構與該第二鰭結構中的每一者具有在該閘極結構下方的一通道區域與在該閘極結構外部的複數個源極/汲極區,該些源極/汲極區具有一第一寬度;在該閘極結構與具有該第一寬度的該些源極/汲極區上方形成一層間介電層; 移除該層間介電層的部分以暴露具有該第一寬度的該些源極/汲極區;移除該第一鰭結構與該第二鰭結構的該些暴露的源極/汲極區的側壁的部分以形成經修整的源極/汲極區,該些經修整的源極/汲極區中的每一者具有小於該第一寬度的一第二寬度;在該第一鰭結構與該第二鰭結構的該些經修整的源極/汲極區上方形成一應變材料,該應變材料被形成為使得形成在該第一鰭結構上的該應變材料是與形成在該第二鰭結構上的該應變材料分隔的;以及在形成於該第一鰭結構與該第二鰭結構上的該應變材料上形成一接觸層,以便該接觸層環繞該些源極/汲極區的該應變材料。
  6. 如請求項5所述之方法,其中該提供一第一鰭結構與一第二鰭結構的步驟更包含:在該基板上方形成複數個鰭;形成一絕緣材料層,以使得該些鰭嵌入該絕緣材料層中;使該些嵌入的鰭的部分凹陷以在該絕緣材料層中形成複數個相應的凹陷開口;在該些凹陷的嵌入的鰭上方將一半導體材料沉積到該些相應的凹陷開口中;以及移除該絕緣材料層的部分以暴露該沉積的半導體材料的複數個上部分,該第一鰭結構與該第二鰭結構分別包含該些暴露的上部分,該絕緣材料層的一剩餘部分是該隔離絕緣層。
  7. 如請求項6所述之方法,其中在沉積到該些相應的凹陷開口中的該半導體材料上方進一步形成一遮罩層。
  8. 一種半導體裝置,包含:一基板;一第一鰭結構,設置在該基板上方並且包含一第一通道區域與一第一源極/汲極區,其中該第一源極/汲極區的寬度小於該第一通道區域的寬度;一第二鰭結構,設置在該基板上方並且包含一第二通道區域與一第二源極/汲極區;一閘極結構,設置在該第一鰭結構與該第二鰭結構的至少一部分上方,其中該第一通道區域與該第二通道區域位在該閘極結構下面,該第一源極/汲極區與該第二源極/汲極區在該閘極結構外面;設置在該第一源極/汲極區上方的一第一應變材料層與設置在該第二源極/汲極區上方的一第二應變材料層,其中該第一應變材料層與該第二應變材料層分別提供應力到該第一通道區域和該第二通道區域;以及一接觸層,該接觸層環繞該第一應變材料層與該第二應變材料層,其中該第一應變材料層是與該第二應變材料層分隔的。
  9. 如請求項8所述之半導體裝置,其中該第二源極/汲極區的寬度小於該第二通道區域的寬度。
  10. 如請求項8所述之半導體裝置,其中: 該第一鰭結構更包含低於該第一通道區域的一第一阱區域,該第二鰭結構更包含低於該第二通道區域的一第二阱區域,且該第一通道區域與該第二通道區域是由一與該第一阱區域與該第二阱區域不同的材料構成的。
TW104138981A 2015-09-04 2015-11-24 半導體裝置以及製造鰭式場效電晶體裝置的方法 TWI570915B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/846,414 US9472669B1 (en) 2015-09-04 2015-09-04 Semiconductor Fin FET device with epitaxial source/drain

Publications (2)

Publication Number Publication Date
TWI570915B true TWI570915B (zh) 2017-02-11
TW201711198A TW201711198A (zh) 2017-03-16

Family

ID=57120903

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104138981A TWI570915B (zh) 2015-09-04 2015-11-24 半導體裝置以及製造鰭式場效電晶體裝置的方法

Country Status (3)

Country Link
US (3) US9472669B1 (zh)
CN (1) CN106504990B (zh)
TW (1) TWI570915B (zh)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9865710B2 (en) * 2015-03-31 2018-01-09 Stmicroelectronics, Inc. FinFET having a non-uniform fin
US9472669B1 (en) * 2015-09-04 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Fin FET device with epitaxial source/drain
US9865504B2 (en) * 2016-03-04 2018-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
KR20170122910A (ko) * 2016-04-27 2017-11-07 성균관대학교산학협력단 원자층 식각방법
US10002962B2 (en) * 2016-04-27 2018-06-19 International Business Machines Corporation Vertical FET structure
KR102575420B1 (ko) 2016-10-05 2023-09-06 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10453943B2 (en) * 2016-11-29 2019-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. FETS and methods of forming FETS
US10290738B2 (en) 2017-04-10 2019-05-14 Globalfoundries Inc. Methods of forming epi semiconductor material on a recessed fin in the source/drain regions of a FinFET device
US10707331B2 (en) * 2017-04-28 2020-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with a reduced width
US10121868B1 (en) * 2017-05-03 2018-11-06 Globalfoundries Inc. Methods of forming epi semiconductor material on a thinned fin in the source/drain regions of a FinFET device
US10727131B2 (en) * 2017-06-16 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain epitaxy re-shaping
KR102365109B1 (ko) 2017-08-22 2022-02-18 삼성전자주식회사 집적회로 장치
US10403714B2 (en) * 2017-08-29 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Fill fins for semiconductor devices
US10510886B2 (en) 2017-10-26 2019-12-17 Samsung Electronics Co., Ltd. Method of providing reacted metal source-drain stressors for tensile channel stress
US10636869B2 (en) * 2018-03-09 2020-04-28 Xilinx, Inc. Mitigation for FinFET technology using deep isolation
DE102018127585A1 (de) * 2018-07-31 2020-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Erhöhen des volumens von epitaxiebereichen
US11043424B2 (en) 2018-07-31 2021-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Increase the volume of epitaxy regions
KR102279471B1 (ko) * 2018-08-31 2021-07-22 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 에피택셜 소스/드레인 구조물 및 방법
US11222951B2 (en) 2018-08-31 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial source/drain structure and method
KR102524803B1 (ko) 2018-11-14 2023-04-24 삼성전자주식회사 소스/드레인 영역을 갖는 반도체 소자
DE102019131057A1 (de) * 2018-11-29 2020-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und herstellungsverfahren
US11164866B2 (en) * 2019-02-20 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for manufacturing the same
CN111834226A (zh) * 2019-04-23 2020-10-27 台湾积体电路制造股份有限公司 半导体器件及其制造方法
KR20210047688A (ko) 2019-10-22 2021-04-30 삼성전자주식회사 집적회로 장치 및 그 제조 방법
DE102020132562B4 (de) * 2020-01-30 2024-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur herstellung einer halbleitervorrichtung und halbleitervorrichtung
CN113540213B (zh) * 2020-04-17 2023-07-14 长鑫存储技术有限公司 有源区、有源区阵列及其形成方法
US11776816B2 (en) * 2020-12-02 2023-10-03 Synopsys, Inc. Fin patterning to reduce fin collapse and transistor leakage

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201427018A (zh) * 2012-12-28 2014-07-01 Taiwan Semiconductor Mfg 鰭式場效電晶體、半導體裝置及其製造方法
WO2015094309A1 (en) * 2013-12-19 2015-06-25 Intel Corporation Method of forming a wrap-around contact on a semicondcutor device

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
KR100672826B1 (ko) * 2004-12-03 2007-01-22 삼성전자주식회사 핀 전계 효과 트랜지스터 및 그 제조방법
US7871873B2 (en) * 2009-03-27 2011-01-18 Global Foundries Inc. Method of forming fin structures using a sacrificial etch stop layer on bulk semiconductor material
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US8659032B2 (en) 2012-01-31 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US10535735B2 (en) * 2012-06-29 2020-01-14 Intel Corporation Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
US8987791B2 (en) * 2013-02-27 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
CN104701171B (zh) * 2013-12-05 2017-09-22 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
US9472669B1 (en) * 2015-09-04 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Fin FET device with epitaxial source/drain

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201427018A (zh) * 2012-12-28 2014-07-01 Taiwan Semiconductor Mfg 鰭式場效電晶體、半導體裝置及其製造方法
WO2015094309A1 (en) * 2013-12-19 2015-06-25 Intel Corporation Method of forming a wrap-around contact on a semicondcutor device

Also Published As

Publication number Publication date
US20180102436A1 (en) 2018-04-12
US20170069756A1 (en) 2017-03-09
CN106504990A (zh) 2017-03-15
US9859427B2 (en) 2018-01-02
US9472669B1 (en) 2016-10-18
US10312369B2 (en) 2019-06-04
TW201711198A (zh) 2017-03-16
CN106504990B (zh) 2019-11-15

Similar Documents

Publication Publication Date Title
TWI570915B (zh) 半導體裝置以及製造鰭式場效電晶體裝置的方法
US12021082B2 (en) Enhanced channel strain to reduce contact resistance in NMOS FET devices
US10163903B2 (en) FETS and methods of forming FETS
US10741400B2 (en) Gate replacement structures in semiconductor devices
KR101795870B1 (ko) Fet 및 fet를 형성하는 방법
TW201643966A (zh) 鰭式場效電晶體裝置及其形成方法
US11031298B2 (en) Semiconductor device and method
US9865709B2 (en) Selectively deposited spacer film for metal gate sidewall protection
TWI807067B (zh) 半導體結構與其形成方法、鰭狀場效電晶體裝置、與閘極結構
CN113270473A (zh) 半导体装置及其形成方法
KR20220050019A (ko) 반도체 디바이스의 콘택 플러그 구조물 및 그 형성 방법
TWI780799B (zh) 半導體裝置及其製造方法
KR20210141312A (ko) 반도체 디바이스 및 방법
US11557518B2 (en) Gapfill structure and manufacturing methods thereof
US20230047598A1 (en) Semiconductor devices and methods of manufacture
TW202201548A (zh) 半導體裝置的製造方法