KR102575420B1 - 반도체 장치 및 그 제조 방법 - Google Patents

반도체 장치 및 그 제조 방법 Download PDF

Info

Publication number
KR102575420B1
KR102575420B1 KR1020160128085A KR20160128085A KR102575420B1 KR 102575420 B1 KR102575420 B1 KR 102575420B1 KR 1020160128085 A KR1020160128085 A KR 1020160128085A KR 20160128085 A KR20160128085 A KR 20160128085A KR 102575420 B1 KR102575420 B1 KR 102575420B1
Authority
KR
South Korea
Prior art keywords
contact plugs
contact
interlayer insulating
contact plug
semiconductor device
Prior art date
Application number
KR1020160128085A
Other languages
English (en)
Other versions
KR20180037662A (ko
Inventor
김효진
김창화
전휘찬
박철홍
양재석
천관영
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020160128085A priority Critical patent/KR102575420B1/ko
Priority to US15/497,283 priority patent/US10177093B2/en
Priority to TW106116853A priority patent/TWI727048B/zh
Priority to CN201710880103.5A priority patent/CN107919358B/zh
Publication of KR20180037662A publication Critical patent/KR20180037662A/ko
Priority to US16/217,220 priority patent/US10886227B2/en
Priority to US17/120,616 priority patent/US11935835B2/en
Application granted granted Critical
Publication of KR102575420B1 publication Critical patent/KR102575420B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66681Lateral DMOS transistors, i.e. LDMOS transistors
    • H01L29/66689Lateral DMOS transistors, i.e. LDMOS transistors with a step of forming an insulating sidewall spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76889Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by forming silicides of refractory metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Abstract

반도체 장치는, 기판 상에 형성된 게이트 구조물들, 상기 게이트 구조물들에 인접한 상기 기판의 부분들 상에 각각 형성된 소스/드레인 층들, 상기 소스/드레인 층들 상면에 각각 접촉하는 제1 콘택 플러그들, 상기 게이트 구조물들 중 하나의 상면에 접촉하며, 그 측벽이 절연 스페이서에 의해 커버된 제2 콘택 플러그, 및 상기 게이트 구조물들 중 적어도 하나의 상면 및 상기 제1 콘택 플러그들 중 적어도 하나에 공통적으로 접촉하며, 그 측벽의 적어도 일부는 절연 스페이서에 의해 커버되지 않는 제3 콘택 플러그를 포함한다.

Description

반도체 장치 및 그 제조 방법{SEMICONDUCTOR DEVICES AND METHODS OF MANUFACTURING THE SAME}
본 발명은 반도체 장치 및 그 제조 방법에 관한 것이다. 보다 자세하게 본 발명은 콘택 플러그들을 포함하는 반도체 장치 및 그 제조 방법에 관한 것이다.
종래에는 게이트 구조물 상면에 접촉하는 제1 콘택 플러그가 소자 분리막 상에만 형성되어 소스/드레인 층 상면에 접촉하는 제2 콘택 플러그와의 거리가 멀어서 이들 사이에 전기적 쇼트가 발생하지 않았다. 하지만, 최근 셀 사이즈의 감소로 상기 제1 콘택 플러그가 소자 분리막뿐만 아니라 소스/드레인 층 상에도 형성됨으로써 상기 제 및 제2 콘택 플러그들 사이의 거리가 감소되어, 이들 사이의 전기적 쇼트가 발생할 수 있다.
본 발명의 일 과제는 우수한 특성을 갖는 반도체 장치를 제공하는 것이다.
본 발명의 다른 과제는 우수한 특성을 갖는 반도체 장치를 제조하는 방법을 제공하는 것이다.
상술한 본 발명의 일 과제를 달성하기 위하여, 예시적인 실시예들에 따른 반도체 장치는, 기판 상에 형성된 게이트 구조물들, 상기 게이트 구조물들에 인접한 상기 기판의 부분들 상에 각각 형성된 소스/드레인 층들, 상기 소스/드레인 층들 상면에 각각 접촉하는 제1 콘택 플러그들, 상기 게이트 구조물들 중 하나의 상면에 접촉하며, 그 측벽이 절연 스페이서에 의해 커버된 제2 콘택 플러그, 및 상기 게이트 구조물들 중 적어도 하나의 상면 및 상기 제1 콘택 플러그들 중 적어도 하나에 공통적으로 접촉하며, 그 측벽의 적어도 일부는 절연 스페이서에 의해 커버되지 않는 제3 콘택 플러그를 포함할 수 있다.
상술한 본 발명의 일 과제를 달성하기 위하여, 다른 예시적인 실시예들에 따른 반도체 장치는, 기판 상에 형성된 게이트 구조물들, 상기 게이트 구조물들에 인접한 상기 기판의 부분들 상에 각각 형성된 소스/드레인 층들, 상기 소스/드레인 층들 중 일부의 상면에 각각 접촉하며, 상면이 제1 높이를 갖는 제1 콘택 플러그들, 상기 소스/드레인 층들 중 일부의 상면에 접촉하며, 상면이 상기 제1 높이보다 큰 제2 높이를 갖는 적어도 하나의 제2 콘택 플러그, 상기 제1 및 제2 콘택 플러그들의 적어도 상부 측벽을 커버하고 실리콘 산화물을 포함하며, 적어도 일부 상부는 하부에 비해 높은 함량의 실리콘을 포함하는 층간 절연막, 상기 게이트 구조물들 중 하나의 상면에 접촉하며, 상기 제1 콘택 플러그들 사이에 형성된 제3 콘택 플러그, 및 상기 게이트 구조물들 중 하나의 상면 및 상기 적어도 하나의 제2 콘택 플러그에 공통적으로 접촉하는 제4 콘택 플러그를 포함할 수 있다.
상술한 본 발명의 일 과제를 달성하기 위하여, 또 다른 예시적인 실시예들에 따른 반도체 장치는, 기판 상면에 평행한 제1 방향으로 각각 연장되고 상기 제1 방향에 수직한 제2 방향을 따라 복수 개로 배치되며 하부 측벽이 소자 분리막에 의해 커버된 액티브 핀들, 상기 액티브 핀들 사이에 하나 혹은 복수 개로 배치되어, 상기 제1 방향으로 연장되며 상기 소자 분리막에 의해 커버된 더미 액티브 핀, 상기 액티브 핀들 및 상기 소자 분리막 상에 상기 제2 방향으로 각각 연장되며 상기 제1 방향을 따라 복수 개로 배치된 게이트 구조물들, 상기 게이트 구조물들에 상기 제1 방향으로 인접한 상기 액티브 핀들 및 상기 소자 분리막 상에 형성된 소스/드레인 층들, 상기 소스/드레인 층들 상면에 각각 접촉하는 제1 콘택 플러그들 및 제2 콘택 플러그, 상기 게이트 구조물들 중 하나의 상면에 접촉하며, 그 측벽이 절연 스페이서에 의해 커버된 제3 콘택 플러그, 상기 게이트 구조물들 중 적어도 하나의 상면 및 상기 제2 콘택 플러그에 공통적으로 접촉하는 제4 콘택 플러그, 및 상기 제1 내지 제4 콘택 플러그들 상부에서 상기 제1 방향으로 각각 연장되며 상기 제2 방향을 따라 복수 개로 배치된 배선들을 포함할 수 있으며, 상기 제3 콘택 플러그는, 상기 배선들 중에서 상기 소스/드레인 층들에 수직적으로 오버랩되는 배선에 전기적으로 연결될 수 있다.
상술한 본 발명의 다른 과제를 달성하기 위하여, 예시적인 실시예들에 따른 반도체 장치의 제조 방법에서, 게이트 구조물 및 이에 인접한 소스/드레인 층을 각각 포함하는 트랜지스터들을 기판 상에 형성할 수 있다. 상기 트랜지스터들을 커버하는 제1 층간 절연막을 상기 기판 상에 형성할 수 있다. 상기 제1 층간 절연막을 관통하여 상기 소스/드레인 층들 상면에 각각 접촉하는 제1 콘택 플러그들 및 제2 콘택 플러그를 형성할 수 있다. 상기 제1 층간 절연막, 및 상기 제1 및 제2 콘택 플러그들 상에 제2 층간 절연막을 형성할 수 있다. 상기 제1 및 제2 층간 절연막들을 관통하여 상기 게이트 구조물들 중 하나를 노출시키는 제1 개구, 및 상기 게이트 구조물들 중 적어도 하나와 이에 인접하는 상기 제2 콘택 플러그를 공통적으로 노출시키는 제2 개구를 형성할 수 있다. 상기 제1 개구의 측벽에 제1 절연 스페이서를 형성할 수 있다. 상기 제1 및 제2 개구들 내에 제3 및 제4 콘택 플러그들을 각각 형성할 수 있다.
상술한 본 발명의 다른 과제를 달성하기 위하여, 다른 예시적인 실시예들에 따른 반도체 장치 제조 방법에서, 게이트 구조물 및 이에 인접한 소스/드레인 층을 각각 포함하는 트랜지스터들을 기판 상에 형성할 수 있다. 상기 트랜지스터들을 커버하는 제1 층간 절연막을 상기 기판 상에 형성할 수 있다. 상기 제1 층간 절연막을 관통하면서 상기 소스/드레인 층들 상면에 각각 접촉하는 제1 및 제2 콘택 플러그들을 형성할 수 있다. 상기 제1 콘택 플러그들의 상부를 제거할 수 있다. 상기 제1 층간 절연막 상부에 이온을 주입할 수 있다. 상기 제1 층간 절연막 및 상기 제1 및 제2 콘택 플러그들 상에 제2 층간 절연막을 형성할 수 있다. 상기 제1 및 제2 층간 절연막들을 관통하여 상기 게이트 구조물들 중 하나의 상면에 접촉하는 제3 콘택 플러그, 및 상기 게이트 구조물들 중 적어도 하나와 이에 인접하는 상기 제2 콘택 플러그에 공통적으로 접촉하는 제4 콘택 플러그를 형성할 수 있다.
본 발명의 실시예들에 따른 반도체 장치는 셀 사이즈가 감소하더라도 콘택 플러그들의 사이의 전기적 절연성이 향상될 수 있으며, 전기적 쇼트 발생이 감소할 수 있다.
도 1 내지 도 5는 예시적인 실시예들에 따른 반도체 장치를 설명하기 위한 평면도 및 단면도들이다.
도 6 내지 도 34는 예시적인 실시예들에 따른 반도체 장치의 제조 방법의 단계들을 설명하기 위한 평면도들 및 단면도들이다.
도 35는 예시적인 실시예들에 따른 반도체 장치를 설명하기 위한 단면도이다.
도 36은 예시적인 실시예들에 따른 반도체 장치를 설명하기 위한 단면도이다.
도 37 내지 도 39는 예시적인 실시예들에 따른 반도체 장치의 제조 방법의 단계들을 설명하기 위한 평면도들 및 단면도들이다.
도 40 및 도 41은 예시적인 실시예들에 따른 반도체 장치를 설명하기 위한 단면도들이다.
이하, 첨부된 도면들을 참조하여 본 발명의 바람직한 실시예들에 따른 반도체 장치 및 그 제조 방법에 대하여 상세하게 설명한다.
[실시예]
도 1 내지 도 5는 예시적인 실시예들에 따른 반도체 장치를 설명하기 위한 평면도 및 단면도들이다. 구체적으로, 도 1은 평면도이고, 도 2 내지 도 5는 단면도들이다. 이때, 도 2는 도 1의 A-A'선을 따라 절단한 단면도이고, 도 3은 도 1의 B-B'선을 따라 절단한 단면도이며, 도 4는 도 1의 C-C'선을 따라 절단한 단면도이고, 도 5는 도 1의 D-D'선을 따라 절단한 단면도이다.
도 1 내지 도 5를 참조하면, 상기 반도체 장치는 기판(100) 상에 형성된 게이트 구조물들(280), 게이트 구조물들(280)에 인접한 기판(100)의 부분들 상에 각각 형성된 소스/드레인 층들(210), 소스/드레인 층들(210) 중 일부의 상면에 각각 접촉하며 상면이 제1 높이를 갖는 제1 콘택 플러그들(332), 소스/드레인 층들(210) 중 일부의 상면에 접촉하며 상면이 제2 높이를 갖는 적어도 하나의 제2 콘택 플러그(334), 게이트 구조물들(280) 중 하나의 상면에 접촉하며 제1 콘택 플러그들(332) 사이에 형성된 제3 콘택 플러그(392), 및 게이트 구조물들(280) 중 하나의 상면 및 제2 콘택 플러그(334)에 공통적으로 접촉하는 제4 콘택 플러그(394)를 포함할 수 있다.
또한 상기 반도체 장치는 절연막(220), 제1 내지 제3 층간 절연막들(300, 360, 400), 절연성 강화층(305), 제1 절연 스페이서(382), 제1 및 제2 비아들(412, 414), 배선들(420) 등을 더 포함할 수 있다.
기판(100)은 실리콘, 게르마늄, 실리콘-게르마늄과 같은 반도체 물질, 또는 GaP, GaAs, GaSb 등과 같은 Ⅲ-Ⅴ족 화합물을 포함할 수 있다. 일부 실시예들에 따르면, 기판(100)은 실리콘-온-인슐레이터(SOI) 기판 또는 게르마늄-온-인슐레이터(GOI) 기판일 수 있다.
기판(100) 상에는 제1 리세스(110) 및 이를 부분적으로 채우는 소자 분리 패턴(120)이 형성될 수 있으며, 이에 따라 기판(100)은 상부로 돌출된 핀(fin) 형상을 갖는 액티브 영역(105) 및 필드 영역으로 구분될 수 있다. 이때, 액티브 영역(105)은 액티브 핀으로도 지칭될 수 있다.
예시적인 실시예들에 있어서, 액티브 핀(105)은 기판(100) 상면에 평행한 제1 방향으로 연장될 수 있으며, 기판(100) 상면에 평행하고 상기 제1 방향과 교차하는 제2 방향을 따라 복수 개로 형성될 수 있다. 예시적인 실시예들에 있어서, 상기 제1 및 제2 방향들은 서로 직교할 수 있다.
액티브 핀(105)은 소자 분리 패턴(120)에 의해 측벽이 둘러싸인 하부 액티브 패턴(105b), 및 소자 분리 패턴(120) 상면으로 돌출된 상부 액티브 패턴(105a)으로 구분될 수 있다.
예시적인 실시예들에 있어서, 액티브 핀들(105) 중 일부는 상부 액티브 패턴(105a)이 제거되어 더미(dummy) 액티브 핀을 형성할 수 있다. 상기 더미 액티브 핀은 하부 액티브 패턴(105b)의 일부만을 포함할 수 있으며, 이에 따라 그 측벽은 소자 분리 패턴(120)에 의해 커버될 수 있고, 그 상면은 절연막(220)에 의해 커버될 수 있다. 상기 더미 액티브 핀은 액티브 핀(105)과는 달리 그 상면에 소스/드레인 층(210)이 형성되지 않을 수 있다. 예시적인 실시예들에 있어서, 상기 더미 액티브 핀은 액티브 핀들(105) 사이에 하나 혹은 복수 개로 형성될 수 있으며, 상기 제1 방향으로 연장될 수 있다.
소자 분리 패턴(120)은 예를 들어, 실리콘 산화물과 같은 산화물을 포함할 수 있다.
예시적인 실시예들에 있어서, 게이트 구조물(280)은 액티브 핀(105) 및 소자 분리 패턴(120) 상에 상기 제2 방향으로 연장될 수 있으며, 상기 제1 방향으로 따라 복수 개로 형성될 수 있다. 게이트 구조물(280)은 순차적으로 적층된 인터페이스 패턴(240), 게이트 절연 패턴(250), 일함수 조절 패턴(260) 및 게이트 전극(270)을 포함할 수 있으며, 소스/드레인 층(210)과 함께 트랜지스터를 형성할 수 있다. 상기 트랜지스터는 소스/드레인 층(210)의 도전형에 따라서 피모스(PMOS) 트랜지스터 혹은 엔모스(NMOS) 트랜지스터를 형성할 수 있다.
인터페이스 패턴(240)은 예를 들어, 실리콘 산화물과 같은 산화물을 포함할 수 있고, 게이트 절연 패턴(250)은, 예를 들어, 하프늄 산화물(HfO2), 탄탈륨 산화물(Ta2O5), 지르코늄 산화물(ZrO2) 등과 같은 고유전율을 갖는 금속 산화물을 포함할 수 있으며, 일함수 조절 패턴(260)은 예를 들어, 티타늄 질화물(TiN), 티타늄 알루미늄(TiAl), 티타늄 알루미늄 질화물(TiAlN), 탄탈륨 질화물(TaN), 탄탈륨 알루미늄 질화물(TaAlN) 등과 같은 금속 질화물 혹은 합금을 포함할 수 있고, 게이트 전극(270)은 예를 들어, 알루미늄(Al), 구리(Cu), 탄탈륨(Ta) 등의 저 저항 금속과 이의 질화물을 포함할 수 있다.
한편, 게이트 구조물(280)의 상기 제1 방향으로의 각 측벽에는 게이트 스페이서(160)가 형성될 수 있으며, 액티브 핀(105)의 상기 제2 방향으로의 양 측벽에는 핀 스페이서(170)가 형성될 수 있다. 게이트 스페이서(160) 및 핀 스페이서(170)는 예를 들어, 실리콘 질화물과 같은 질화물을 포함할 수 있다.
소스/드레인 층(210)은 게이트 구조물(280)에 상기 제1 방향으로 인접한 액티브 핀(105) 상에 형성된 제2 리세스(190)를 채울 수 있으며, 그 상면은 게이트 스페이서(160)의 측벽에 접촉할 수 있다. 예시적인 실시예들에 있어서, 소스/드레인 층(210)은 상기 제2 방향을 따라 절단된 단면이 5각형에 유사한 형상을 가질 수 있다.
일 실시예에 있어서, 소스/드레인 층(210)은 p형 불순물이 도핑된 단결정 실리콘-게르마늄 층일 수 있다. 다른 실시예에 있어서, 소스/드레인 층(210)은 n형 불순물이 도핑된 단결정 실리콘 탄화물 층, 혹은 n형 불순물이 도핑된 단결정 실리콘 층일 수 있다.
예시적인 실시예들에 있어서, 상기 제2 방향으로 서로 이웃하는 액티브 핀들(105) 사이의 거리가 작은 경우, 각 액티브 핀들(105) 상면에 형성된 각 소스/드레인 층들(210)이 서로 연결되어 병합될 수 있다. 도면 상에서는 상기 제2 방향으로 서로 이웃하는 2개의 액티브 핀들(105) 상부로 각각 성장한 2개의 소스/드레인 층들(210)이 서로 병합된 것이 도시되어 있으나, 본 발명의 개념은 반드시 이에 한정되지는 않으며, 임의의 복수의 소스/드레인 층들(210)이 서로 병합될 수 있다.
한편, 서로 병합된 소스/드레인 층들(210)과 소자 분리 패턴(120) 사이에는 에어 갭(225)이 형성될 수 있다.
절연막(220)은 액티브 핀(105), 상기 더미 액티브 핀, 및 소자 분리 패턴(120) 상에 형성되어 상기 트랜지스터의 게이트 구조물(280) 측벽 및 소스/드레인 층(210)을 커버할 수 있으며, 예를 들어, 토즈(TOSZ), 혹은 실리콘 산화물을 포함할 수 있다.
게이트 구조물(280) 및 절연막(220) 상에는 캐핑막(290) 및 제1 층간 절연막(300)이 순차적으로 형성될 수 있다. 캐핑막(290)은 예를 들어, 실리콘 질화물과 같은 질화물을 포함할 수 있으며, 제1 층간 절연막(300)은 예를 들어, 테오스(TEOS)와 같은 실리콘 산화물을 포함할 수 있다.
제1 및 제2 콘택 플러그들(332, 334)은 제1 층간 절연막(300), 캐핑막(290) 및 절연막(220)을 관통하여 소스/드레인 층들(210)의 상면에 각각 접촉할 수 있다. 각 제1 및 제2 콘택 플러그들(332, 334)과 소스/드레인 층(210)이 접촉하는 부분에는 제1 금속 실리사이드 패턴(320)이 형성될 수 있다. 제1 금속 실리사이드 패턴(320)은 예를 들어, 티타늄 실리사이드, 코발트 실리사이드, 니켈 실리사이드 등을 포함할 수 있다.
일 실시예에 있어서, 각 제1 및 제2 콘택 플러그들(332, 334)은 높은 종횡비를 갖도록 형성될 수 있으며, 이에 따라 상부에서 하부로 갈수록 그 폭이 점차 줄어들 수 있다. 다만, 각 제1 콘택 플러그들(332)은 제2 콘택 플러그(334)와 함께 형성된 후 그 상부가 제거될 수 있으며(도 25 내지 도 28 참조), 상대적으로 큰 폭을 갖는 상부가 제거됨에 따라 제1 콘택 플러그들(332) 사이의 거리가 감소될 수 있다. 이에 따라, 제2 콘택 플러그(334)의 상면이 제2 높이를 갖는 경우, 각 제1 콘택 플러그들(332)의 상면은 이보다 작은 제1 높이를 가질 수 있다.
한편, 상기 제1 콘택 플러그들(332)의 상부 제거 공정을 수행함에 따라서, 제1 층간 절연막(300) 상부에는 제4 리세스들(350)이 형성될 수 있으며, 이에 따라 제1 층간 절연막(300)은 상면의 높이가 일정하지 않을 수 있다. 결과적으로, 제1 콘택 플러그들(332)은 제4 리세스들(350) 하부에 각각 형성될 수 있다.
각 제1 및 제2 콘택 플러그들(332, 334)은 예를 들어, 텅스텐, 구리와 같은 금속을 포함하는 제2 금속 패턴(도시되지 않음), 및 예를 들어, 탄탈륨 질화물, 티타늄 질화물, 텅스텐 질화물 등과 같은 금속 질화물을 포함하며 상기 제2 금속 패턴의 저면 및 측벽을 커버하는 제1 배리어 패턴(도시되지 않음)을 포함할 수 있다.
예시적인 실시예들에 있어서, 각 제1 및 제2 콘택 플러그들(332, 334)은 액티브 핀들(105) 상면에 형성된 소스/드레인 층들(210) 상에 형성되므로, 일부 잔류하는 하부 액티브 패턴(105b)만을 포함하는 상기 더미 액티브 핀에는 수직적으로 오버랩되지 않을 수 있다.
한편, 각 제1 콘택 플러그들(332)은 상기 제2 방향으로 일정한 길이만큼 연장되도록 형성될 수 있으며, 상기 제1 방향을 따라 복수 개로 형성될 수 있다. 또한, 제2 콘택 플러그(334)는 상기 제2 방향으로 일정한 길이만큼 연장되도록 형성될 수 있으며, 상기 제1 방향을 따라 하나 혹은 복수 개로 형성될 수 있다. 도면 상에서는 예시적으로, 2개의 제2 콘택 플러그들(334)이 도시되어 있다.
한편, 제1 층간 절연막(300) 상부에는 이온 주입 공정에 의해 형성된 절연성 강화층(305)이 형성될 수 있다. 예시적인 실시예들에 있어서, 제1 층간 절연막(300)이 실리콘 산화물을 포함하는 경우, 제1 층간 절연막(300)에 실리콘 이온이 더 주입되어 절연성 강화층(305)은 실리콘 리치 실리콘 산화물을 포함할 수 있다. 하지만, 본 발명의 개념은 반드시 이에 한정되지는 않으며, 절연성 강화층(305)은 제1 층간 절연막(300)이 포함하는 물질에 다양한 이온이 주입되어 형성될 수 있다.
예시적인 실시예들에 있어서, 절연성 강화층(305)은 제1 층간 절연막(300)의 상면을 따라 일정한 두께로 형성될 수 있다. 제1 층간 절연막(300)의 상면이 일정한 높이를 갖지 않음에 따라 절연성 강화층(305) 역시 일정한 높이를 갖지 않을 수 있다.
한편, 상기 이온 주입 공정에 의해서 각 제1 콘택 플러그들(332) 상부에도 이온이 주입될 수 있으며, 이에 따라 각 제1 콘택 플러그들(332) 상부에는 제2 금속 실리사이드 패턴(336)이 형성될 수 있다.
제2 층간 절연막(360)은 절연성 강화층(305)이 형성된 제1 층간 절연막(300), 제2 금속 실리사이드 패턴(336)이 형성된 제1 콘택 플러그들(332), 및 제2 콘택 플러그(334) 상에 형성될 수 있으며, 예를 들어, 실리콘 질화물과 같은 질화물을 포함할 수 있다.
제3 콘택 플러그(392)는 제2 층간 절연막(360), 절연성 강화층(305)이 형성된 제1 층간 절연막(300), 및 캐핑막(290)을 관통하여 게이트 구조물들(280) 중 하나의 상면에 접촉할 수 있으며, 제4 콘택 플러그(394)는 제2 층간 절연막(360), 제1 층간 절연막(300), 제2 콘택 플러그(334)의 일부, 및 캐핑막(290)을 관통하여 게이트 구조물들(280) 중 적어도 하나의 상면에 접촉할 수 있다.
예시적인 실시예들에 있어서, 제3 콘택 플러그(392)는 소스/드레인 층들(210) 상에 형성되어 게이트 구조물(280)을 사이에 두고 상기 제1 방향으로 서로 인접하는 제1 콘택 플러그들(332) 사이에 형성될 수 있으며, 이에 따라 상기 더미 액티브 핀 상에 수직적으로 오버랩되지 않을 수 있다.
예시적인 실시예들에 있어서, 제4 콘택 플러그(394)는 제2 콘택 플러그(334)의 일부 측벽에 접촉할 수 있으며, 예를 들어, 게이트 구조물(280)을 사이에 두고 상기 제1 방향으로 서로 인접하도록 2개의 제2 콘택 플러그들(334)이 형성된 경우, 이들의 서로 마주보는 각 일 측벽들에 접촉할 수 있다.
각 제3 및 제4 콘택 플러그들(392, 394)은 예를 들어, 텅스텐, 구리와 같은 금속을 포함하는 제3 금속 패턴(도시되지 않음), 및 예를 들어, 탄탈륨 질화물, 티타늄 질화물, 텅스텐 질화물 등과 같은 금속 질화물을 포함하며 상기 제3 금속 패턴의 저면 및 측벽을 커버하는 제2 배리어 패턴(도시되지 않음)을 포함할 수 있다. 이와는 달리, 각 제3 및 제4 콘택 플러그들(392, 394)는 제3 금속 패턴(도시되지 않음)만을 포함할 수도 있다.
한편, 제3 콘택 플러그(392)의 측벽은 제1 절연 스페이서(382)에 의해 커버될 수 있다. 제1 절연 스페이서(382)는 가운데가 빈 실린더 형상을 가질 수 있으며, 예를 들어, 실리콘 산화물과 같은 산화물을 포함할 수 있다.
예시적인 실시예들에 있어서, 제3 콘택 플러그(392)는 상기 제1 방향을 따라 서로 인접하는 제1 콘택 플러그들(332) 사이에 형성될 수 있으며, 제3 콘택 플러그(392) 형성을 위한 제4 개구(370, 도 29 및 도 30 참조) 형성 시 미스얼라인이 발생하더라도 그 측벽이 제1 절연 스페이서(382)에 의해 커버되므로, 제1 콘택 플러그들(332)과 전기적으로 절연될 수 있다.
또한, 각 제1 콘택 플러그들(332)은 상대적으로 큰 폭을 갖는 상부가 제거되었으므로, 이에 인접하도록 형성되는 제3 콘택 플러그(392)와의 거리가 증가된 효과를 가질 수 있어, 이들 사이의 접촉 가능성이 감소할 수 있다.
나아가, 제1 콘택 플러그들(332)이 형성되는 제1 층간 절연막(300) 상부에 절연성 강화층(305)이 형성되어 있으므로, 서로 인접하는 제1 및 제3 콘택 플러그들(332, 392) 사이의 전기적 절연성이 향상될 수 있다.
이에 따라, 종래 상기 더미 액티브 핀에 수직적으로 오버랩되도록 제3 콘택 플러그(392)가 형성되는 것에 비해서, 상기 반도체 장치의 셀 사이즈 감소에 의해서, 제3 콘택 플러그(392)가 제1 콘택 플러그들(332)과 상기 제1 방향으로 인접하도록 형성되더라도, 이들 사이의 전기적 절연성이 향상될 수 있으며, 전기적 쇼트 발생이 감소할 수 있다.
한편, 게이트 구조물(280) 상면 및 이에 인접하는 제2 콘택 플러그(334)에 공통적으로 접촉하는 제4 콘택 플러그(394)는 제3 콘택 플러그(392)와는 달리 그 측벽이 절연 스페이서에 의해 커버되지 않을 수 있으며, 이에 따라 제2 콘택 플러그(334)와의 접촉 저항이 커지지 않을 수 있다.
제3 층간 절연막(400)은 제2 층간 절연막(360), 제3 및 제4 콘택 플러그들(392, 394) 및 제1 절연 스페이서(382) 상에 형성될 수 있으며, 제1 내지 제4 콘택 플러그들(332, 334, 392, 394) 중 일부에 전기적으로 연결되는 배선들(420)이 제3 층간 절연막(400) 내에 형성할 수 있다.
예시적인 실시예들에 있어서, 각 배선들(420)은 상기 제1 방향으로 연장될 수 있으며, 상기 제2 방향을 따라 복수 개로 형성될 수 있다.
일 실시예에 있어서, 배선들(420) 중 일부는 제1 콘택 플러그(332)와 전기적으로 연결될 수 있으며, 이는 제2 및 제3 층간 절연막들(360, 400)을 관통하여 배선(420)의 저면 및 제1 콘택 플러그(332)의 상면에 접촉하는 제1 비아(412)를 통해 수행될 수 있다. 또한, 배선들(420) 중 일부는 제3 콘택 플러그(392)에 전기적으로 연결될 수 있으며, 이는 제3 층간 절연막(400)을 관통하여 배선(420)의 저면 및 제3 콘택 플러그(392)의 상면에 접촉하는 제2 비아(414)를 통해 수행될 수 있다.
다만, 도 1 내지 도 5에 도시된 배선들(420) 및 비아들(412, 414)은 예시적인 것으로서, 본 발명의 개념은 반드시 이에 한정되지는 않는다. 즉, 하부의 콘택 플러그들(332, 334, 392, 394)과 상부의 배선들(420)은 다양한 구조물 및/또는 방법들에 의해 서로 전기적으로 연결될 수 있다.
예시적인 실시예들에 있어서, 배선들(420) 및 제1 및 제2 비아들(412, 414)은 예를 들어, 제4 금속 패턴(도시되지 않음) 및 이의 저면 및 측벽을 커버하는 제3 배리어 패턴(도시되지 않음)을 포함하도록 형성될 수 있다.
예시적인 실시예들에 있어서, 배선들(420) 중 일부는 상기 더미 액티브 핀들에 수직적으로 오버랩되도록 형성될 수 있으며, 또한 배선들(420) 중 일부는 액티브 핀들(105) 혹은 그 상부에 형성된 소스/드레인 층들(210)에 수직적으로 오버랩되도록 형성될 수 있다.
이때, 소스/드레인 층들(210) 상면에 형성된 제1 콘택 플러그들(332) 사이에서 게이트 구조물(280) 상면에 접촉하는 제3 콘택 플러그(392)는 상기 더미 액티브 핀들에 수직적으로 오버랩되지 않을 수 있으며, 이에 따라 배선들(420) 중에서 상기 더미 액티브 핀들에 수직적으로 오버랩되지 않는 것에 전기적으로 연결될 수 있다.
그런데, 전술한 바와 같이, 비록 셀 레이아웃에 따라 제3 콘택 플러그(392)가 제1 콘택 플러그들(332)에 인접하도록 형성되더라도, 제1 절연 스페이서(382), 제4 리세스(350) 및 절연성 강화층(305) 등을 형성함에 따라서, 제1 및 제3 콘택 플러그들(332, 392) 사이에 전기적 쇼트가 발생할 가능성이 낮아질 수 있다.
도 6 내지 도 34는 예시적인 실시예들에 따른 반도체 장치의 제조 방법의 단계들을 설명하기 위한 평면도들 및 단면도들이다. 구체적으로, 도 6, 8, 11, 15, 18, 22, 25, 32는 평면도들이고, 도 7, 9-10, 12-14, 15-17, 19-21, 23-24, 26-31 및 33-34는 단면도들이다. 이때, 도 7, 12, 14, 16, 19, 23 및 26은 대응하는 각 평면도들의 A-A'선을 따라 절단한 단면도들이고, 도 9 및 20은 대응하는 각 평면도들의 B-B'선을 따라 절단한 단면도들이며, 도 10, 13, 17, 21, 24, 27, 29 및 33은 대응하는 각 평면도들의 C-C'선을 따라 절단한 단면도들이고, 도 28, 30, 31 및 34는 대응하는 각 평면도들의 D-D'선을 따라 절단한 단면도들이다.
도 6 및 도 7을 참조하면, 기판(100) 상부를 부분적으로 식각하여 제1 리세스(110)를 형성한 후, 제1 리세스(110)의 하부를 채우는 소자 분리 패턴(120)을 형성할 수 있다.
기판(100) 상에 제1 리세스(110)가 형성됨에 따라 액티브 영역(105) 및 필드 영역이 정의될 수 있다. 이때, 액티브 영역(105)은 액티브 핀(105)으로 지칭될 수도 있다.
예시적인 실시예들에 있어서, 액티브 핀(105)은 기판(100) 상면에 평행한 제1 방향으로 연장될 수 있으며, 기판(100) 상면에 평행하고 상기 제1 방향과 교차하는 제2 방향을 따라 복수 개로 형성될 수 있다.
예시적인 실시예들에 있어서, 소자 분리 패턴(120)은 제1 리세스(110)를 충분히 채우는 소자 분리막을 기판(100) 상에 형성하고, 기판(100) 상면이 노출될 때까지 상기 소자 분리막을 평탄화한 후, 제1 리세스(110) 상부가 노출되도록 상기 소자 분리막 상부를 제거함으로써 형성될 수 있다.
기판(100) 상에 소자 분리 패턴(120)이 형성됨에 따라서, 액티브 핀(105)은 소자 분리 패턴(120)에 의해 측벽이 둘러싸인 하부 액티브 패턴(105b), 및 소자 분리 패턴(120) 상면으로 돌출된 상부 액티브 패턴(105a)으로 구분될 수 있다.
도 8 내지 도 10을 참조하면, 기판(100) 상에 더미 게이트 구조물을 형성할 수 있다.
구체적으로, 기판(100)의 액티브 핀(105) 및 소자 분리 패턴(120) 상에 더미 게이트 절연막, 더미 게이트 전극막 및 더미 마스크 막을 순차적으로 형성하고, 상기 더미 게이트 마스크 막을 패터닝하여 더미 게이트 마스크(150)를 기판(100) 상에 형성한 후, 이를 식각 마스크로 사용하여 상기 더미 게이트 전극막 및 상기 더미 게이트 절연막을 순차적으로 식각함으로써 상기 더미 게이트 구조물을 형성할 수 있다.
이에 따라, 기판(100) 상에는 순차적으로 적층된 더미 게이트 절연 패턴(130), 더미 게이트 전극(140) 및 더미 게이트 마스크(150)를 포함하는 상기 더미 게이트 구조물이 형성될 수 있다.
상기 더미 게이트 절연막, 상기 더미 게이트 전극막 및 상기 더미 게이트 마스크 막은 화학 기상 증착(Chemical Vapor Deposition: CVD) 공정, 원자층 증착(Atomic layer Deposition: ALD) 공정 등을 통해 형성될 수 있다. 이와는 달리, 상기 더미 게이트 절연막은 기판(100) 상부에 대한 열산화 공정을 통해 형성될 수도 있으며, 이 경우에 상기 더미 게이트 절연막은 액티브 핀(105) 상면에만 형성될 수 있다.
예시적인 실시예들에 있어서, 상기 더미 게이트 구조물은 상기 제2 방향으로 연장될 수 있으며, 상기 제1 방향을 따라 복수 개로 형성될 수 있다.
도 11 내지 도 13을 참조하면, 상기 더미 게이트 구조물의 측벽에 게이트 스페이서(160)를 형성할 수 있다.
게이트 스페이서(160)는 기판(100)의 액티브 핀(105) 및 소자 분리 패턴(120) 상에 상기 더미 게이트 구조물을 커버하는 스페이서 막을 형성하고 이를 이방성 식각함으로써 형성할 수 있다. 이때, 게이트 스페이서(160)는 상기 더미 게이트 구조물의 상기 제1 방향으로의 양 측벽들 상에 각각 형성될 수 있으며, 상부 액티브 패턴(105a)의 상기 제2 방향으로의 양 측벽들 상에는 각각 핀 스페이서(170)가 형성될 수 있다.
도 14를 참조하면, 액티브 핀들(105) 중 일부는 커버하고 일부는 노출시키는 제1 마스크(180)를 형성한 후, 이를 식각 마스크로 사용하여 상기 노출된 액티브 핀들(105)의 각 상부 액티브 패턴(105a)을 제거함으로써, 하부 액티브 패턴들(105b)만을 포함하는 더미(dummy) 액티브 핀들을 형성할 수 있다.
예시적인 실시예들에 있어서, 제1 마스크(180)는 하나 혹은 복수 개의 액티브 핀들(105)을 노출시킬 수 있으며, 이에 따라 하나 혹은 복수 개의 더미 액티브 핀들이 형성될 수 있다. 도 9에는 서로 인접하는 2개의 액티브 핀들(105)의 상부 액티브 패턴들(105a)이 제거되어 2개의 더미 액티브 핀들이 형성되는 것이 도시되어 있으나, 본 발명의 개념은 이에 한정되지는 않는다.
한편, 상기 더미 액티브 핀들을 형성할 때, 상부 액티브 패턴들(105a)의 측벽에 형성된 핀 스페이서들(170)도 함께 제거될 수 있다.
도 15 내지 도 17을 참조하면, 먼저 제1 마스크(180)를 제거한 후, 게이트 스페이서(160)에 인접한 액티브 핀(105)의 상부를 식각하여 제2 리세스(190)를 형성한다.
구체적으로, 상기 더미 게이트 구조물 및 이의 측벽에 형성된 게이트 스페이서(160)를 식각 마스크로 사용하는 건식 식각 공정을 통해 액티브 핀(105)의 상부를 제거함으로써 제2 리세스(190)를 형성할 수 있다. 제2 리세스(190)가 형성될 때, 액티브 핀(105)에 인접하여 형성된 핀 스페이서(170)도 대부분 제거될 수 있으나, 그 하부는 잔류할 수도 있다. 또한, 상기 더미 액티브 핀들의 하부 액티브 패턴들(105b) 역시 부분적으로 혹은 전부가 제거되어 제3 리세스(200)가 형성될 수 있다.
한편 도면 상에서는, 액티브 핀(105) 중에서 상부 액티브 패턴(105a)의 일부만이 식각되어 제2 리세스(190)가 형성됨에 따라서, 제2 리세스(190)의 저면이 하부 액티브 패턴(105b)의 상면보다 높은 것이 도시되어 있으나, 본 발명의 개념은 반드시 이에 한정되지는 않는다.
제3 리세스(200)를 커버하는 제2 마스크(도시하지 않음)를 형성한 후, 제2 리세스(190)를 채우는 소스/드레인 층(210)을 형성할 수 있다.
예시적인 실시예들에 있어서, 소스/드레인 층(210)은 제2 리세스(190)에 의해 노출된 액티브 핀(105)의 상면을 시드로 사용하는 선택적 에피택시얼 성장(SEG) 공정을 수행함으로써 형성될 수 있다.
예시적인 실시예들에 있어서, 상기 선택적 에피택시얼 성장(SEG) 공정을 수행함에 따라서, 소스/드레인 층(210)으로서 단결정의 실리콘-게르마늄 층이 형성될 수 있다. 또한, 상기 선택적 에피택시얼 성장(SEG) 공정은 p형 불순물 소스 가스를 함께 사용할 수 있으며, 이에 따라 소스/드레인 층(210)으로서 p형 불순물이 도핑된 단결정 실리콘-게르마늄 층이 형성될 수 있다. 이에 따라, 소스/드레인 층(210)은 피모스(PMOS) 트랜지스터의 소스/드레인 영역 역할을 수행할 수 있다.
소스/드레인 층(210)은 수직 방향뿐만 아니라 수평 방향으로도 성장하여 제2 리세스(190)를 채울 수 있으며, 상부가 게이트 스페이서(160) 측벽에 접촉하도록 성장할 수도 있다.
다만, 예시적인 실시예들에 있어서, 상기 제2 방향으로 서로 이웃하는 액티브 핀들(105) 사이의 거리가 작은 경우, 각 액티브 핀들(105) 상으로 성장하는 각 소스/드레인 층들(210)이 서로 연결되어 병합될 수 있다. 도면 상에서는 상기 제2 방향으로 서로 이웃하는 2개의 액티브 핀들(105) 상부로 각각 성장한 2개의 소스/드레인 층들(210)이 서로 병합된 것이 도시되어 있으나, 본 발명의 개념은 반드시 이에 한정되지는 않으며, 임의의 복수의 소스/드레인 층들(210)이 서로 병합될 수 있다.
지금까지는 피모스(PMOS) 트랜지스터의 소스/드레인 역할을 수행하는 소스/드레인 층(210)에 대해 설명하였으나, 본 발명의 개념은 이에 한정되지는 않으며, 엔모스(NMOS) 트랜지스터의 소스/드레인 역할을 수행하는 소스/드레인 층(210)을 형성할 수도 있다.
이에 따라, 소스/드레인 층(210)으로서 단결정 실리콘 탄화물 층 혹은 단결정 실리콘 층이 형성될 수 있다. 한편, n형 불순물 소스 가스, 예를 들어, 포스핀(PH3) 가스 등이 함께 사용되어 n형 불순물이 도핑된 단결정 실리콘 탄화물 층이 형성될 수 있다.
도 18 내지 도 21을 참조하면, 상기 제2 마스크를 제거한 후, 상기 더미 게이트 구조물, 게이트 스페이서(160), 소스/드레인 층(210) 및 핀 스페이서(170)를 커버하는 절연막(220)을 기판(100) 상에 충분한 높이로 형성한 후, 상기 더미 게이트 구조물에 포함된 더미 게이트 전극(140)의 상면이 노출될 때까지 절연막(220)을 평탄화한다.
이때, 더미 게이트 마스크(150)도 함께 제거될 수 있으며, 게이트 스페이서(160)의 상부도 제거될 수 있다. 한편, 서로 병합된 소스/드레인 층들(210)과 소자 분리 패턴(120) 사이에는 절연막(220)이 모두 채워지지 않을 수 있으며, 이에 따라 에어 갭(225)이 형성될 수 있다.
상기 평탄화 공정은 화학 기계적 연마(CMP) 공정 및/또는 에치 백 공정에 의해 수행될 수 있다.
이후, 노출된 더미 게이트 전극(140) 및 그 하부의 더미 게이트 절연 패턴(130)을 제거하여, 게이트 스페이서(160)의 내측벽 및 액티브 핀(105)의 상면을 노출시키는 제1 개구(230)를 형성하고, 제1 개구(230)를 채우는 게이트 구조물(280)을 형성할 수 있다.
게이트 구조물(280)은 예를 들어, 다음과 같은 공정들을 수행함으로써 형성될 수 있다.
먼저, 제1 개구(230)에 의해 노출된 액티브 핀(105) 상면에 대한 열산화 공정을 수행하여 인터페이스 패턴(240)을 형성한 후, 인터페이스 패턴(240), 소자 분리 패턴(120), 게이트 스페이서(160) 및 절연막(220) 상에 게이트 절연막 및 일함수 조절막을 순차적으로 형성하고, 제1 개구(230)의 나머지 부분을 충분히 채우는 게이트 전극막을 상기 일함수 조절막 상에 형성한다.
한편, 인터페이스 패턴(240)은 열산화 공정 대신에 화학 기상 증착(CVD) 공정 또는 원자층 증착(ALD) 공정 등을 통해 형성될 수도 있으며, 이 경우에 인터페이스 패턴(240)은 액티브 핀(105) 상면뿐만 아니라 소자 분리 패턴(120) 상면, 및 게이트 스페이서(160)의 내측벽 상에도 형성될 수 있다.
이후, 절연막(220)의 상면이 노출될 때까지, 상기 게이트 전극막, 상기 일함수 조절막 및 상기 게이트 절연막을 평탄화하여, 인터페이스 패턴(240) 상면, 소자 분리 패턴(120) 상면, 및 게이트 스페이서(160)의 내측벽 상에 순차적으로 적층된 게이트 절연 패턴(250) 및 일함수 조절 패턴(260)을 형성하고, 일함수 조절 패턴(260) 상에 제1 개구(230)의 나머지 부분을 채우는 게이트 전극(270)을 형성할 수 있다. 이에 따라, 게이트 전극(270)의 저면 및 측벽은 일함수 조절 패턴(260)에 의해 커버될 수 있다.
순차적으로 적층된 인터페이스 패턴(240), 게이트 절연 패턴(250), 일함수 조절 패턴(260) 및 게이트 전극(270)은 게이트 구조물(280)을 형성할 수 있으며, 소스/드레인 층(210)과 함께 트랜지스터를 형성할 수 있다. 상기 트랜지스터는 소스/드레인 층(210)의 도전형에 따라서 피모스(PMOS) 트랜지스터 혹은 엔모스(NMOS) 트랜지스터를 형성할 수 있다.
도 22 내지 도 24를 참조하면, 절연막(220), 게이트 구조물(280), 및 게이트 스페이서(160) 상에 캐핑막(290) 및 제1 층간 절연막(300)을 순차적으로 형성하고, 절연막(220), 캐핑막(290) 및 제1 층간 절연막(300)을 관통하면서 소스/드레인 층들(210)의 상면에 각각 접촉하는 제1 및 제2 콘택 플러그들(332, 334)을 형성한다.
제1 및 제2 콘택 플러그들(332, 334)은 예를 들어, 다음과 같은 공정들을 수행함으로써 형성될 수 있다.
먼저, 절연막(220), 캐핑막(290) 및 제1 층간 절연막(300)을 관통하면서 소스/드레인 층들(210)의 상면을 각각 노출시키는 제2 및 제3 개구들(310, 315)을 형성하고, 상기 노출된 소스/드레인 층들(210)의 상면, 제2 및 제3 개구들(310, 315)의 측벽 및 제1 층간 절연막(300) 상면에 제1 금속막을 형성한 후, 열처리 공정을 수행하여 소스/드레인 층(210) 상부에 제1 금속 실리사이드 패턴(320)을 형성할 수 있다.
일 실시예에 있어서, 제2 및 제3 개구들(310, 315)은 높은 종횡비를 갖도록 형성될 수 있으며, 이에 따라 상부에서 하부로 갈수록 그 폭이 점차 줄어들 수 있다.
이후, 제1 금속 실리사이드 패턴(320) 상면, 제2 및 제3 개구들(310, 315)의 측벽 및 제1 층간 절연막(300) 상면에 제1 배리어 막을 형성하고, 상기 제1 배리어 막 상에 제2 및 제3 개구들(310, 315)을 채우는 제2 금속막을 형성한 후, 제1 층간 절연막(300) 상면이 노출될 때까지 상기 제2 금속막 및 상기 제1 배리어 막을 평탄화할 수 있다.
이에 따라, 제1 금속 실리사이드 패턴(320) 상에 제2 및 제3 개구들(310, 315)을 각각 채우는 제1 및 제2 콘택 플러그들(332, 334)이 형성될 수 있다.
각 제1 및 제2 콘택 플러그들(332, 334)는 제2 금속 패턴(도시되지 않음) 및 이의 저면 및 측벽을 커버하는 제1 배리어 패턴(도시되지 않음)을 포함할 수 있다.
예시적인 실시예들에 있어서, 각 제1 및 제2 콘택 플러그들(332, 334)은 액티브 핀들(105) 상면에 형성된 소스/드레인 층들(210) 상에 형성되므로, 일부 잔류하는 하부 액티브 패턴(105b)만을 포함하는 상기 더미 액티브 핀에는 수직적으로 오버랩되지 않을 수 있다.
한편, 각 제1 콘택 플러그들(332)은 상기 제2 방향으로 일정한 길이만큼 연장되도록 형성될 수 있으며, 상기 제1 방향을 따라 복수 개로 형성될 수 있다. 또한, 제2 콘택 플러그(334)는 상기 제2 방향으로 일정한 길이만큼 연장되도록 형성될 수 있으며, 상기 제1 방향을 따라 하나 혹은 복수 개로 형성될 수 있다. 도면 상에서는 예시적으로, 2개의 제2 콘택 플러그들(334)이 도시되어 있다.
또한 전술한 바와 같이, 각 제2 및 제3 개구들(310, 315)이 상부에서 하부로 갈수록 점차 줄어드는 폭을 가질 수 있으므로, 이들을 각각 채우는 제1 및 제2 콘택 플러그들(332, 334) 역시 상부에서 하부로 갈수록 점차 줄어드는 폭을 가질 수 있다.
도 25 내지 도 28을 참조하면, 제2 콘택 플러그(334)를 커버하는 제3 마스크(340)를 제1 층간 절연막(300) 상에 형성한 후, 이를 식각 마스크로 사용하는 식각 공정을 통해 각 제1 콘택 플러그들(332)의 상부를 제거함으로써 제4 리세스(350)를 형성할 수 있다.
각 제1 콘택 플러그들(332)은 상대적으로 하부에서보다 상부에서 큰 폭을 가질 수 있으며, 상기 상부를 제거하여 제4 리세스(350)를 형성함에 따라서, 제1 콘택 플러그들(332) 사이의 거리가 증가할 수 있다.
이후, 제3 마스크(340)를 이온 주입 마스크로 사용하는 이온 주입 공정을 통해 제1 층간 절연막(300) 상부에 이온을 주입할 수 있으며, 이에 따라 절연성 강화층(305)이 형성될 수 있다.
예시적인 실시예들에 있어서, 상기 이온은 실리콘을 포함할 수 있으며, 제1 층간 절연막(300)이 실리콘 산화물을 포함하는 경우, 상기 이온 주입 공정을 통해 제1 층간 절연막(300) 상부에는 절연성 강화층(305)으로서 실리콘 리치 실리콘 산화물 층이 형성될 수 있다. 하지만, 본 발명의 개념은 반드시 이에 한정되지는 않으며, 상기 이온은 제1 층간 절연막(300)의 절연성을 강화할 수 있는 다양한 물질을 포함할 수 있다.
예시적인 실시예들에 있어서, 절연성 강화층(305)은 제1 층간 절연막(300)의 상면을 따라 일정한 두께로 형성될 수 있다. 제4 리세스들(350) 형성 공정에 의해서, 제1 층간 절연막(300)의 상면은 일정한 높이를 갖지 않을 수 있으며, 이에 따라 절연성 강화층(305) 역시 일정한 높이를 갖지 않을 수 있다.
한편, 상기 이온 주입 공정에 의해서 각 제1 콘택 플러그들(332) 상부에도 이온이 주입될 수 있으며, 예를 들어, 실리콘 이온이 주입되는 경우, 각 제1 콘택 플러그들(332) 상부에는 제2 금속 실리사이드 패턴(336)이 형성될 수 있다.
도 29 및 도 30을 참조하면, 제3 마스크(340)를 제거한 후, 절연성 강화층(305)이 형성된 제1 층간 절연막(300), 제2 금속 실리사이드 패턴(336)이 형성된 제1 콘택 플러그들(332), 및 제2 콘택 플러그(334) 상에 제2 층간 절연막(360)을 형성할 수 있다.
이후, 제2 층간 절연막(360), 절연성 강화층(305)이 형성된 제1 층간 절연막(300), 및 캐핑막(290)을 관통하여 게이트 구조물들(280) 중 하나의 상면을 노출시키는 제4 개구(370)와, 제2 층간 절연막(360), 제1 층간 절연막(300), 제2 콘택 플러그(334)의 일부, 및 캐핑막(290)을 관통하여 게이트 구조물들(280) 중 적어도 하나의 상면을 노출시키는 제5 개구(375)를 형성할 수 있다.
예시적인 실시예들에 있어서, 제4 개구(370)는 소스/드레인 층들(210) 상에 형성되어 게이트 구조물(280)을 사이에 두고 상기 제1 방향으로 서로 인접하는 제1 콘택 플러그들(332) 사이에 형성될 수 있으며, 이에 따라 상기 더미 액티브 핀 상에 수직적으로 오버랩되지 않을 수 있다.
예시적인 실시예들에 있어서, 제5 개구(375)는 제2 콘택 플러그(334)의 일부 측벽을 노출시킬 수 있으며, 예를 들어, 게이트 구조물(280)을 사이에 두고 상기 제1 방향으로 서로 인접하도록 2개의 제2 콘택 플러그들(334)이 형성된 경우, 이들의 서로 마주보는 각 일 측벽들을 노출시킬 수 있다.
이후, 제4 및 제5 개구들(370, 375)의 측벽들 상에 제1 및 제2 절연 스페이서들(382, 384)을 각각 형성할 수 있다. 이에 따라, 제5 개구(375)에 의해 노출된 제2 콘택 플러그(334)의 측벽이 제2 절연 스페이서(384)에 의해 커버될 수 있다.
예시적인 실시예들에 있어서, 제1 및 제2 절연 스페이서들(382, 384)은 제4 및 제5 개구들(370, 375)의 저면 및 측벽, 및 제2 층간 절연막(360) 상에 절연 스페이서 막을 형성한 후, 이를 이방성 식각하여 형성할 수 있다.
상기 절연 스페이서 막은 예를 들어, 실리콘 산화물과 같은 산화물을 포함하도록 형성될 수 있다.
예시적인 실시예들에 있어서, 각 제1 및 제2 절연 스페이서들(382, 384)은 가운데가 빈 실린더 형상을 가질 수 있다.
도 31을 참조하면, 제4 개구(370)를 커버하는 제4 마스크(377)를 제2 층간 절연막(360) 상에 형성한 후, 이를 식각 마스크로 사용하는 식각 공정을 통해 제5 개구(375) 내에 형성된 제2 절연 스페이서(384)를 제거할 수 있다.
이에 따라, 제2 절연 스페이서(384)에 의해 커버된 제2 콘택 플러그(334)의 측벽이 다시 노출될 수 있다.
도 32 내지 도 34를 참조하면, 제4 및 제5 개구들(370, 375)을 각각 채우는 제3 및 제4 콘택 플러그들(392, 394)을 형성할 수 있다.
일 실시예에 있어서, 제3 및 제4 콘택 플러그들(392, 394)은 제4 개구(370)의 저면, 제1 절연 스페이서(382), 제5 개구(375)의 저면 및 측벽, 및 제2 층간 절연막(360) 상면에 제2 배리어 막을 형성하고, 상기 제2 배리어 막 상에 제4 및 제5 개구들(370, 375)을 채우는 제3 금속막을 형성한 후, 제2 층간 절연막(360) 상면이 노출될 때까지 상기 제3 금속막 및 상기 제2 배리어 막을 평탄화함으로써 형성할 수 있다.
이에 따라, 게이트 구조물(280) 중 하나의 상면에 제1 절연 스페이서(382)에 의해 측벽이 커버된 제3 콘택 플러그(392)와, 게이트 구조물(280) 중 적어도 하나의 상면에 제5 개구(375)를 채우는 제4 콘택 플러그(394)가 형성될 수 있다. 각 제3 및 제4 콘택 플러그들(392, 394)는 제3 금속 패턴(도시되지 않음) 및 이의 저면 및 측벽을 커버하는 제2 배리어 패턴(도시되지 않음)을 포함할 수 있다.
이와는 달리, 상기 제2 배리어 막은 형성되지 않을 수도 있으며, 이에 따라 각 제3 및 제4 콘택 플러그들(392, 394)는 제3 금속 패턴(도시되지 않음)만을 포함하도록 형성될 수도 있다.
예시적인 실시예들에 있어서, 각 제3 및 제4 콘택 플러그들(392, 394)은 소스/드레인 층들(210) 상에 형성된 제1 및 제2 콘택 플러그들(332, 334)에 상기 제1 방향으로 인접하도록 형성되므로, 일부 잔류하는 하부 액티브 패턴(105b)만을 포함하는 상기 더미 액티브 핀에는 수직적으로 오버랩되지 않을 수 있다.
예시적인 실시예들에 있어서, 제3 콘택 플러그(392)는 상기 제1 방향을 따라 서로 인접하는 제1 콘택 플러그들(332) 사이에 형성될 수 있으며, 제3 콘택 플러그(392) 형성을 위한 제4 개구(370) 형성 시 미스얼라인이 발생하더라도 그 측벽이 제1 절연 스페이서(382)에 의해 커버되므로, 제1 콘택 플러그들(332)과 전기적으로 절연될 수 있다.
또한, 각 제1 콘택 플러그들(332)은 상대적으로 큰 폭을 갖는 상부가 제거되었으므로, 이에 인접하도록 형성되는 제3 콘택 플러그(392)와의 거리가 증가된 효과를 가질 수 있어, 이들 사이의 접촉 가능성이 감소할 수 있다.
나아가, 이온 주입 공정에 의해 제1 콘택 플러그들(332)이 형성되는 제1 층간 절연막(300) 상부에 절연성 강화층(305)이 형성되었으므로, 서로 인접하는 제1 및 제3 콘택 플러그들(332, 392) 사이의 전기적 절연성을 향상시킬 수 있다.
한편, 제5 개구(375)의 측벽에 형성된 제2 절연 스페이서(384)를 제거함으로써, 제5 개구(375)를 채우며 게이트 구조물(280) 상면 및 이에 인접하는 제2 콘택 플러그(334)에 공통적으로 접촉하도록 형성되는 제4 콘택 플러그(394)는 제3 콘택 플러그(392)와는 달리 그 측벽이 절연 스페이서에 의해 커버되지 않을 수 있으며, 이에 따라 제2 콘택 플러그(334)와의 접촉 저항이 커지는 것을 방지할 수 있다.
다시 도 1 내지 도 5를 참조하면, 제2 층간 절연막(360), 제3 및 제4 콘택 플러그들(392, 394) 및 제1 절연 스페이서(382) 상에 제3 층간 절연막(400)을 형성하고, 제1 내지 제4 콘택 플러그들(332, 334, 392, 394) 중 일부에 전기적으로 연결되는 배선들(420)을 제3 층간 절연막(400) 내에 형성할 수 있다.
예시적인 실시예들에 있어서, 각 배선들(420)은 상기 제1 방향으로 연장될 수 있으며, 상기 제2 방향을 따라 복수 개로 형성될 수 있다.
일 실시예에 있어서, 배선들(420) 중 일부는 제1 콘택 플러그(332)와 전기적으로 연결될 수 있으며, 이는 제2 및 제3 층간 절연막들(360, 400)을 관통하여 배선(420)의 저면 및 제1 콘택 플러그(332)의 상면에 접촉하는 제1 비아(412)를 통해 수행될 수 있다. 또한, 배선들(420) 중 일부는 제3 콘택 플러그(392)에 전기적으로 연결될 수 있으며, 이는 제3 층간 절연막(400)을 관통하여 배선(420)의 저면 및 제3 콘택 플러그(392)의 상면에 접촉하는 제2 비아(414)를 통해 수행될 수 있다.
예시적인 실시예들에 있어서, 배선들(420) 및 제1 및 제2 비아들(412, 414)은 듀얼 다마신(dual damascene) 공정에 의해 동시에 형성될 수 있다. 이에 따라, 각 배선들(420) 및 제1 및 제2 비아들(412, 414)은 예를 들어, 제4 금속 패턴(도시되지 않음) 및 이의 저면 및 측벽을 커버하는 제3 배리어 패턴(도시되지 않음)을 포함하도록 형성될 수 있다.
이와는 달리, 배선들(420) 및 제1 및 제2 비아들(412, 414)은 싱글 다마신(single damascene) 공정에 의해 독립적으로 형성될 수도 있다.
도 35는 예시적인 실시예들에 따른 반도체 장치를 설명하기 위한 단면도이다. 상기 반도체 장치는 제1 및 제2 콘택 플러그들이 형성되는 위치를 제외하고는, 도 1 내지 도 5를 참조로 설명한 반도체 장치와 실질적으로 동일하거나 유사하다. 이에 따라, 동일한 구성 요소에는 동일한 참조 부호를 부여하고, 이에 대한 자세한 설명은 생략한다.
도 35를 참조하면, 각 제1 및 제2 콘택 플러그들(332, 334)은 액티브 핀(105)에 수직적으로 오버랩될 수 있다. 즉, 도 1 내지 도 5를 참조로 설명한 반도체 장치에서 각 제1 및 제2 콘택 플러그들(332, 334)은 이웃하는 액티브 핀들(105) 상에 성장한 소스/드레인 층들(210)이 서로 병합된 부분 상에 형성되어 소자 분리 패턴(120)에 수직적으로 오버랩되었으나, 본 발명의 개념은 이에 한정되지 않는다. 이에 따라, 도 35에 도시된 바와 같이, 제1 및 제2 콘택 플러그들(332, 334)은 액티브 핀(105) 바로 위에 성장한 소스/드레인 층(210) 상면에 접촉할 수도 있다.
도 36은 예시적인 실시예들에 따른 반도체 장치를 설명하기 위한 단면도이다. 상기 반도체 장치는 제1 층간 절연막 및 제2 콘택 플러그를 제외하고는, 도 1 내지 도 5를 참조로 설명한 반도체 장치와 실질적으로 동일하거나 유사하다. 이에 따라, 동일한 구성 요소에는 동일한 참조 부호를 부여하고, 이에 대한 자세한 설명은 생략한다.
도 36을 참조하면, 제1 층간 절연막(300) 상부에는 제4 리세스(350)뿐만 아니라 제5 리세스(355)도 형성될 수 있으며, 제5 리세스(355) 하부에는 제2 콘택 플러그(334)가 형성될 수 있다. 또한, 제2 콘택 플러그(334) 상부에는 제3 금속 실리사이드 패턴(338)이 형성될 수 있다.
도 37 내지 도 39는 예시적인 실시예들에 따른 반도체 장치의 제조 방법의 단계들을 설명하기 위한 평면도들 및 단면도들이다. 구체적으로, 도 37은 평면도이고, 도 38-39는 도 37의 D-D'선을 따라 절단한 단면도들이다. 상기 반도체 장치 제조 방법은 도 6 내지 도 34를 참조로 설명한 반도체 장치 제조 방법과 실질적으로 동일하거나 유사한 공정들을 포함하며, 이에 따라 이들에 대한 자세한 설명은 생략한다.
먼저 도 6 내지 도 24를 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 수행한다.
이후 도 37 및 도 38을 참조하면, 도 25 내지 도 28을 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 수행할 수 있다. 다만, 제2 콘택 플러그(334)를 커버하는 제3 마스크(340)를 형성하지 않으며, 이에 따라 제1 및 제2 콘택 플러그들(332, 334)의 각 상부들이 식각되어 제4 및 제5 리세스들(350, 355)이 각각 형성될 수 있다. 또한, 이온 주입 공정을 통해 제1 층간 절연막(300)의 전 영역에 걸쳐 절연성 강화층(305)이 형성될 수 있으며, 제1 및 제2 콘택 플러그들(332, 334)의 각 상부들에는 예를 들어, 제2 및 제3 금속 실리사이드 패턴들(336, 338)이 각각 형성될 수 있다.
도 39를 참조하면, 도 29 및 도 30을 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 수행할 수 있으며, 이에 따라 제4 및 제5 개구들(370, 375)의 측벽들에는 제1 및 제2 절연 스페이서들(382, 384)이 각각 형성될 수 있다.
다시 도 36을 참조하면, 도 31 내지 도 34 및 도 1 내지 도 5를 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 수행함으로써 상기 반도체 장치를 완성할 수 있다.
도 40 및 도 41은 예시적인 실시예들에 따른 반도체 장치를 설명하기 위한 단면도들이다. 상기 반도체 장치는 제4 콘택 플러그를 제외하고는, 도 1 내지 도 5를 참조로 설명한 반도체 장치와 실질적으로 동일하거나 유사하다. 이에 따라, 동일한 구성 요소에는 동일한 참조 부호를 부여하고, 이에 대한 자세한 설명은 생략한다.
도 40을 참조하면, 도 1 내지 도 5를 참조로 설명한 것과는 달리, 제4 콘택 플러그(394)가 게이트 구조물(280) 상면 및 이에 인접하는 하나의 제2 콘택 플러그(334)에만 공통적으로 접촉할 수 있다.
한편, 도 41을 참조하면, 도 36를 참조로 설명한 반도체 장치와 유사하게, 제1 층간 절연막(300) 상부에는 제4 리세스(350)뿐만 아니라 제5 리세스(355)도 형성될 수 있으며, 제5 리세스(355) 하부에는 제2 콘택 플러그(334)가 형성될 수 있다. 또한, 제2 콘택 플러그(334) 상부에는 제3 금속 실리사이드 패턴(338)이 형성될 수 있다.
전술한 반도체 장치 및 그 제조 방법은 콘택 플러그들을 포함하는 다양한 메모리 장치 및 시스템을 제조하는 데 사용될 수 있다.
이상에서는 본 발명의 실시예들을 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.
100: 기판 105: 액티브 핀
110, 190, 200, 350, 355: 제1 내지 제5 리세스
120: 소자 분리 패턴 130: 더미 게이트 절연 패턴
140: 더미 게이트 전극 150: 더미 게이트 마스크
160: 게이트 스페이서 170: 핀 스페이서
180, 340, 377: 제1, 제3, 제4 마스크 210: 소스/드레인 층
220: 절연막
230, 310, 315, 370, 375: 제1 내지 제5 개구
280: 게이트 구조물 290: 캐핑막
300, 360, 400: 제1 내지 제3 층간 절연막
320, 336, 338: 제1 내지 제3 금속 실리사이드 패턴
332, 334, 392, 394: 제1 내지 제4 콘택 플러그
382, 384: 제1, 제2 절연 스페이서 412, 414: 제1, 제2 비아
420: 배선

Claims (20)

  1. 기판 상에 형성되며, 상기 기판의 상면에 평행한 제2 방향으로 연장되는 게이트 구조물들;
    상기 기판의 상면에 평행하고 상기 제2 방향과 교차하는 제1 방향을 따라 상기 게이트 구조물들에 인접한 상기 기판의 부분들 상에 각각 형성된 소스/드레인 층들;
    상기 소스/드레인 층들 상면에 각각 접촉하는 제1 콘택 플러그들;
    상기 게이트 구조물들 중 하나의 상면에 접촉하며, 그 측벽이 절연 스페이서에 의해 커버되고, 상기 제1 방향을 따라 상기 제1 콘택 플러그들에 인접하는 제2 콘택 플러그; 및
    상기 게이트 구조물들 중 적어도 하나의 상면, 및 상기 제1 콘택 플러그들 중에서 상기 게이트 구조물들 중 적어도 하나의 상기 제1 방향으로의 양측에 형성된 2개의 제1 콘택 플러그들에 공통적으로 접촉하며, 그 측벽의 적어도 일부는 절연 스페이서에 의해 커버되지 않는 제3 콘택 플러그를 포함하는 반도체 장치.
  2. 제1항에 있어서, 상기 제2 콘택 플러그는 상기 제1 콘택 플러그들 사이에 형성되며 이들과 전기적으로 절연된 반도체 장치.
  3. 제1항에 있어서, 상기 절연 스페이서는 가운데가 빈 실린더 형상을 가지며, 실리콘 산화물을 포함하는 반도체 장치.
  4. 삭제
  5. 삭제
  6. 제1항에 있어서, 상기 제3 콘택 플러그는 상기 제1 콘택 플러그들 중에서, 상기 게이트 구조물들 중 적어도 하나의 상기 제1 방향으로 인접하는 하나의 제1 콘택 플러그에 접촉하는 반도체 장치.
  7. 제1항에 있어서, 상기 제1 및 제2 방향들은 직교하는 반도체 장치.
  8. 제1항에 있어서, 상기 제1 콘택 플러그들 중에서 상기 제3 콘택 플러그에 접촉하는 것의 상면은 그렇지 않은 것들의 상면보다 높은 반도체 장치.
  9. 제8항에 있어서, 상기 제1 콘택 플러그들은 금속을 포함하며,
    상기 제1 콘택 플러그들 중에서 상기 제3 콘택 플러그에 접촉하지 않는 것들은 각 상부에 금속 실리사이드를 포함하는 반도체 장치.
  10. 제1항에 있어서, 상기 제1 콘택 플러그들 중에서 상기 제3 콘택 플러그에 접촉하는 것의 상면과 그렇지 않은 것들의 상면은 실질적으로 서로 동일한 높이에 형성된 반도체 장치.
  11. 제10항에 있어서, 상기 제1 콘택 플러그들은 금속을 포함하며,
    상기 제1 콘택 플러그들은 각 상부에 금속 실리사이드를 포함하는 반도체 장치.
  12. 제1항에 있어서, 상기 제1 콘택 플러그들의 적어도 상부 측벽을 커버하는 층간 절연막을 더 포함하며,
    상기 층간 절연막은 상면의 높이가 일정하지 않은 반도체 장치.
  13. 제12항에 있어서, 상기 층간 절연막은 실리콘 산화물을 포함하며,
    상기 층간 절연막의 적어도 일부 상부는 하부에 비해 실리콘의 함량이 상대적으로 높은 실리콘 리치 실리콘 산화물 층을 포함하는 반도체 장치.
  14. 기판 상에 형성된 게이트 구조물들;
    상기 게이트 구조물들에 인접한 상기 기판의 부분들 상에 각각 형성된 소스/드레인 층들;
    상기 소스/드레인 층들 중 일부의 상면에 각각 접촉하며, 상면이 제1 높이를 갖는 제1 콘택 플러그들;
    상기 소스/드레인 층들 중 일부의 상면에 접촉하며, 상면이 상기 제1 높이보다 큰 제2 높이를 갖는 적어도 하나의 제2 콘택 플러그;
    상기 제1 및 제2 콘택 플러그들의 적어도 상부 측벽을 커버하고 실리콘 산화물을 포함하며, 적어도 일부 상부는 하부에 비해 높은 함량의 실리콘을 포함하는 층간 절연막;
    상기 게이트 구조물들 중 하나의 상면에 접촉하며, 상기 제1 콘택 플러그들 사이에 형성된 제3 콘택 플러그; 및
    상기 게이트 구조물들 중 하나의 상면 및 상기 적어도 하나의 제2 콘택 플러그에 공통적으로 접촉하는 제4 콘택 플러그를 포함하는 반도체 장치.
  15. 제14항에 있어서, 상기 제1 및 제2 콘택 플러그들은 금속을 포함하며,
    상기 제1 콘택 플러그들은 각 상부에 금속 실리사이드를 포함하는 반도체 장치.
  16. 제15항에 있어서, 상기 층간 절연막은 상부에 리세스들이 형성되며, 상기 리세스들 하부에 상기 제1 콘택 플러그들이 형성된 반도체 장치.
  17. 제15항에 있어서, 상기 제3 콘택 플러그의 측벽을 커버하는 절연 스페이서를 더 포함하는 반도체 장치.
  18. 기판 상면에 평행한 제1 방향으로 각각 연장되고 상기 제1 방향에 수직한 제2 방향을 따라 복수 개로 배치되며 하부 측벽이 소자 분리막에 의해 커버된 액티브 핀들;
    상기 액티브 핀들 사이에 하나 혹은 복수 개로 배치되어, 상기 제1 방향으로 연장되며 상기 소자 분리막에 의해 커버된 더미 액티브 핀;
    상기 액티브 핀들 및 상기 소자 분리막 상에 상기 제2 방향으로 각각 연장되며 상기 제1 방향을 따라 복수 개로 배치된 게이트 구조물들;
    상기 게이트 구조물들에 상기 제1 방향으로 인접한 상기 액티브 핀들 및 상기 소자 분리막 상에 형성된 소스/드레인 층들;
    상기 소스/드레인 층들 상면에 각각 접촉하는 제1 콘택 플러그들 및 제2 콘택 플러그;
    상기 게이트 구조물들 중 하나의 상면에 접촉하며, 그 측벽이 절연 스페이서에 의해 커버된 제3 콘택 플러그;
    상기 게이트 구조물들 중 적어도 하나의 상면 및 상기 제2 콘택 플러그에 공통적으로 접촉하는 제4 콘택 플러그; 및
    상기 제1 내지 제4 콘택 플러그들 상부에서 상기 제1 방향으로 각각 연장되며 상기 제2 방향을 따라 복수 개로 배치된 배선들을 포함하며,
    상기 제3 콘택 플러그는, 상기 배선들 중에서 상기 소스/드레인 층들에 수직적으로 오버랩되는 배선에 전기적으로 연결된 반도체 장치.
  19. 기판 상에 상기 기판의 상면에 평행한 제2 방향으로 연장되는 게이트 구조물 및 상기 기판의 상면에 평행하고 상기 제2 방향과 교차하는 제1 방향을 따라 상기 게이트 구조물에 인접한 소스/드레인 층을 각각 포함하는 트랜지스터들을 기판 상에 형성하고;
    상기 트랜지스터들을 커버하는 제1 층간 절연막을 상기 기판 상에 형성하고;
    상기 제1 층간 절연막을 관통하여 상기 소스/드레인 층들 상면에 각각 접촉하는 제1 콘택 플러그들 및 제2 콘택 플러그를 형성하고;
    상기 제1 층간 절연막, 및 상기 제1 및 제2 콘택 플러그들 상에 제2 층간 절연막을 형성하고;
    상기 제1 및 제2 층간 절연막들을 관통하여 상기 게이트 구조물들 중 하나를 노출시키는 제1 개구, 및 상기 게이트 구조물들 중 적어도 하나의 상기 제1 방향으로의 양측에 형성된 2개의 상기 제2 콘택 플러그들을 공통적으로 노출시키는 제2 개구를 형성하고;
    상기 제1 개구의 측벽에 제1 절연 스페이서를 형성하고; 그리고
    상기 제1 및 제2 개구들 내에 제3 및 제4 콘택 플러그들을 각각 형성하는 것을 포함하는 반도체 장치의 제조 방법.
  20. 게이트 구조물 및 이에 인접한 소스/드레인 층을 각각 포함하는 트랜지스터들을 기판 상에 형성하고;
    상기 트랜지스터들을 커버하는 제1 층간 절연막을 상기 기판 상에 형성하고;
    상기 제1 층간 절연막을 관통하면서 상기 소스/드레인 층들 상면에 각각 접촉하는 제1 및 제2 콘택 플러그들을 형성하고;
    상기 제1 콘택 플러그들의 상부를 제거하고;
    상기 제1 층간 절연막 상부에 이온을 주입하고;
    상기 제1 층간 절연막 및 상기 제1 및 제2 콘택 플러그들 상에 제2 층간 절연막을 형성하고; 그리고
    상기 제1 및 제2 층간 절연막들을 관통하여 상기 게이트 구조물들 중 하나의 상면에 접촉하는 제3 콘택 플러그, 및 상기 게이트 구조물들 중 적어도 하나와 이에 인접하는 상기 제2 콘택 플러그에 공통적으로 접촉하는 제4 콘택 플러그를 형성하는 것을 포함하는 반도체 장치의 제조 방법.
KR1020160128085A 2016-10-05 2016-10-05 반도체 장치 및 그 제조 방법 KR102575420B1 (ko)

Priority Applications (6)

Application Number Priority Date Filing Date Title
KR1020160128085A KR102575420B1 (ko) 2016-10-05 2016-10-05 반도체 장치 및 그 제조 방법
US15/497,283 US10177093B2 (en) 2016-10-05 2017-04-26 Semiconductor devices and methods of manufacturing the same
TW106116853A TWI727048B (zh) 2016-10-05 2017-05-22 半導體裝置及其製造方法
CN201710880103.5A CN107919358B (zh) 2016-10-05 2017-09-26 半导体器件及其制造方法
US16/217,220 US10886227B2 (en) 2016-10-05 2018-12-12 Semiconductor devices
US17/120,616 US11935835B2 (en) 2016-10-05 2020-12-14 Methods of manufacturing semiconductor devices

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020160128085A KR102575420B1 (ko) 2016-10-05 2016-10-05 반도체 장치 및 그 제조 방법

Publications (2)

Publication Number Publication Date
KR20180037662A KR20180037662A (ko) 2018-04-13
KR102575420B1 true KR102575420B1 (ko) 2023-09-06

Family

ID=61757245

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160128085A KR102575420B1 (ko) 2016-10-05 2016-10-05 반도체 장치 및 그 제조 방법

Country Status (4)

Country Link
US (3) US10177093B2 (ko)
KR (1) KR102575420B1 (ko)
CN (1) CN107919358B (ko)
TW (1) TWI727048B (ko)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102568562B1 (ko) * 2017-01-24 2023-08-18 삼성전자주식회사 반도체 장치
KR102314134B1 (ko) 2017-03-10 2021-10-18 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
US10651284B2 (en) * 2017-10-24 2020-05-12 Globalfoundries Inc. Methods of forming gate contact structures and cross-coupled contact structures for transistor devices
EP3514833B1 (en) * 2018-01-22 2022-05-11 GLOBALFOUNDRIES U.S. Inc. A semiconductor device and a method
KR102521890B1 (ko) * 2018-07-17 2023-04-14 삼성전자주식회사 반도체 장치
KR102516878B1 (ko) * 2018-07-26 2023-03-31 삼성전자주식회사 집적회로 소자
US10879128B2 (en) * 2018-08-31 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming same
KR102612592B1 (ko) * 2018-10-15 2023-12-12 삼성전자주식회사 반도체 소자
US10692775B2 (en) * 2018-11-09 2020-06-23 Applied Materials, Inc. Fin damage reduction during punch through implantation of FinFET device
KR102609556B1 (ko) * 2018-11-23 2023-12-04 삼성전자주식회사 집적회로 장치
KR102491555B1 (ko) * 2018-11-30 2023-01-20 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN111863711B (zh) * 2019-04-29 2023-06-06 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN110047853B (zh) * 2019-05-06 2021-04-13 合肥鑫晟光电科技有限公司 一种阵列基板、显示面板和显示装置
US11264486B2 (en) * 2020-01-16 2022-03-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of fabricating the semiconductor structure
US11973121B2 (en) * 2020-03-27 2024-04-30 Intel Corporation Device contacts in integrated circuit structures
CN113629145A (zh) * 2020-05-09 2021-11-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN113675271A (zh) * 2020-05-13 2021-11-19 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11527614B2 (en) 2021-03-09 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with conductive structure and method for manufacturing the same

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130193489A1 (en) * 2012-01-30 2013-08-01 Globalfoundries Inc. Integrated circuits including copper local interconnects and methods for the manufacture thereof

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001077212A (ja) * 1999-08-31 2001-03-23 Toshiba Corp 半導体装置及びその製造方法
KR100487950B1 (ko) 2003-02-03 2005-05-06 삼성전자주식회사 활성영역과 중첩되는 게이트 전극 상에 배치된 콘택홀을갖는 반도체 소자
US8129235B2 (en) 2007-03-15 2012-03-06 United Microelectronics Corp. Method of fabricating two-step self-aligned contact
US8952547B2 (en) 2007-07-09 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with contact structure with first/second contacts formed in first/second dielectric layers and method of forming same
TWI424502B (zh) * 2008-04-09 2014-01-21 Nanya Technology Corp 一種半導體結構及其製作方法
CN102789985B (zh) 2011-05-20 2015-04-22 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
CN102789972B (zh) 2011-05-20 2015-04-22 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法
US8546209B1 (en) * 2012-06-15 2013-10-01 International Business Machines Corporation Replacement metal gate processing with reduced interlevel dielectric layer etch rate
KR102003959B1 (ko) 2012-07-31 2019-07-25 삼성전자주식회사 반도체 소자 및 이를 제조하는 방법
US8993433B2 (en) 2013-05-27 2015-03-31 United Microelectronics Corp. Manufacturing method for forming a self aligned contact
US9058983B2 (en) * 2013-06-17 2015-06-16 International Business Machines Corporation In-situ hardmask generation
KR102088200B1 (ko) * 2014-07-01 2020-03-13 삼성전자주식회사 반도체 소자 및 그 제조방법
KR102282980B1 (ko) * 2015-01-05 2021-07-29 삼성전자주식회사 실리사이드를 갖는 반도체 소자 및 그 형성 방법
US9397099B1 (en) * 2015-01-29 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a plurality of fins and method for fabricating the same
US9771119B2 (en) * 2015-07-23 2017-09-26 Darrell Jay Whitmore Stressed fork motorcycle reverse trike conversion apparatus
KR20170020604A (ko) * 2015-08-12 2017-02-23 삼성전자주식회사 반도체 장치의 제조 방법
US9472669B1 (en) * 2015-09-04 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Fin FET device with epitaxial source/drain
KR102399465B1 (ko) * 2015-10-23 2022-05-18 삼성전자주식회사 로직 반도체 소자
US9947657B2 (en) * 2016-01-29 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9812400B1 (en) * 2016-05-13 2017-11-07 Globalfoundries Inc Contact line having insulating spacer therein and method of forming same
US10177006B2 (en) * 2016-11-30 2019-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. Process for making multi-gate transistors and resulting structures

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130193489A1 (en) * 2012-01-30 2013-08-01 Globalfoundries Inc. Integrated circuits including copper local interconnects and methods for the manufacture thereof

Also Published As

Publication number Publication date
US20210098377A1 (en) 2021-04-01
TW201814921A (zh) 2018-04-16
US10886227B2 (en) 2021-01-05
CN107919358B (zh) 2024-05-28
TWI727048B (zh) 2021-05-11
CN107919358A (zh) 2018-04-17
US10177093B2 (en) 2019-01-08
US20190122988A1 (en) 2019-04-25
US11935835B2 (en) 2024-03-19
US20180096935A1 (en) 2018-04-05
KR20180037662A (ko) 2018-04-13

Similar Documents

Publication Publication Date Title
KR102575420B1 (ko) 반도체 장치 및 그 제조 방법
US20220271165A1 (en) Stacked Gate-All-Around FinFET and Method Forming the Same
CN109427775B (zh) 集成电路及其形成方法
KR102451417B1 (ko) 반도체 장치
US11705454B2 (en) Active regions via contacts having various shaped segments off-set from gate via contact
US11600639B2 (en) Semiconductor device and method of manufacturing the same
KR102496973B1 (ko) 반도체 장치 및 그 제조 방법
KR102502885B1 (ko) 반도체 장치 및 그 제조 방법
KR20170047582A (ko) 로직 반도체 소자
KR102593561B1 (ko) 반도체 소자
TW201926708A (zh) 半導體裝置
KR102531609B1 (ko) 반도체 장치의 제조 방법
KR102630392B1 (ko) 반도체 장치, 반도체 장치의 레이아웃 설계 방법, 및 반도체 장치의 제조 방법
KR102631912B1 (ko) 반도체 장치의 레이아웃 설계 방법 및 반도체 장치
TW201830704A (zh) 垂直場效電晶體與鞍形鰭式場效電晶體的整合
US20230253264A1 (en) Semiconductor device
US11374001B2 (en) Semiconductor device
TWI822847B (zh) 半導體裝置
KR102449608B1 (ko) 반도체 소자의 제조 방법
KR20170110332A (ko) 반도체 장치 및 그 제조 방법
KR102593758B1 (ko) 반도체 장치
TW202040697A (zh) 形成半導體裝置的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right