TWI509101B - 用於氣體輸送的方法及設備 - Google Patents

用於氣體輸送的方法及設備 Download PDF

Info

Publication number
TWI509101B
TWI509101B TW101113979A TW101113979A TWI509101B TW I509101 B TWI509101 B TW I509101B TW 101113979 A TW101113979 A TW 101113979A TW 101113979 A TW101113979 A TW 101113979A TW I509101 B TWI509101 B TW I509101B
Authority
TW
Taiwan
Prior art keywords
precursor
gas mixture
gas
diluted
flow
Prior art date
Application number
TW101113979A
Other languages
English (en)
Other versions
TW201303070A (zh
Inventor
Zhiyuan Ye
Yihwan Kim
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201303070A publication Critical patent/TW201303070A/zh
Application granted granted Critical
Publication of TWI509101B publication Critical patent/TWI509101B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid
    • Y10T137/0329Mixing of plural fluids of diverse characteristics or conditions
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid
    • Y10T137/0329Mixing of plural fluids of diverse characteristics or conditions
    • Y10T137/0335Controlled by consistency of mixture
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/877With flow control means for branched passages
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T436/00Chemistry: analytical and immunological testing
    • Y10T436/12Condition responsive control

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)
  • Filling Or Discharging Of Gas Storage Vessels (AREA)

Description

用於氣體輸送的方法及設備
本發明之實施例大體係關於用於氣體輸送的方法及設備,且更特定言之,本發明之實施例係關於具有低蒸氣壓之氣體的輸送。
將固態或液態的低蒸氣壓前驅物遠程輸送至處理腔室需要加熱安瓿及長氣管,該安瓿容納低蒸氣壓前驅物,該長氣管將汽化的低蒸氣壓前驅物載運至處理腔室以(例如)使基板暴露於該前驅物。然而,長氣管的加熱/隔離易失敗且經常難以維持。此外,發明人注意到前驅物的遠程輸送亦可能具有慢反應速率,據信該慢反應速率歸因於氣管體積及自安瓿的前驅物的有限流動速率。發明人已進一步注意到此種加熱輸送系統亦需要上游質量流量控制器(MFC)以控制氣體流動速率,以避免該MFC內部的任何凝結問題。然而,將MFC定位於安瓿之上游使得該安瓿對下游氣壓波動很敏感,此影響前驅物的輸送準確度。
因此,發明人在此提供用於低蒸氣壓前驅物的氣體輸送的改良方法及設備。
本文揭示用於氣體輸送的方法及設備。在一些實施例 中,氣體輸送系統包括安瓿,該安瓿用於儲存固態或液態前驅物;第一導管,該第一導管耦接至安瓿,且該第一導管具有第一末端,該第一末端耦接至第一氣源以將前驅物的蒸氣從安瓿中抽取到第一導管中;第二導管,該第二導管在位於安瓿下游的第一接合處耦接至第一導管,且該第二導管具有第一末端及第二末端,該第一末端耦接至第二氣源且該第二末端耦接至處理腔室;及熱源,該熱源經配置以加熱安瓿以及從該安瓿至第二導管的第一導管的至少第一部分,並且僅加熱第二導管的第二部分,其中第二導管的第二部分包括第一接合處。
在一些實施例中,將前驅物輸送至處理腔室的方法包括以下步驟:當使第一氣體流動時,汽化前驅物以在第一加熱容積中形成濃縮前驅物氣體混合物;在第二加熱容積中將濃縮前驅物氣體混合物與第二氣體混合以形成稀釋前驅物氣體混合物,其中在大約攝氏25度的溫度下,稀釋前驅物氣體混合物中的前驅物的分壓小於該前驅物之蒸氣壓;及使稀釋前驅物氣體混合物經由非加熱第三容積流動至處理腔室。
本發明之其他及進一步實施例描述如下。
本文揭示用於氣體輸送的方法及設備。本發明之方法及設備有利地提供了在高效率和輸送精確性下對固態或液態的低蒸氣壓前驅物的汽化,同時減少了能量輸入成 本並提高了輸送速率。例如,本發明之實施例中的氣體輸送系統可能需要僅加熱載運汽化前驅物的導管的一部分。此外,本發明之一些實施例中的氣體輸送系統有利地考慮到流量控制裝置,諸如質量流量控制器或類似裝置,流量控制裝置設置在汽化前驅物的下游,此是由於在輸送期間前驅物發生凝結的有限可能性。以下論述本發明的方法及設備之其他及進一步實施例以及優勢。
第1A圖至第1B圖描繪根據本發明之至少一些實施例的氣體輸送系統100。氣體輸送系統100可包括用於儲存固態或液態前驅物的安瓿102。例如,前驅物可以是用於諸如沈積製程或類似製程中的任何適合的低蒸氣壓前驅物。示範性前驅物可包括二氯矽烷(DCS)、三氯矽烷(TCS)、四氯化碳(CCl4)或類似物。第一導管104可耦接至安瓿102。第一導管104可包括耦接至第一氣源108的第一末端106。如第1A圖中所示,第一氣源108設置在安瓿102的上游。第一導管104可用來將前驅物的蒸氣自安瓿抽取至第一導管104中。
舉例而言,如第2A圖至第2B圖中所示,第一導管104之各種實施例是可能的。例如,當使用液態前驅物時,第一導管104可耦接至安瓿102以便第一導管進入安瓿102的容積中且第一導管104具有設置在該液態前驅物表面下方之末端202,以便第一氣體可以作氣泡狀穿過前驅物以在氣流內載運前驅物的蒸氣及/或小滴。如第2A圖中所示,第二末端204可設置在液態前驅物上 方以接收該第一氣體及前驅物(末端204)之濃縮前驅物氣體混合物。或者,第一末端202可設置在液體前驅物表面的上方。
或者,如第2B圖中所示,在一些實施例中,第一導管104可耦接至安瓿102以便來自固態前驅物的昇華的前驅物可以經抽取穿過安瓿102中的開口進入第一導管104。昇華的前驅物可以與流經第一導管104的第一氣體混合,以從第一氣體及昇華的前驅物形成濃縮前驅物氣體混合物。
返回至第1A圖,第一氣體的流量可由第一流量控制器110控制。第一流量控制器可以在第一導管104的第一末端106與安瓿102之間耦接至第一導管104。第一流量控制器110可為質量流量控制器或類似裝置。
第二導管112可在位於安瓿102下游的第一接合處114耦接至第一導管104。如本文所使用,術語「接合處」可包括導管之多個流動路徑或區段的交集,諸如藉由T形接頭或導管的區段、諸如允許選擇第一或者第二路徑的閥的選擇性閥,或類似物。第二導管112可具有耦接至第二氣源118的第一末端116。第二導管112可具有耦接至處理腔室122的第二末端120。第二氣源118可提供第二氣體以在第一接合處114稀釋進入第二導管112的濃縮前驅物氣體混合物。
在一些實施例中,氣體輸送系統100的各部分可能需要加熱,以汽化前驅物及/或將前驅物維持在汽化狀態。 例如,熱源124可經配置以加熱安瓿102以及從安瓿102到第二導管112的第一接合處114的第一導管104的至少第一部分126。熱源124可是任何適合熱源,諸如加熱帶、強制氣流加熱櫃、熱交換器或類似裝置。此外且選擇性地,如第1A圖中所示,熱源124可加熱第一導管104的整體直到第一流量控制器110,或加熱該第一導管的整體直到第一氣源108(未圖示)。在一些實施例中,第一導管104可加熱直到第一氣源108。在該等實施例中,流量控制器應經配置以用於在加熱環境中操作。在一些實施例中,可提供封閉的加熱環境160以促進系統的有效率加熱。例如,在一些實施例中,封閉的加熱環境可包括包殼以包含或圍繞該等加熱部件及該導管的各部分。此等實施例可促進更均勻的加熱以及更高的效率。然而,使用包殼可造成系統耗費更久時間才達到穩定。在一些實施例中,封閉的加熱環境160可包括熱交換器類型的熱浴,使得系統的部分設置在該熱浴中加熱。由該熱浴提供的高熱質量及熱穩定可幫助減少嚴重過熱的可能性,該嚴重過熱可導致前驅物的分解。
熱源124可經配置以僅加熱第二導管112的第二部分128,其中第二部分128包括第一接合處114。如第1A圖中所示,第二部分128可在第一接合處114之兩側上延伸,或可僅在第一接合處114的下游延伸(未圖示)。第二導管112的第二部分128可包括某部分,在該部分中接收自第一導管104的濃縮前驅物氣體混合物與第二 氣體混合以形成稀釋前驅物氣體混合物。如以上所論述,需要加熱濃縮前驅物氣體混合物以防止前驅物從該濃縮前驅物氣體混合物中凝結出來。然而,一旦在例如大約攝氏25度的溫度的室溫下前驅物的分壓低於該前驅物的蒸氣壓,則該前驅物凝結的可能性可能受限。例如,藉由將第二氣體與該濃縮前驅物氣體混合物混合,對於該前驅物的分壓的如此條件可在第二導管112的第二部分128中新形成的稀釋前驅物氣體混合物中實現。因此,在室溫下,在稀釋前驅物氣體混合物中的前驅物的分壓可小於該前驅物的蒸氣壓。因此,第二導管112的剩餘部分(亦即第二導管112的第二部分128下游的部分)可能需要更少加熱或可能不須加熱,因為自稀釋前驅物氣體混合物的前驅物的凝結或許可以更少。
第二導管112可包括耦接至該第二導管112的第二流量控制器130。在一些實施例中,例如如第1A圖中所示,第二流量控制器130設置在第二導管112的第一末端116與第一接合處114之間,或設置在該第一接合處114的上游。例如,在圖示於第1A圖中之實施例中,第二流量控制器130以期望流動速率提供該第二氣體,以在第二導管112的第二部分128中與濃縮前驅物氣體混合物混合。
此外,在一些實施例中,諸如第1A圖所示,第二導管112可包括調壓器132,該調壓器132在第一接合處114與第二導管112的第二末端120之間設置於該第二 導管112中,以調節調壓器132與第二流量控制器130之間的(例如在調壓器132上游的)第二導管112中的氣壓。在一些實施例中,在使用如第1A圖所示之實施例的第二導管112中的氣壓可為約200托。例如,調壓器132對於防止第二導管112中的氣壓波動是必需的,因為若該第二導管112直接暴露於處理腔室122的氣壓下,則該第二導管112中會發生氣壓波動。例如,處理腔室122中的氣壓由於正執行的各種製程可能經常發生變化,該等正執行製程可在處理腔室122中引入製程氣體或該等製程需要處理腔室122中的氣壓發生變化。調壓器132的存在可穩定第二導管112中的氣壓,例如,該氣壓可導致一致的及可再生的前驅物加載在可流向處理腔室122的稀釋前驅物氣體混合物中。
或者,第二流量控制器130及調壓器132可如第1B圖中所示般進行配置。例如,如第1B圖中所示,第二流量控制器130可設置在該第一接合處114與該第二導管的第二末端120之間,或設置在該第一接合處114的下游。例如,在如第1B圖中所示之實施例中,第二流量控制器130可向處理腔室122提供該稀釋前驅物氣體混合物的期望流動速率。如第1B圖中所示之第二流量控制器130的下游定位可藉由本發明之方法及設備實現。例如,流量控制器(諸如質量流量控制器)通常不用於前驅物氣體混合物的下游,此是因為前驅物氣體混合物可發生凝結導致氣體混合物至處理腔室之輸送的不 準確性或對流量控制器造成破壞。然而,如本文中所論述,本發明之方法及設備減少或消除該稀釋前驅物氣體混合物中的前驅物凝結的可能性,從而在沒有在流量控制器中形成凝結伴隨的風險的情況下實現流量控制器的下游定位。
如第1B圖中所示,且亦為對第1A圖之替代,調壓器可設置在第二導管112的第一末端116與第一接合處114之間以調節在調節器132與第二流量控制器130之間的第二導管112中的氣壓。在一些實施例中,第二導管112中的氣壓可高於第1A圖之實施例中的氣壓,例如,至少大約500托。第二導管112中的氣壓可高於第1B圖之實施例中的氣壓以提供充分的上游氣壓至第二流量控制器130以用於精確操作。在一些實施例中,第二導管112中足以操作第二流量控制器的上游氣壓可為至少約500托。
在一些實施例中,氣體輸送系統100可包括在第二導管112的第二部分128下游的即時監控裝置。該即時監控裝置可串聯設置或沿取樣管道(例如,如以下論述之第三導管134)設置。該即時監控裝置可藉由本發明之方法及設備賦能。例如,稀釋前驅物氣體混合物中的前驅物的低濃度及在第二部分128外的第二導管112中加熱的缺失可賦能氣體輸送系統100中的即時監控裝置。
第三導管134可在第一接合處114與調壓器132中的氣之間耦接至第二導管(如第1A圖中所示),或該第三 導管134可在第一接合處114與在第二流量控制器130之間的第二接合處136耦接至第二導管(如第1B圖中所示)。第三導管134可具有第一末端138及第二末端140,該第一末端138耦接至第二接合處136且該第二末端140耦接至氣孔142。氣孔142可為(例如)耦接至減弱系統或類似系統的排氣管道或類似物。
即時監控裝置可為耦接至第三導管134的濃度感測器144。該濃度感測器可為用於決定諸如Piezocon®管道中之一管道內的濃度的任何適合感測器,該等Piezocon®管道可從紐約的Poughkeepsie的Lorex Industries,Inc購得。該濃度感測器144可決定經由第二導管112流向處理腔室122的稀釋前驅物氣體混合物中的前驅物的濃度。例如,流量限制器146可在濃度感測器144與氣孔142之間設置於第三導管134中,以限制稀釋前驅物氣體混合物在第二接合處136流向第三導管134,使得稀釋前驅物氣體混合物的實質部分向處理腔室122流動。因為混合後的濃度非常低且該取樣管道流動受限,所以限制了由取樣造成的蒸氣浪費。又,因為濃度感測器144是離線的,發生的任何凝結問題將極少造成問題或不造成問題。又,在濃度感測器144上執行的任何維持服務將對氣體輸送系統100的主要操作造成最小的影響。
氣體輸送系統100可包括接近第二導管112的第二末端120的第三接合處148。第四導管149具有第一末端及第二末端,該第一末端耦接至第三接合處148且該第 二末端耦接至氣孔150。在一些實施例中,氣孔142及氣孔150可為相同的排氣管,或氣孔142及氣孔150可耦接至同一排氣管。類似地,氣孔150可耦接至減弱系統或類似系統。第三接合處148可包括用於在至處理腔室122流動與至第四導管149(及氣孔150)流動之間做選擇的閥(未圖示)。例如,此類型的選擇性流動可在處理腔室122的處理期間使用,以使得前驅物在處理腔室122的處理時段內連續汽化,以限制諸如在稀釋前驅物氣體混合物或類似物的濃度的變化,否則該等變化會由啟動及停止第一氣體或類似物的流動引起。
控制器152可以直接(如第1A圖中所示)耦接至處理腔室122及/或諸如氣體輸送系統100之支撐系統,或者替代性地,控制器152可以經由與處理腔室及/或支撐系統相連的電腦(或控制器)耦接至處理腔室122及/或諸如氣體分配系統100之支撐系統。控制器152可以是任何形式的通用電腦處理器中之一個處理器,該處理器可用於用來控制各種腔室及子處理器的工業設定中。記憶體或中央處理器(CPU)156的電腦可讀取媒體154可以是一或更多種立即可用記憶體,諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟或任何其他形式的本端或遠端數位儲存器。記憶體154可儲存將由處理腔室122及/或諸如該氣體輸送系統100之各種支撐系統執行的常式。示範性常式可包括如下所述的用於輸送前驅物至處理腔室122的方法300。支撐電路158耦 接至CPU 156,用於以傳統方式支持處理器。該等電路包括快取記憶體、電源、時脈電路、輸入/輸出電路及子系統或類似物。
第3圖描繪輸送前驅物至諸如該處理腔室122之處理腔室的方法300的流程圖。下文參照第1A圖至第1B圖及第2A圖至第2B圖描述該方法300。當使第一氣體流動以在第一加熱容積中形成濃縮前驅物氣體混合物時,該方法300藉由汽化該前驅物從302處開始。第一加熱容積可包括第一導管104及安瓿102。第一氣體,如以上所論述,可由第一氣源108提供。第一氣體可包括載氣,諸如惰性氣體。在一些實施例中,第一氣體可為氮氣(N2)、氫氣(H2)、氬氣(Ar)、氦氣(He)或類似氣體中之一或更多種氣體。第一氣體的流量可以由第一流量控制器110控制。如以下所論述,第一氣體的流量可以反應於在稀釋前驅物氣體混合物中取樣的前驅物的濃度進行調整,該稀釋前驅物氣體混合物在步驟302處的第一加熱容積中形成的濃縮前驅物氣體混合物的下游形成。
前驅物可以藉由交替法汽化。例如,如以上所論述,前驅物可以是液態的。因此,在一些實施例中,如第2A圖中所圖示,第一氣體可以流入容納前驅物的第一加熱容積的部分(例如,安瓿102)。第一氣體可以泡狀地進入液體前驅物以形成濃縮前驅物氣體混合物。或者,如以上所論述,前驅物可以是固態的。因此,在一些實施例中,如第2B圖中所圖示,該固態前驅物可昇華及進 入第一導管104,在該第一導管104中,昇華的前驅物與流動的第一氣體混合以形成濃縮前驅物氣體混合物。
在步驟304處,在第二加熱容積(例如,第二部分128)中,濃縮前驅物氣體混合物可與第二氣體混合以形成稀釋前驅物氣體混合物。如以上所論述,第二氣體可以由第二氣源118提供。第二氣體可與第一氣體相同。在一些實施例中,第二氣體可是氮氣(N2)、氫氣(H2)、氬氣(Ar)、氦氣(He)或類似氣體中之一或更多種氣體。第二氣體可不同於第一氣體。然而,提供不同的第二氣體引入更多複雜性,使得下游的濃度監控更困難,此是因為提供不同的第二氣體所得的氣體將是三種成分的混合物而不是兩種成分的混合物。
第二氣體可以高於第一氣體之流動速率的流動速率流動。例如,第二氣體的流動速率可以是第一氣體的流動速率的大約5倍或5倍以上。第二氣體的較高流動速率可由本發明實現。由於氣流中飛濺或夾帶粒子的風險,通常,向安瓿提供單根管道來輸送前驅物,從而限制載氣的最大流動速率。然而,相反地,本發明之氣體輸送系統100沿第二導管提供第二氣體,該第二氣體不流經安瓿102因此,可迫使減少流動速率的情況,諸如安瓿102中前驅物的飛濺或類似情況,可以在氣體輸送系統100中避免。因此,第二導管112中第二氣體的流動速率(及因此氣體輸送系統的總流動速率)可高於一般氣體輸送系統中的流動速率。第二氣體的較高流動速率可 有利地改良氣體輸送系統中的反應時間,使該反應時間高達一般氣體輸送系統中的反應時間的大約100倍。
在步驟306處,稀釋前驅物氣體混合物可經由非加熱第三容積(例如,第二導管112的剩餘部分、第二部分128的下游)流動至處理腔室122。如以上所論述,在第二加熱容積中形成的稀釋前驅物氣體混合物可具有前驅物的分壓,在室溫下,例如大約攝氏25度的溫度下,該分壓小於該前驅物的蒸氣壓。因此,稀釋前驅物氣體混合物在非加熱第三容積中可能不需要額外加熱,因為前驅物的凝結或許更少。
稀釋前驅物氣體混合物的氣壓可以在第二加熱容積及非加熱第三容積中調節。例如,如在第1A圖中所示,稀釋前驅物氣體混合物的氣壓可在第二流量控制器130的下游調節,該第二流量控制器130用來控制來自第二氣源118的第二氣體的流量。或者,如第1B圖中所示,稀釋前驅物氣體混合物的氣壓可在第二流量控制器130的上游調節,在該第二流量控制器130的上游處,第二流量控制器可用來控制流向處理腔室122及第二氣源118的下游的稀釋前驅物氣體混合物的流量,該第二氣源118用於將第二氣體提供至第二容積。
稀釋前驅物氣體混合物可選擇性地流向處理腔室122。例如,稀釋前驅物氣體混合物可選擇性地流向處理腔室122或氣孔150。例如,流向處理腔室122及氣孔150的流量可根據在處理腔室122中執行的製程(諸如 沈積製程、循環沈積製程或類似製程)進行交替。
在一些實施例中,該方法300可包括例如使用取樣管道(例如,第三導管134)自第三容積中取樣稀釋前驅物氣體混合物的部分。稀釋前驅物氣體混合物的部分的取樣可以第一流動速率發生,該第一流動速率比流向處理腔室122的稀釋前驅物氣體混合物的第二部分的第二流動速率慢。例如,流量限制器146可促進在第一及第二流動速率之間的不一致,以確保該稀釋前驅物氣體混合物的實質部分流向處理腔室122。在稀釋前驅物氣體混合物中的前驅物的濃度可由例如使用如以上所論述之濃度感測器144決定。
若該稀釋前驅物氣體混合物中的該前驅物的經決定濃度不在期望容許位準內,控制前驅物濃度的氣體輸送系統的參數可做調整。例如,第一或第二加熱容積的加熱溫度、第一氣體的流動速率、第二氣體的流動速率或第二加熱容積及第三非加熱容積中的氣壓中之至少一者可進行調整直到達到期望容許位準。在一些實施例中,可增加第一氣流,以使得最終混合物中的前驅物的數量增加。控制第一氣體或第二氣體的流動速率可提供比控制加熱溫度更快的反應時間。例如,可能的最大流量將受前驅物的類型及溫度限制。除了提供足夠稀釋外,對第二氣體的流動速率沒有特殊要求。對於長管道中的氣體輸送,高達5slm的總流動速率可合乎需要。然而,特定溫度及流動速率將取決於在使用中之系統及前驅物的 特定配置。
因此,本文揭示用於氣體輸送之方法及設備。本發明之方法及設備有利地提供了在高效率和輸送精確性下對固態或液態低蒸氣壓前驅物的汽化,同時減少了能量輸入成本並改良了輸送速率。
雖然上述係關於本發明之實施例,但是在不脫離本發明基本範疇的情況下亦可設計本發明之其他及進一步實施例。
100‧‧‧氣體輸送系統
102‧‧‧安瓿
104‧‧‧第一導管
106‧‧‧第一末端
108‧‧‧第一氣源
110‧‧‧第一流量控制器
112‧‧‧第二導管
114‧‧‧第一接合處
116‧‧‧第一末端
118‧‧‧第二氣源
120‧‧‧第二末端
122‧‧‧處理腔室
124‧‧‧熱源
126‧‧‧第一部分
128‧‧‧第二部分
130‧‧‧第二流量控制器
132‧‧‧調壓器
134‧‧‧第三導管
136‧‧‧第二接合處
138‧‧‧第一末端
140‧‧‧第二末端
142‧‧‧氣孔
144‧‧‧濃度感測器
146‧‧‧流量限制器
148‧‧‧第三接合處
149‧‧‧第四導管
150‧‧‧氣孔
152‧‧‧控制器
154‧‧‧電腦可讀取媒體
156‧‧‧中央處理器
158‧‧‧支撐電路
160‧‧‧加熱環境
202‧‧‧第一末端
204‧‧‧第二末端
300‧‧‧方法
302‧‧‧步驟
304‧‧‧步驟
306‧‧‧步驟
以上簡略概述及以下更詳細論述之本發明之實施例可藉由參閱附圖描繪的本發明之說明性實施例來理解。然而應注意,該等附圖僅圖示本發明之典型實施例,並且因此不欲視為限制本發明的範疇,因為本發明可承認其他等效的實施例。
第1A圖至第1B圖描繪根據本發明之一些實施例的氣體輸送系統。
第2A圖至第2B圖描繪根據本發明之一些實施例的用於容納及汽化前驅物的替代設備。
第3圖描繪根據本發明之一些實施例之輸送前驅物的方法的流程圖。
為了促進理解,已經儘可能使用相同元件符號表示各附圖中共有的相同元件。該等附圖並非按比例繪製且可為了清晰而簡化。預期一個實施例之元件及特徵結構在 無進一步敍述的情況下可以有利地併入其他實施例中。
100‧‧‧氣體輸送系統
102‧‧‧安瓿
104‧‧‧第一導管
106‧‧‧第一末端
108‧‧‧第一氣源
110‧‧‧第一流量控制器
112‧‧‧第二導管
114‧‧‧第一接合處
116‧‧‧第一末端
118‧‧‧第二氣源
120‧‧‧第二末端
122‧‧‧處理腔室
124‧‧‧熱源
126‧‧‧第一部分
128‧‧‧第二部分
130‧‧‧第二流量控制器
132‧‧‧調壓器
134‧‧‧第三導管
136‧‧‧第二接合處
138‧‧‧第一末端
140‧‧‧第二末端
142‧‧‧氣孔
144‧‧‧濃度感測器
146‧‧‧流量限制器
148‧‧‧第三接合處
149‧‧‧第四導管
150‧‧‧氣孔
152‧‧‧控制器
154‧‧‧電腦可讀取媒體
156‧‧‧中央處理器
158‧‧‧支撐電路
160‧‧‧加熱環境

Claims (16)

  1. 一種將一前驅物輸送至一處理腔室之方法,該方法包含以下步驟:當使一第一氣體流動時,汽化一前驅物以在一第一加熱容積中形成一濃縮前驅物氣體混合物;在一第二加熱容積中將該濃縮前驅物氣體混合物與一第二氣體混合,以形成一稀釋前驅物氣體混合物,其中在大約攝氏25度的溫度下,該稀釋前驅物氣體混合物中之該前驅物的分壓小於該前驅物的蒸氣壓;及使該稀釋前驅物氣體混合物經由一非加熱第三容積流動至一處理腔室。
  2. 如請求項1所述之方法,該方法進一步包含以下步驟:調節該第二加熱容積及一第二流量控制器的下游的該第三容積中的該稀釋前驅物氣體混合物之氣壓,該第二流量控制器用於控制來自一第二氣源的該第二氣體的流量。
  3. 如請求項1所述之方法,該方法進一步包含以下步驟:調節該第二加熱容積及一第二流量控制器的上游的該第三容積中的該稀釋前驅物氣體混合物之該氣壓,該第二流量控制器用於控制流向該處理腔室及一第二氣源的下游的該稀釋前驅物氣體混合物的流量,該第二氣源用於將 該第二氣體提供至該第二容積。
  4. 如請求項1所述之方法,該方法進一步包含以下步驟:使用設置在一第一氣源與該第一加熱容積之間的一第一流量控制器來控制該第一加熱容積的上游的該第一氣體的流量,其中該第一氣源提供該第一氣體。
  5. 如請求項4所述之方法,其中汽化該前驅物之步驟進一步包含以下步驟:使該第一氣體流進容納該前驅物的該第一加熱容積的一部分中;及使該第一氣體以氣泡狀進入該前驅物之液體狀態中,以形成該濃縮前驅物氣體混合物。
  6. 如請求項4所述之方法,其中汽化該前驅物之步驟進一步包含以下步驟:使該前驅物昇華;及將該昇華的前驅物與該流動的第一氣體混合以形成該濃縮前驅物氣體混合物。
  7. 如請求項1所述之方法,其中使該稀釋前驅物氣體混合物流動至該處理腔室的步驟進一步包含以下步驟:在一腔室製程期間選擇性地使該稀釋前驅物氣體混合物流動至該處理腔室或一氣孔(vent)。
  8. 如請求項7所述之方法,其中在該腔室製程期間選擇性地使該稀釋前驅物氣體混合物流動至該處理腔室或該氣孔的步驟進一步包含以下步驟:維持該稀釋前驅物氣體混合物之連續流動,同時在該處理腔室與該氣孔之間交替該稀釋前驅物氣體混合物之流動。
  9. 如請求項1所述之方法,該方法進一步包含以下步驟:自該第三容積取樣該稀釋前驅物氣體混合物的一部分;及決定該稀釋前驅物氣體混合物中的該前驅物的一濃度。
  10. 如請求項9所述之方法,其中取樣該稀釋前驅物氣體混合物之該部分的步驟進一步包含以下步驟:以一第一流動速率取樣該稀釋前驅物氣體混合物的該部分,該第一流動速率比流向該處理腔室的該稀釋前驅物氣體混合物的一第二部分的一第二流動速率慢。
  11. 如請求項9所述之方法,該方法進一步包含以下步驟:若該稀釋前驅物氣體混合物中之該前驅物的該經決定之濃度不在一期望容許度位準內,則調整該第一加熱容積或該第二加熱容積的加熱溫度、該第一氣體的流動速率或該第二氣體的流動速率中之至少一者。
  12. 如請求項11所述之方法,該方法進一步包含以下步驟:若該稀釋前驅物氣體混合物中之該前驅物的該經決定之濃度不在一期望容許度位準內,則調整該第二加熱容積與該非加熱第三容積中的該氣壓。
  13. 如請求項1所述之方法,其中將該濃縮前驅物氣體混合物混合之步驟進一步包括以下步驟:以一流動速率流動該第二氣體,該流動速率是該第一氣體的流動速率的約5倍或更多倍。
  14. 一種將一前驅物輸送至一處理腔室之方法,該方法包含以下步驟:當使一第一氣體從一第一氣源通過一第一流量控制器流動時,汽化設置於一安瓿內的一前驅物或昇華設置於該安瓿內的一固體前驅物,以在該安瓿內的一第一加熱容積中形成一濃縮前驅物氣體混合物;將該濃縮前驅物氣體混合物與來自一第二氣源的一第二氣體混合,而在該安瓿外的一第二加熱容積中形成一稀釋前驅物氣體混合物,該第二氣源連接一第二流量控制器,其中在大約攝氏25度的溫度下,該稀釋前驅物氣體混合物中之該前驅物的分壓小於該前驅物的蒸氣壓;及使該稀釋前驅物氣體混合物從該第二加熱容積經由一非加熱第三容積流動至一處理腔室。
  15. 如請求項14所述之方法,進一步包含以下步驟:使用與該非加熱第三容積耦接的一濃度感測器決定該稀釋前驅物氣體混合物中之該前驅物的濃度。
  16. 如請求項15所述之方法,進一步包含以下步驟:利用一流量限制器限制該稀釋前驅物氣體混合物至該第三導管的流動,以選擇性引導該稀釋前驅物氣體混合物至一排氣系統,該流量限制器配置在該濃度感測器與一氣孔之間。
TW101113979A 2011-04-29 2012-04-19 用於氣體輸送的方法及設備 TWI509101B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/097,831 US8927066B2 (en) 2011-04-29 2011-04-29 Method and apparatus for gas delivery

Publications (2)

Publication Number Publication Date
TW201303070A TW201303070A (zh) 2013-01-16
TWI509101B true TWI509101B (zh) 2015-11-21

Family

ID=47066908

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101113979A TWI509101B (zh) 2011-04-29 2012-04-19 用於氣體輸送的方法及設備

Country Status (5)

Country Link
US (2) US8927066B2 (zh)
KR (1) KR101691374B1 (zh)
CN (1) CN103518005B (zh)
TW (1) TWI509101B (zh)
WO (1) WO2012149327A2 (zh)

Families Citing this family (268)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9243325B2 (en) 2012-07-18 2016-01-26 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN204857653U (zh) * 2014-06-20 2015-12-09 应用材料公司 具有分隔的供气管线的等离子体处理腔室及等离子体处理***
US9617637B2 (en) * 2014-07-15 2017-04-11 Lam Research Corporation Systems and methods for improving deposition rate uniformity and reducing defects in substrate processing systems
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9951423B2 (en) 2014-10-07 2018-04-24 Lam Research Corporation Systems and methods for measuring entrained vapor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016182648A1 (en) * 2015-05-08 2016-11-17 Applied Materials, Inc. Method for controlling a processing system
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR102104728B1 (ko) * 2015-09-30 2020-04-24 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
KR20180128515A (ko) * 2016-04-25 2018-12-03 어플라이드 머티어리얼스, 인코포레이티드 자기-조립 단분자층 프로세스들을 위한 화학물질 전달 챔버
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
JP6409021B2 (ja) * 2016-05-20 2018-10-17 日本エア・リキード株式会社 昇華ガス供給システムおよび昇華ガス供給方法
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
JP7284265B2 (ja) * 2018-12-11 2023-05-30 アプライド マテリアルズ インコーポレイテッド アンプルの飛沫軽減
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
CN111826637B (zh) * 2019-04-18 2022-11-25 北京北方华创微电子装备有限公司 气体输送***、半导体设备和气体输送方法
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11753715B2 (en) 2020-06-05 2023-09-12 Applied Materials, Inc. Apparatus and methods for controlling concentration of precursors to processing chamber
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024118124A1 (en) * 2022-11-30 2024-06-06 Lam Research Corporation Vapor supply for substrate processing systems

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6432205B1 (en) * 1998-09-14 2002-08-13 Genitech Co., Ltd. Gas feeding system for chemical vapor deposition reactor and method of controlling the same
US6868869B2 (en) * 2003-02-19 2005-03-22 Advanced Technology Materials, Inc. Sub-atmospheric pressure delivery of liquids, solids and low vapor pressure gases

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3701682A (en) * 1970-07-02 1972-10-31 Texas Instruments Inc Thin film deposition system
US3829382A (en) * 1970-09-02 1974-08-13 Monsanto Co Doping control for semiconductor materials
US5186120A (en) * 1989-03-22 1993-02-16 Mitsubishi Denki Kabushiki Kaisha Mixture thin film forming apparatus
US5098741A (en) * 1990-06-08 1992-03-24 Lam Research Corporation Method and system for delivering liquid reagents to processing vessels
JPH05234917A (ja) * 1992-02-21 1993-09-10 Sumitomo Metal Ind Ltd 半導体薄膜の形成方法及びその装置
US5630878A (en) * 1994-02-20 1997-05-20 Stec Inc. Liquid material-vaporizing and supplying apparatus
US5531183A (en) * 1994-07-13 1996-07-02 Applied Materials, Inc. Vaporization sequence for multiple liquid precursors used in semiconductor thin film applications
US6244575B1 (en) * 1996-10-02 2001-06-12 Micron Technology, Inc. Method and apparatus for vaporizing liquid precursors and system for using same
US5968588A (en) * 1997-03-17 1999-10-19 Applied Materials, Inc. In-situ liquid flow rate estimation and verification by sonic flow method
US6174371B1 (en) * 1997-10-06 2001-01-16 Dainippon Screen Mfg. Co., Ltd. Substrate treating method and apparatus
US5980608A (en) 1998-01-07 1999-11-09 Advanced Technology Materials, Inc. Throughflow gas storage and dispensing system
US6136725A (en) * 1998-04-14 2000-10-24 Cvd Systems, Inc. Method for chemical vapor deposition of a material on a substrate
US6033479A (en) 1998-04-22 2000-03-07 Applied Materials, Inc. Process gas delivery system for CVD having a cleaning subsystem
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6548112B1 (en) * 1999-11-18 2003-04-15 Tokyo Electron Limited Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber
DE10005820C1 (de) * 2000-02-10 2001-08-02 Schott Glas Gasversorungsvorrichtung für Precursoren geringen Dampfdrucks
US6887337B2 (en) * 2000-09-19 2005-05-03 Xactix, Inc. Apparatus for etching semiconductor samples and a source for providing a gas by sublimation thereto
US6926774B2 (en) * 2001-11-21 2005-08-09 Applied Materials, Inc. Piezoelectric vaporizer
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6756325B2 (en) * 2002-05-07 2004-06-29 Agilent Technologies, Inc. Method for producing a long wavelength indium gallium arsenide nitride(InGaAsN) active region
JP3973605B2 (ja) * 2002-07-10 2007-09-12 東京エレクトロン株式会社 成膜装置及びこれに使用する原料供給装置、成膜方法
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US20050056216A1 (en) * 2003-09-15 2005-03-17 Intel Corporation Precursor delivery system
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7628861B2 (en) * 2004-12-17 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US20060068098A1 (en) * 2004-09-27 2006-03-30 Tokyo Electron Limited Deposition of ruthenium metal layers in a thermal chemical vapor deposition process
US7485338B2 (en) * 2005-03-31 2009-02-03 Tokyo Electron Limited Method for precursor delivery
US7345184B2 (en) * 2005-03-31 2008-03-18 Tokyo Electron Limited Method and system for refurbishing a metal carbonyl precursor
US7562672B2 (en) * 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7846256B2 (en) * 2007-02-23 2010-12-07 Tokyo Electron Limited Ampule tray for and method of precursor surface area
JP5074073B2 (ja) * 2007-03-30 2012-11-14 東京エレクトロン株式会社 粉体状ソース供給系の洗浄方法、記憶媒体、基板処理システム及び基板処理方法
US20090205538A1 (en) * 2008-01-24 2009-08-20 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
US20090214777A1 (en) * 2008-02-22 2009-08-27 Demetrius Sarigiannis Multiple ampoule delivery systems
JP5179339B2 (ja) * 2008-12-22 2013-04-10 東京エレクトロン株式会社 混合ガスの供給方法及び混合ガスの供給装置
US20100305884A1 (en) * 2009-05-22 2010-12-02 Applied Materials, Inc. Methods for determining the quantity of precursor in an ampoule
CN102021531B (zh) * 2009-09-09 2012-12-05 北大方正集团有限公司 一种氮化硅薄膜的生成装置及方法
JP5554142B2 (ja) * 2010-05-14 2014-07-23 株式会社豊田中央研究所 半導体膜の気相成長方法
US8734903B2 (en) * 2011-09-19 2014-05-27 Pilkington Group Limited Process for forming a silica coating on a glass substrate

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6432205B1 (en) * 1998-09-14 2002-08-13 Genitech Co., Ltd. Gas feeding system for chemical vapor deposition reactor and method of controlling the same
US6868869B2 (en) * 2003-02-19 2005-03-22 Advanced Technology Materials, Inc. Sub-atmospheric pressure delivery of liquids, solids and low vapor pressure gases

Also Published As

Publication number Publication date
CN103518005B (zh) 2015-12-02
KR101691374B1 (ko) 2016-12-30
US8927066B2 (en) 2015-01-06
US9200367B2 (en) 2015-12-01
US20120273052A1 (en) 2012-11-01
KR20140030221A (ko) 2014-03-11
WO2012149327A2 (en) 2012-11-01
US20120272898A1 (en) 2012-11-01
TW201303070A (zh) 2013-01-16
WO2012149327A3 (en) 2013-03-21
CN103518005A (zh) 2014-01-15

Similar Documents

Publication Publication Date Title
TWI509101B (zh) 用於氣體輸送的方法及設備
JP6868951B2 (ja) 蒸気供給のためのシステムおよび方法
JP5949586B2 (ja) 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
TWI525734B (zh) And a raw material gas supply device for a semiconductor manufacturing apparatus
TW202113141A (zh) 成膜材料混合氣體形成裝置及成膜裝置
JP5837869B2 (ja) 原料気化供給装置
US6548112B1 (en) Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber
JP2016035103A5 (zh)
JP2014114463A5 (zh)
WO2013046517A1 (ja) 気化器
TWI572736B (zh) 前驅物輸送的方法與裝置
JP2012234860A5 (zh)
TWI682043B (zh) 輸送過氧化氫至半導體處理腔室的系統以及用於半導體處理的方法
JP2015501380A (ja) 一体型マルチヘッド霧化器、気化システムおよび気化方法
WO2023207877A1 (zh) 汽化***以及半导体工艺设备
JP2004263230A (ja) 液体材料の気化供給装置
US8276891B2 (en) Gas mixture supplying method and apparatus
TW202338141A (zh) 前驅物遞送系統
JP2010527794A (ja) プロセスガスの濃度制御方法
JPH04214870A (ja) 化学気相成長装置
JP4052506B2 (ja) 基板処理装置
JP5198426B2 (ja) 洗浄装置及びオゾン水生成装置
KR950007197B1 (ko) 수증기의 공급장치 및 그 제어방법
US20230029724A1 (en) System and method for monitoring precursor delivery to a process chamber
TW201022468A (en) Apparatus for supplying source gas