TWI508163B - 高壓斜角蝕刻製程 - Google Patents

高壓斜角蝕刻製程 Download PDF

Info

Publication number
TWI508163B
TWI508163B TW098143367A TW98143367A TWI508163B TW I508163 B TWI508163 B TW I508163B TW 098143367 A TW098143367 A TW 098143367A TW 98143367 A TW98143367 A TW 98143367A TW I508163 B TWI508163 B TW I508163B
Authority
TW
Taiwan
Prior art keywords
semiconductor substrate
bevel
edge etching
bevel edge
etcher
Prior art date
Application number
TW098143367A
Other languages
English (en)
Other versions
TW201034073A (en
Inventor
Tong Fang
Yunsang S Kim
Andreas Fischer
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW201034073A publication Critical patent/TW201034073A/zh
Application granted granted Critical
Publication of TWI508163B publication Critical patent/TWI508163B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02021Edge treatment, chamfering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

高壓斜角蝕刻製程 【交叉參考之相關申請案】
根據美國法典第35條第119款規定,本申請案主張美國臨時專利申請案第61/138,357號的優先權,其發明名稱為『高壓斜角蝕刻製程』,該優先權案申請於2008年12月17號,其揭露內容將藉由參考文獻之方式合併於此。
本發明係關於高壓斜角蝕刻,尤有關於在斜角蝕刻器中利用電漿對半導體基板進行斜角邊緣蝕刻之斜角邊緣蝕刻方法。
斜角清潔模組(斜角蝕刻器),例如,由Lam Research Corporation,Fremont,CA所製造的2300 CORONUSTM 產品,利用邊緣侷限電漿技術移除晶圓邊緣上的薄膜。對於65nm以下之技術,裝置良率限制之主要來源係來自從晶圓邊緣傳送之缺陷。在將裝置加以圖案化之期間,薄膜沉積、微影、蝕刻及化學機械研磨之複雜交互作用導致範圍廣泛之不穩定薄膜堆疊於晶圓邊緣上。在隨後的步驟中,這些薄膜層可產生傳送至晶圓之裝置區域的缺陷。在整合流程中,於選取點移除這些薄膜會減少缺陷並提升裝置良率。因此,在裝置製程期間,邊緣侷限電漿提供了於多重步驟中對晶圓邊緣積沉物之控制。
在此揭露一種在無發弧之條件下於斜角蝕刻器中斜角邊緣蝕刻半導體之方法,其中半導體基板被支撐在半導體基板支持部上。無發弧意指在斜角蝕刻製程後,10000片晶圓中不超過1片顯現發弧損害。該方法包含將斜角蝕刻器排空至壓力3到100Torr,且使晶圓上所察看之RF電壓維持在將發生發弧之臨界值以下;通入製程氣體至斜角蝕刻器;在半導體基板之周圍將製程氣體激發成電漿;及利用電漿對半導體基板進行斜角蝕刻。
斜角蝕刻晶圓可顯出敏感之後段製程(BEOL,Back-End-Of-Line)晶圓上的巨發弧(macro-arcing)、微發弧(micro-arcing)、表面充電及放電的問題。已發現晶圓之RF電壓與發弧之機率有關聯性。如在此揭露者,可藉由增加電漿室內的壓力,降低對於一已知電極功率設定而在晶圓上所見到之RF電壓(由VCI探針所量測)。因此,於較高的壓力狀態下,能加寬關於功率設定及氣體化學物之製程窗(process window),同時避免發弧之問題。RF電壓最好維持在取決於裝置結構或晶圓對發弧之敏感度的臨界值以下。
例如用以自半導體基板移除斜角邊緣積沉物之斜角蝕刻器200中的電漿處理可包含利用含氟電漿蝕刻斜角邊緣。半導體基板可包含,例如,以銅後段製程(BEOL)金屬鑲嵌製程所製成的晶圓。半導體基板之直徑約為300mm。半導體基板可包含斜角邊緣部(例如,高達約兩毫米寬),該斜角邊緣部圍繞具有從斜角邊緣朝內之暴露銅的多層積體電路(IC,integrated circuit)裝置結構。暴露銅表面可包含橫跨晶圓之含鉭晶種層上的銅表面。
現在參考圖1,其顯示依據一實施例之用以清潔基板218之斜角邊緣的基板蝕刻系統或斜角蝕刻器200之示意橫剖面圖,如在共同轉讓之美國專利申請案第2008/0182412號中所揭露者,其揭露內容將藉由參考文獻之方式合併於此。
斜角蝕刻器200一般為,但不限於,軸對稱形狀,且為了簡化說明,於圖1中僅顯示一半的側橫剖面圖。如圖所示,斜角蝕刻器200包含:室壁202,其具有一門或閘門242,基板218係透過該閘門242加以裝載/卸載;上電極組件204;支持部208,上電極組件204懸掛於支持部;及下電極組件206。精準驅動機構(未顯示於圖1)附接於支持部208以使上電極組件204上下移動(朝雙箭頭之方向),俾能精確控制上電極組件204與基板218之間的間隔。
利用金屬風箱205於室壁202及支持部208之間形成真空密 封,且容許支持部208相對於室壁202垂直移動。支持部208具有中心氣體饋入部(通道)212及邊緣氣體饋入部(通道)220。氣體饋入部212、220其中之一或二者可傳送待激發成為電漿以清潔斜角邊緣的製程氣體。在運作期間,電漿形成於基板218之斜角邊緣附近且電漿一般為環形。為避免電漿到達基板218之中央部分,上電極組件204上的上介電板216與基板218之間的空間很小,且在一實施例中,製程氣體係透過階狀孔214而由中心饋入部饋入。接著,氣體朝基板之徑向方向通過上電極組件204與基板218之間的間隔。利用各氣體饋入部提供相同的製程氣體或其他氣體,如淨化氣體。例如,淨化氣體可注入中心氣體饋入部212,且製程氣體可注入邊緣氣體饋入部220。電漿/製程氣體係透過複數之孔洞(出口)241而自腔室空間251抽出至底部空間240,例如,在清潔作業期間可使用真空泵243來排空底部空間240。在斜角清潔期間,腔室壓力維持在3到100Torr之壓力(例如,3到5Torr、5到10Torr、10到50Torr、或50到100Torr)。此壓力高於在共同轉讓之美國專利申請案第2008/0227301號、2008/0050923號、2008/0156772號及2008/0190448號中所揭露之例示性壓力,其揭露內容將藉由參考文獻之方式合併於此。
製程氣體可包含含氧氣體,如O2 ;N2 O、CO、COS及/或CO2 。亦可將含氟氣體(例如,SF6 、NF3 、Cx Fy (例如,CF4 、C2 F4 、C2 F6 )、Cx Fy H2 (例如,C3 HF、CHF3 、CH2 F2 、C2 H2 F4 ))加入製程氣體。製程氣體可包含H2 ,He,Ar,Xe,Kr,N2 或其混合物,製程氣體可不含氟及氧。製程氣體中之含氟氣體量可依被斜角(邊緣)蝕刻移除之特殊薄膜而定。例如,小量(如以容積計<10%)或大量(如以容積計>80%或>90%)之含氟氣體可存在於製程氣體中。在不同實施例中,製程氣體可包含,例如,約5%容積之NF3 /剩餘部份為CO2 或約10%容積之CF4 /剩餘部份為CO2
上電極組件204包含:上介電板216;及上金屬元件210,其係由合適的緊固機構固定至支持部208並經由支持部208接地。上金屬元件210係由金屬形成(如鋁),且可被電鍍。上金屬元件 210具有一者以上之邊緣氣體通道或貫通孔222a、222b,以及一邊緣氣體充氣部224a,其中邊緣氣體通道或貫通孔222a、222b連接至邊緣氣體饋入部220,以在運作期間進行流體交流。上介電板216附接至上金屬元件210且由介電材料形成(例如,陶瓷)。假如需要,上介電板216可具有Y2 O3 之塗層。由於其驅散晶圓上之電荷的能力,大塊Y2 O3 或塗佈Y2 O3 之零件及陶瓷如SiC、SiN、Si、AlN等等最好覆蓋在氧化鋁或電鍍鋁零件之上,從而降低發弧之機率,如以較低之RF電壓量測所表示者。一般而言,很難在某些陶瓷(如Al2 O3 )中鑽有深直孔,因此可利用階狀孔214代替深直孔。雖然上介電板216顯示為具有單一中心孔,然而上介電板216可具有任何合適數目之出口,例如,若有需要,可以噴淋頭孔圖樣設置出口。
下電極組件206包含:供電電極226,其具有上部226a及下部226b並可選擇性地用來作為真空夾頭,以在操作期間將基板218固定於適當處;升降銷230,用以上下移動基板218;銷運作單元232;底部介電環238,其具有上部238a及下部238b。在一實施例中,夾頭可為靜電夾頭。以下,術語『供電電極』表示上及下部226a、226b其中一或二者。同樣地,術語『底部介電環238』表示上及下部238a、238b其中一或二者。供電電極226連接至射頻(RF)電源270以在運作期間接收RF功率。
升降銷230於圓柱形孔或路徑231內垂直移動,且藉由位於供電電極226中的銷運作單元232而於上下位置之間移動。銷運作單元232包含圍繞各升降銷以於銷附近維持真空密封環境之外殼。銷運作單元232包含任何合適的升降銷機構,如機械手臂233(例如,一水平臂具有延長進入各外殼且附接至各銷之區段)及手臂啟動裝置(未顯示於圖1)。為簡潔說明,僅於圖1中顯示一段機械手臂之尖端部分。在斜角蝕刻器200中,雖然可使用三或四個升降銷以抬升晶圓,例如300mm之晶圓,然而可使用任何適當數目之升降銷230。此外,可使用任何適當的機構,如起重機風箱,作為銷運作單元232。
將基板218裝設於下電極上或可配置之下電漿禁區(PEZ,plasma-exclusion-zone)環260上,其中『PEZ』一詞代表從基板中心到用以清潔斜角邊緣之電漿被排除之區域之外緣的徑向距離。環260最好為介電材料。由於其驅散晶圓上之電荷的能力,大塊Y2 O3 或塗佈Y2 O3 之零件及陶瓷如SiC、SiN、Si、AlN等等最好覆蓋在氧化鋁或電鍍鋁零件之上,從而降低發弧之機率,如以較低之RF電壓量測所表示者。在一實施例中,供電電極226之頂面、基板218之底面、及可配置之下PEZ環260之內周可形成與真空源(如真空泵236)作流體交流之密閉真空區域凹部(真空區域)219。亦共用升降銷230之圓柱形孔或路徑作為氣體通道,於運作期間真空泵236透過此通道排空真空區域219。供電電極226a、226b包含充氣部234,以減少真空區域219中短暫的壓力波動,且在使用多重升降銷之情況下,對圓柱形孔提供均勻抽氣速率。
積體電路在基板218之頂面上,其可包含在含鉭晶種層上、由一連串製程形成之暴露銅表面。可利用傳送熱能至基板、產生熱應力於基板上從而導致晶圓彎曲的電漿來執行一個以上之製程。在斜角清潔處理期間,可利用基板218之頂面與底面之間的壓差減少基板彎曲。真空區域219中的壓力係藉由連接到充氣部234之真空泵236而在處理期間維持於真空下。藉由調整上介電板216與基板218之頂面之間的間隙,可變化間隙中的氣體壓力而不改變製程氣體之整體流速。因此,藉由控制間隙中的氣體壓力,可變化基板218之頂面與底面之間的壓差,從而可控制施加於基板218上的彎曲力。
底部介電環238a及238b係由介電材料形成(如陶瓷,包含Al2 O3 ,Y2 O3 等等),並電氣隔離供電電極226與室壁202。由於其驅散晶圓上之電荷的能力,大塊Y2 O3 或塗佈Y2 O3 之零件及陶瓷如SiC、SiN、Si、AlN等等最好覆蓋在氧化鋁或電鍍鋁零件之上,從而降低發弧之機率,如以較低之RF電壓量測所表示者。在一實施例中,底部介電環之下部238b具有一臺階252,其係形成於下部之上表面之內周上以與供電電極226之下緣上之凹部緊密結 合。在一實施例中,下部238b具有一臺階250,其係形成於下部之外周上以與底部介電環(稱為聚焦環)之上部238a上的階梯形表面緊密結合。臺階250、252使底部介電環238對準供電電極226。臺階250亦沿著其表面形成一迂迴間隙,以消除供電電極226與室壁202之間的直視線(direct line-of-sight),從而降低供電電極226與室壁202之間的輔助電漿點燃之可能性。
斜角邊緣清潔電漿處理可包含將氣體混合物(包含,例如,NF3 或CF4 )饋入至斜角邊緣蝕刻器及將氣體混合物激發至電漿狀態。尤其是,氣體混合物可包含NF3 及CO2 或CF4 及CO2 。例如,氣體混合物可包含約5%容積之NF3 /剩餘部份為CO2 或約10%容積之CF4 /剩餘部份為CO2 。斜角邊緣清潔電漿處理可包含饋入5-15sccm NF3 、100-300sccm CO2 、及300-700sccm N2 的混合物至斜角蝕刻器及將氣體混合物激發至電漿狀態;斜角邊緣清潔電漿處理可包含令含有4%氫氣之300-600sccm He-H2 氣體混合物及100-300sccm N2 流入斜角蝕刻器;斜角邊緣清潔電漿處理亦可包含令5-20sccm NF3 及100-300sccm之CO2 氣體在半導體基板之周圍流入斜角蝕刻器內。氣體混合物可在半導體基板之周圍及/或中心被饋入至斜角邊緣蝕刻器內。例如,當含氟氣體混合物在半導體基板之周圍及/或中心被饋入至斜角蝕刻器內時,N2 ,He,Ar,Xe,Kr或其混合物可在半導體基板之中心處被饋入至斜角邊緣蝕刻器內。
斜角蝕刻可導致晶圓之外緣上的發弧,使待製造之裝置良率降低。此一良率損失可能超過晶圓產量之3%。因此,為了改良處理晶圓上的裝置良率,期望能消除經斜角邊緣清潔之晶圓之外部區域中的發弧。
在一實施例中,利用電漿處理半導體基板之處理條件包含:曝光時間約大於5秒,例如,約30秒;及RF功率約大於50瓦,例如,50到200瓦。在一實施例中,2到60MHz之較高RF功率產生由以5到3000sccm流入腔室之製程氣體而來的電漿。
圖2為顯示由VCI探針加以量測之VCI電壓圖,該VCI探針 將RF饋入部連接至支撐測試晶圓之底部電極,該測試晶圓以10sccm NF3 、200sccm CO2 及500sccm N2 之製程氣體進行斜角蝕刻。如圖2所示,在≦2Torr之低壓中,發弧發生在500瓦及600瓦之功率水平。當腔室壓力增加至5Torr及9Torr時,對於相同功率設定而言,未發現發弧之問題。當使晶圓上所見到之RF電壓維持在足夠低之數值以避免發弧時,無發弧之製程窗係位於至少3Torr之腔室壓力內。臨界值將取決於裝置結構或晶圓對發弧之敏感度。在一較佳實施例中,由監測晶圓電壓之VCI探針所量測之RF電壓未大於約220瓦。不期望以升高之腔室壓力消除發弧,且期望實施高壓斜角邊緣清潔處理,以實質上增加在斜角清潔之晶圓上歷經進一步處理步驟之半導體裝置之良率。
雖然已說明各種實施例,應了解熟悉本技藝者可在隨附之申請專利範圍之範疇內進行變化及修改。此種變化及修改應被視為在隨附申請專利範圍之範圍內。
200‧‧‧斜角蝕刻器
202‧‧‧室壁
204‧‧‧上電極組件
206‧‧‧下電極組件
208‧‧‧支持部
210‧‧‧上金屬元件
212‧‧‧中心氣體饋入部
214‧‧‧階狀孔
216‧‧‧上介電板
218‧‧‧基板
219‧‧‧真空區域
220‧‧‧邊緣氣體饋入部
222a‧‧‧貫通孔
222b‧‧‧貫通孔
224a‧‧‧邊緣氣體充氣部
226‧‧‧供電電極
226a‧‧‧供電電極之上部
226b‧‧‧供電電極之下部
230‧‧‧升降銷
231‧‧‧圓柱形孔或路徑
232‧‧‧銷運作單元
233‧‧‧機械手臂
234‧‧‧充氣部
236‧‧‧真空泵
238‧‧‧底部介電環
238a‧‧‧底部介電環之上部
238b‧‧‧底部介電環之下部
240‧‧‧底部空間
241‧‧‧孔洞
242‧‧‧閘門
243‧‧‧真空泵
250‧‧‧臺階
251‧‧‧腔室空間
252‧‧‧臺階
260‧‧‧可配置之下電漿禁區環
270‧‧‧射頻電源
圖1為依據一實施例之斜角蝕刻器的示意橫剖面圖。
圖2為顯示基於VCI電壓量測之無發弧製程條件的圖式
200...斜角蝕刻器
202...室壁
204...上電極組件
206...下電極組件
208...支持部
210...上金屬元件
212...中心氣體饋入部
214...階狀孔
216...上介電板
218...基板
219...真空區域
220...邊緣氣體饋入部
222a...貫通孔
222b...貫通孔
224a...邊緣氣體充氣部
226...供電電極
226a...供電電極之上部
226b...供電電極之下部
230...升降銷
231...圓柱形孔或路徑
232...銷運作單元
233...機械手臂
234...充氣部
236...真空泵
238...底部介電環
238a...底部介電環之上部
238b...底部介電環之下部
240...底部空間
241...孔洞
242...閘門
243...真空泵
250...臺階
251...腔室空間
252...臺階
260...可配置之下電漿禁區環
270...射頻電源

Claims (14)

  1. 一種半導體基板之斜角邊緣蝕刻方法,該方法係利用斜角蝕刻器中之電漿,其中該半導體基板係受支撐於半導體基板支持部上,該方法包含:斜角邊緣蝕刻步驟,在該斜角蝕刻器中,利用該電漿對該半導體基板進行斜角邊緣蝕刻,同時將斜角蝕刻器排空至3到100Torr之壓力;及利用一VCI探針監視晶圓電壓,及將由該VCI探針所量測之RF電壓維持在約220伏之臨界值以下。
  2. 如申請專利範圍第1項之半導體基板之斜角邊緣蝕刻方法,其中製程氣體以5到3000sccm流入該斜角蝕刻器,該電漿係藉由供給50到2000瓦且頻率2到60MHz之RF功率的電極而產生,且該製程氣體包含CO2 、CO、O2 、N2 、H2 、He、Ar、Xe、Kr、NF3 、Cx Fy 、Cx Fy Hz 、SF6 、COS及N2 O至少其中一者。
  3. 如申請專利範圍第2項之半導體基板之斜角邊緣蝕刻方法,其中該製程氣體包含氮、氬、氦、氙、氪或其混合物。
  4. 如申請專利範圍第2項之半導體基板之斜角邊緣蝕刻方法,其中該製程氣體不含氟或氧。
  5. 如申請專利範圍第1項之半導體基板之斜角邊緣蝕刻方法,包含將約10-2000sccm之製程氣體通入該斜角蝕刻器且將該斜角蝕刻器之壓力維持在3到10Torr。
  6. 如申請專利範圍第1項之半導體基板之斜角邊緣蝕刻方法,包含利用該斜角蝕刻器中的Y2 O3 零件將該基板上的電荷驅散。
  7. 如申請專利範圍第1項之半導體基板之斜角邊緣蝕刻方法,其中 該基板為300mm BEOL之晶圓。
  8. 如申請專利範圍第1項之半導體基板之斜角邊緣蝕刻方法,其中該斜角邊緣蝕刻步驟包含激發包含5到15sccm NF3 、100到300sccm CO2 及300到700sccm N2 之氣體。
  9. 如申請專利範圍第1項之半導體基板之斜角邊緣蝕刻方法,其中該斜角邊緣蝕刻步驟包含將含有4%氫氣之300到600sccm He-H2 氣體混合物及100到300sccm N2 通入該斜角蝕刻器。
  10. 如申請專利範圍第1項之半導體基板之斜角邊緣蝕刻方法,包含使5到20sccm之NF3 及100到300sccm之CO2 氣體在該半導體基板之周圍流入該斜角蝕刻器內。
  11. 如申請專利範圍第1項之半導體基板之斜角邊緣蝕刻方法,包含對具有65nm以下之技術節點、在其外周圍具有2mm以下之邊緣排除量(edge exclusion)、及在該晶圓之上表面上具有外露金屬線之300mm晶圓進行斜角蝕刻。
  12. 如申請專利範圍第1項之半導體基板之斜角邊緣蝕刻方法,其中該斜角邊緣蝕刻步驟包含激發具有NF3 ,CO2 及非必須N2 之氣體。
  13. 如申請專利範圍第1項之半導體基板之斜角邊緣蝕刻方法,其中該斜角邊緣蝕刻步驟包含使N2 在該半導體基板之中心流入該斜角蝕刻器內。
  14. 如申請專利範圍第1項之半導體基板之斜角邊緣蝕刻方法,包含使CF4 及CO2 氣體在該半導體基板之周圍流入該斜角蝕刻器內。
TW098143367A 2008-12-17 2009-12-17 高壓斜角蝕刻製程 TWI508163B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13835708P 2008-12-17 2008-12-17

Publications (2)

Publication Number Publication Date
TW201034073A TW201034073A (en) 2010-09-16
TWI508163B true TWI508163B (zh) 2015-11-11

Family

ID=42241045

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098143367A TWI508163B (zh) 2008-12-17 2009-12-17 高壓斜角蝕刻製程

Country Status (6)

Country Link
US (1) US8262923B2 (zh)
JP (1) JP5567590B2 (zh)
KR (1) KR101743304B1 (zh)
CN (1) CN102246282B (zh)
TW (1) TWI508163B (zh)
WO (1) WO2010077299A2 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8323523B2 (en) * 2008-12-17 2012-12-04 Lam Research Corporation High pressure bevel etch process
US20120318455A1 (en) * 2011-06-14 2012-12-20 Andreas Fischer Passive compensation for temperature-dependent wafer gap changes in plasma processing systems
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10937634B2 (en) 2013-10-04 2021-03-02 Lam Research Corporation Tunable upper plasma-exclusion-zone ring for a bevel etcher
US9725799B2 (en) * 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
KR102116474B1 (ko) 2020-02-04 2020-05-28 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080190448A1 (en) * 2007-02-08 2008-08-14 Lam Research Corporation Bevel clean device
TW200842969A (en) * 2006-12-29 2008-11-01 Lam Res Corp Method and apparatus for wafer edge processing
TW200849381A (en) * 2007-04-06 2008-12-16 Lam Res Corp Method and system for distributing gas for a bevel edge etcher

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5438009A (en) * 1993-04-02 1995-08-01 United Microelectronics Corporation Method of fabrication of MOSFET device with buried bit line
US6436303B1 (en) * 1999-07-21 2002-08-20 Applied Materials, Inc. Film removal employing a remote plasma source
US6837967B1 (en) * 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer
DE10326273B4 (de) * 2003-06-11 2008-06-12 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Reduzierung der Scheibenkontaminierung durch Entfernen von Metallisierungsunterlagenschichten am Scheibenrand
US20050189068A1 (en) * 2004-02-27 2005-09-01 Kawasaki Microelectronics, Inc. Plasma processing apparatus and method of plasma processing
CN1783423A (zh) * 2004-05-12 2006-06-07 波克股份有限公司 使用氩稀释的高压f2等离子体的高速蚀刻
US20060016459A1 (en) 2004-05-12 2006-01-26 Mcfarlane Graham High rate etching using high pressure F2 plasma with argon dilution
US7597816B2 (en) * 2004-09-03 2009-10-06 Lam Research Corporation Wafer bevel polymer removal
US20060054279A1 (en) * 2004-09-10 2006-03-16 Yunsang Kim Apparatus for the optimization of atmospheric plasma in a processing system
US7002175B1 (en) * 2004-10-08 2006-02-21 Agency For Science, Technology And Research Method of making resonant tunneling diodes and CMOS backend-process-compatible three dimensional (3-D) integration
US20070228008A1 (en) * 2004-12-06 2007-10-04 University Of Houston Medium pressure plasma system for removal of surface layers without substrate loss
KR101218114B1 (ko) * 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
US7651585B2 (en) * 2005-09-26 2010-01-26 Lam Research Corporation Apparatus for the removal of an edge polymer from a substrate and methods therefor
US7909960B2 (en) * 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US7858898B2 (en) * 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US8580078B2 (en) * 2007-01-26 2013-11-12 Lam Research Corporation Bevel etcher with vacuum chuck

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200842969A (en) * 2006-12-29 2008-11-01 Lam Res Corp Method and apparatus for wafer edge processing
US20080190448A1 (en) * 2007-02-08 2008-08-14 Lam Research Corporation Bevel clean device
TW200849381A (en) * 2007-04-06 2008-12-16 Lam Res Corp Method and system for distributing gas for a bevel edge etcher

Also Published As

Publication number Publication date
WO2010077299A2 (en) 2010-07-08
KR101743304B1 (ko) 2017-06-02
WO2010077299A3 (en) 2010-10-28
TW201034073A (en) 2010-09-16
JP2012512542A (ja) 2012-05-31
US20100151686A1 (en) 2010-06-17
CN102246282B (zh) 2014-08-06
JP5567590B2 (ja) 2014-08-06
CN102246282A (zh) 2011-11-16
US8262923B2 (en) 2012-09-11
KR20110100218A (ko) 2011-09-09

Similar Documents

Publication Publication Date Title
TWI508163B (zh) 高壓斜角蝕刻製程
JP5309164B2 (ja) ベベルエッジを洗浄する方法、ベベルエッチャ、及びベベルエッチャの設定可能パーツ
EP1346076B1 (en) Low contamination plasma chamber components and methods for making the same
US7829463B2 (en) Plasma processing method and plasma processing apparatus
US8956461B2 (en) Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
KR102260339B1 (ko) 반도체 장치의 제조 방법
US20090221148A1 (en) Plasma etching method, plasma etching apparatus and computer-readable storage medium
US8216485B2 (en) Plasma etching method, plasma etching apparatus, control program and computer-readable storage medium
JPH09129612A (ja) エッチングガス及びエッチング方法
US20140051255A1 (en) Copper discoloration prevention following bevel etch process
KR101958037B1 (ko) 높은 압력 베벨 에칭 프로세스
US7192532B2 (en) Dry etching method
US7189653B2 (en) Etching method and etching apparatus
US11521838B2 (en) Integrated cleaning process for substrate etching
JP2004134437A (ja) 半導体装置の製造方法および半導体製造装置