CN101847570B - Selective etching and formation of xenon difluoride - Google Patents

Selective etching and formation of xenon difluoride Download PDF

Info

Publication number
CN101847570B
CN101847570B CN2010101044846A CN201010104484A CN101847570B CN 101847570 B CN101847570 B CN 101847570B CN 2010101044846 A CN2010101044846 A CN 2010101044846A CN 201010104484 A CN201010104484 A CN 201010104484A CN 101847570 B CN101847570 B CN 101847570B
Authority
CN
China
Prior art keywords
technology
xef
silicon
chamber
fluorine
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2010101044846A
Other languages
Chinese (zh)
Other versions
CN101847570A (en
Inventor
吴定军
E·J·小卡瓦基
A·马利卡朱南
A·D·约翰逊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/360,588 external-priority patent/US8278222B2/en
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of CN101847570A publication Critical patent/CN101847570A/en
Application granted granted Critical
Publication of CN101847570B publication Critical patent/CN101847570B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/02Local etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

This invention relates to a process for selective removal of materials, such as: silicon, molybdenum, tungsten, titanium, zirconium, hafnium, vanadium, tantalum, niobium, boron, phosphorus, germanium, arsenic, and mixtures thereof, from silicon dioxide, silicon nitride, nickel, aluminum, TiNi alloy, photoresist, phosphosilicate glass, boron phosphosilicate glass, polyimides, gold, copper, platinum, chromium, aluminum oxide, silicon carbide and mixtures thereof. The process is related to the important applications in the cleaning or etching process for semiconductor deposition chambers and semiconductor tools, devices in a micro electro mechanical system (MEMS), and ion implantation systems. Methods of forming XeF2 by reacting Xe with a fluorine containing chemical are also provided, where the fluorine containing chemical is selected from the group consisting of F2, NF3, C2F6, CF4, C3F8, SF6, a plasma containing F atoms generated from an upstream plasma generator and mixtures thereof.

Description

The formation of selective etch and xenon difluoride
The cross reference of related application
The application is the U.S. patent application series number No.11/285 that is entitled as " SELECTIVE ETCHING OFTITANIUM NITRIDE WITH XENON DIFLUORIDE " that is filed on November 22nd, 2005,056 part continuity.
Technical field
The present invention relates to the formation of selective etch and xenon difluoride.
Background technology
In electronics industry, developed various deposition techniques, wherein selected material has been deposited on the target substrate to make electronic component such as semiconductor.A kind of depositing operation is a chemical vapor deposition (CVD), and wherein gaseous reactant is fed to and in the process cavity (chamber) of heating, obtains being deposited on the film on the expectation base material.The hypotype of CVD is known as plasma enhanced CVD (PECVD), and wherein plasma is set up in the CVD process cavity.
Usually, all deposition processs all cause film and granular materials to be accumulated on the surface that is different from target substrate, that is, deposition materials also accumulates on wall, tool surfaces, pedestal (susceptor) and the miscellaneous equipment that uses in the depositing operation.Any material on wall, tool surfaces, pedestal and the miscellaneous equipment, film etc. of accumulating in all is considered to pollutant, and possibly cause the defective in the electronic product element.
Generally admitting deposition chambers, instrument and equipment must clean to remove unwanted contaminative deposition materials termly.The method of usually preferred clean deposition chamber, instrument and equipment comprises uses fluoridized compound (PFC), for example C 2F 6, CF 4, C 3F 8, SF 6And NF 3Be used as the etchant cleaning agent.In these clean operations, the chemism fluorine species (species) that normally carried by process gas are converted into volatile products with unwanted contaminative residue.Then, volatile products are purged out reactor by process gas.
Ion injects and to be used in the integrated circuit manufacturing accurately the dopant impurities of controlled quentity controlled variable being directed into semiconductor wafer, and it is the important process in microelectronics/semiconductor production.In ideal case; All raw molecules can and extract by ionization; But in fact the decomposition of a certain amount of raw material takes place, and this causes the parts of the lip-deep or ion implantation tool in the ion source zone, such as deposition on low tension insulator and the high voltage device and pollution.Known pollution residue is silicon, boron, phosphorus, germanium or arsenic.To become field ion implantation important advance be to be provided for effectively, selectivity removes and in injection process, be deposited on implanter (implanter) everywhere, particularly the In-Situ Cleaning technology that does not need residue in the ion source zone.This In-Situ Cleaning can strengthen staff's safety and help stable, the continued operation of injection device.With gas phase reactive halide compositions, for example XeF 2, NF 3, F 2, XeF 6, SF 6, C 2F 6, IFs or IF7 be directed into contaminated parts with time of abundance and under condition fully removing residue at least in part from element, and carry out in the following manner, that is, with respect to the material selectivity of the element that makes up ion implantor remove residue.
In miniature electromechanical system (MEMS), form the mixture of sacrifice layer (having amorphous silicon usually) and protective layer, form the device architecture layer thus.Optionally removing this expendable material is the committed step that is used for structure release etch (release etching) technology, wherein need isotropically remove several microns expendable material and does not damage other structure.Be appreciated that this etch process is the selective etch technology of not etch protection layer.The typical expendable material that in MEMS, uses is: silicon, molybdenum, tungsten, titanium, zirconium, hafnium, vanadium, tantalum, niobium.Bent type protective material is nickel, aluminium, photoresist, silica, silicon nitride.
In order to remove expendable material effectively, release etch uses etchant gasses, and the spontaneous chemical etching that it can carry out sacrifice layer is preferably the isotropic etching of removing sacrifice layer.Because the isotropic etching effect of xenon difluoride is strong, so use xenon difluoride (XeF 2) as the etchant of lateral etches technology (lateral etching process).
Yet xenon difluoride is expensive, and is reluctant material.Xenon difluoride contacts with air, light or steam (moisture) and instability.All xenon fluorides all must prevent to contact moisture, light and air to avoid forming xenon trioxide and hydrogen fluoride.Xenon trioxide is dangerous explosive colourless, non-volatile solids.Hydrogen fluoride is not only dangerous but also reduce etching efficiency.
In addition, xenon difluoride is the solid with low-vapor pressure, and this makes and is difficult to xenon difluoride is transported to process cavity.
Be used for following method below with reference to document is for example clear: the film deposition of semiconductor production; And the cleaning of deposition chambers, instrument and equipment; The cleaning in the ion source zone in making with the etching of sacrifice layer among the etching of base material, the MEMS and microelectronic component in the used ion implant systems:
US 5,421, and 957 disclose the technology that is used for low temperature clean cold wall CVD chamber.This technology original position under no moisture condition is carried out.Various materials use etchant gasses such as the cleaning of the film of epitaxial silicon, polysilicon, silicon nitride, silica and refractory metal, titanium, tungsten and their silicide, and for example Nitrogen trifluoride, chlorine trifluoride, sulphur hexafluoride and carbon tetrafluoride are realized.
US 6,051, and 052 discloses to strengthen at ion and uses for example NF of fluorine compounds in the plasma 3And C 2F 6Anisotropic etching as the conductor material of etchant.Said etchant is made up of fluorinated chemicals and the rare gas that is selected from He, Ar, Xe and Kr.Test substrate comprises the integrated circuit that is connected with base material.In one embodiment, titanium layer is formed on the insulating barrier and with tungsten plug (tungsten plug) contacts.Then, the Al-zn-mg-cu alloy layer is formed on this titanium layer, and forms titanium nitride layer above that.
US 2003/0047691 discloses and has utilized electron beam to process etching or deposition materials or the defective of repairing in mask (lithography mask).In one embodiment, xenon difluoride activates with etch tungsten and tantalum nitride through electron beam.
GB 2,183, and 204A discloses and utilized NF 3Come In-Situ Cleaning CVD deposition hardware, ship, pipe and silica ware and semiconductor wafer.With NF 3Be directed into surpass 350 ℃ through the reactor heating time enough to remove silicon nitride, polysilicon, titanium silicide, tungsten silicide, refractory metal and silicide.
Holt, J.R. etc., Comparison of the Interactions of XeF 2And F 2WithSi (100) (2X1), J.Phys.Chem.B 2002,106,8399-8406 discloses XeF when 250K 2With Si (100) interaction (2X1), and provide and F 2Comparison.Find XeF 2At room temperature also isotropically react fast with Si.
Chang, F.I., Gas-Phase Silicon Micromachining With XenonDifluoride, SPIE Vol.2641/117-127 discloses and has utilized XeF 2As gas phase, room temperature, isotropic silicon etchant, and point out that it has high selectivity to the many materials that are used for micro electromechanical system such as aluminium, photoresist and silicon dioxide.It also points out at 119 pages, when on silicon substrate, forming pattern, and XeF 2Have to silicon dioxide and copper, gold, titanium-nickel alloy and acrylic compounds (acrylic) greater than 1000: 1 selectivity.
Isaac, W.C. etc., Gas Phase Pulse Etching of Silicon For MEMS WithXenon Difluoride, 1999IEEE, 1637-1642 disclose and have utilized XeF 2As the isotropism gas phase etchant that is used for silicon.Reported XeF 2Many metals, dielectric and polymer in the integrated circuit manufacturing have high selectivity.This author also points out XeF at 1637 pages 2Not etching aluminium, chromium, titanium nitride, tungsten, silicon dioxide and carborundum.Also observed respectively for molybdenum: silicon; And titanium: the remarkable etching of silicon.
Winters etc., The Etching of Silicon With XeF 2Vapor, Appl.Phys.Lett.34 on January 1st, (1) 1979,70-73 discloses and has utilized CF 4The plasma-induced disassociation of fluorohydrocarbon in the F atom and the CF that produce 3Group comes the etching solid silicon to make volatility SiF 4Species.This paper resorts to and utilizes XeF 2With at 300K 1.4 * 10 -2Holder is etching silicon down.Other experiment shows XeF 2Also etching molybdenum, titanium and perhaps tungsten apace.SiO 2, Si 3N 4Use XeF with the etching of SiC 2Ineffective, but etching is effective in the presence of electronics or ion bombardment.So the author concludes the etching of these materials not only need the F atom but also need radiation or high temperature.
Both all disclose structure release etch technology US 6870654 and US 7078293, and it replaces xenon difluoride through the etchant that use has fluorin radical or cl radical, has avoided the difficulty because of using xenon difluoride to cause.Yet etch effect is effective when being not so good as to use xenon difluoride.Therefore, US 6870654 and US 7078293 disclose the special construction that is used to promote structure release etch technology so that process time etc. and xenon difluoride quite.
US 20060086376 discloses in the manufacturing of microelectronic component, utilizes XeF 2Come element cleaning residue (silicon, boron, phosphorus, germanium or arsenic) from ion implantor.
Particularly, US 20060086376 relates to from vacuum chamber and the element original position that is contained in wherein and removes residue, and it is through with said vacuum chamber and/or element and gas phase reactive halide compositions XeF for example 2Time of full contact and under sufficient condition, removing residue at least in part, and carry out in the following manner from element, that is, and with respect to the material selectivity of the element that makes up ion implantor remove residue.
Industrial purposes is to find to can be used for from through silicon dioxide (SiO 2) and the surface that applies of silicon nitride (SiN) remove the novel etchant of titanium nitride (TiN) film that is difficult to remove.This type surface is shown in the wall of semiconductor deposition chamber, particularly quartz chamber and silica ware, semiconductor tools and equipment.The etchant based on fluorine of the attack TiN film of many routines is also attacked SiO 2With the SiN surface, therefore unacceptable in being used for removing the TiN sedimentation products from semiconductor deposition chamber and equipment.
Another industrial purposes provides and is used for the method for removing silicon from silicon dioxide (quartz) surface selectivity, and said surface is for such as in the device that generally is shown among semiconductor deposition chamber and semiconductor tools and the MEMS those.
Another industrial purposes is that the scene that is provided for (on site) produces or form the method for xenon difluoride, and owner's cost is required as reducing.
Summary of the invention
The present invention relates to improved technology; It is used for from silicon dioxide (quartz) surface ratio like surface that generally is shown in semiconductor deposition chamber and semiconductor tools and silicon nitride (SiN) surface that generally is shown in semiconductor tools parts etc., and selectivity is removed titanium nitride (TiN) film and sedimentation products.In the fundamental technology of the not desired constituents of removing contaminated surface, etchant is contacted in the contact zone with said not desired constituents, and with this not desired constituents be converted into volatile species.Then this volatile species is removed from the contact zone.Be used for being selected from SiO from the contact zone 2The improvement of removing in the fundamental technology of desirable T iN deposition materials not with the surface of SiN is to use xenon difluoride (XeF 2) as etchant.Controlled condition is so that the said SiO that is selected from 2Be not converted into volatile component with the surface of SiN.
With regard to TiN film and deposition materials that selective etch is difficult to remove from semiconductor deposition chamber (being called reaction chamber sometimes), tool component and equipment etc., remarkable advantage comprises:
From the cleaning that is shown in deposition chambers is SiO through quartz 2And the surface selectivity that applies of the SiN ability of removing the TiN film;
Under mild temperature, remove the ability of TiN film from quartz surfaces; With
Activate perfluor etchant in the remote plasma (remote plasma) with from SiO 2Remove the TiN film with the SiN surface and do not have under the normal condition to attack the ability of caused ill effect because of the fluorine atom in the remote plasma.
The present invention also openly is used for the technology with respect to second material-selective etching, first material, comprises:
The structure that contains first material and second material is provided in chamber;
The etchant gasses that comprises xenon (Xe), inert gas and fluorine-containing chemical is provided to said chamber;
With said structure contact with said etchant gasses and with said first material selectivity be converted into volatile species; With
Remove said volatile species from said chamber;
Wherein, said first material is selected from silicon, molybdenum, tungsten, titanium, zirconium, hafnium, vanadium, tantalum, niobium, boron, phosphorus, germanium, arsenic and their mixture; And said second material is selected from silicon dioxide, silicon nitride, nickel, aluminium, TiNi alloy, photoresist, phosphosilicate glass, boron phosphorus silicate glass, polyimides, gold, copper, platinum, chromium, aluminium oxide, carborundum and their mixture.
The present invention also is disclosed in the technology that forms xenon difluoride in the chamber, comprises:
Provide to said chamber and to be selected from NF 3, C 2F 6, CF 4, C 3F 8, SF 6, the plasma that contains the F atom that produces from the upstream plasma generator and the fluorine-containing chemical of their mixture; With
Through in said chamber, making xenon and said fluorine-containing chemical reaction formation xenon difluoride.
Description of drawings
Fig. 1 is that the etch-rate of silicon substrate is as NF 3Xe is than the functional arrangement of the concentration of Ar in the remote plasma, and the Xe that has provided under various Xe/ (Xe+Ar) ratio adds the etched influence of Si.
Fig. 2 is SiO 2Etch-rate as NF 3Xe is than the functional arrangement of the concentration of Ar in the remote plasma, and the Xe that has provided under various Xe/ (Xe+Ar) ratio adds SiO 2Etched influence.
Fig. 3 is a comparison silicon with respect to the etching selectivity of silicon dioxide as NF 3Xe is than the functional arrangement of the concentration of Ar in the remote plasma, and the Xe that has provided under various Xe/ (Xe+Ar) ratio adds Si/SiO 2Optionally influence.
Fig. 4 is from NF 3Ar/NF in the remote plasma 3And Xe/NF 3Fourier transform infrared spectroscopy (FTIR) spectrogram, provided from Ar/NF 3And Xe/NF 3FTIP spectrum.
Fig. 5 is from NF 3Xe/NF in the remote plasma 3Fourier transform infrared spectroscopy (FTIR) spectrogram, provided from Xe/NF 3FTIP spectrum.
Fig. 6 is XeF 2And XeF 4Fourier transform infrared spectroscopy (FTIR) peak height is as NF 3The functional arrangement of Xe/ in the remote plasma (Xe+Ar) has provided XeF 2And XeF 4FTIR peak height VSXe/ (Xe+Ar).
Fig. 7 is XeF 2And XeF 4Fourier transform infrared spectroscopy (FTIR) peak height is as NF 3Xe/NF in the remote plasma 3The functional arrangement of flow rate ratio has provided XeF 2And XeF 4FTIR peak height VS Xe/NF 3Flow rate ratio.
Fig. 8 is XeF 2Fourier transform infrared spectroscopy (FTIR) peak height and silicon with respect to the etching selectivity of silicon dioxide as NF 3The functional arrangement of Xe/ in the remote plasma (Xe+Ar) has provided XeF 2FTIR peak height VS etching selectivity.
Fig. 9 is that the etch-rate of TiN is as NF 3Temperature in the remote plasma and Xe are than the functional arrangement of Ar concentration, and the Xe that has provided under various base material temperatures adds the etched influence of TiN.
Figure 10 is that the etch-rate of silicon dioxide is as NF 3Temperature in the remote plasma and Xe are than the functional arrangement of the concentration of Ar, and the Xe that has provided under various base material temperatures adds SiO 2Etched influence.
Figure 11 is comparison TiN with respect to the etching selectivity of silicon dioxide as NF 3Xe is than the functional arrangement of the concentration of Ar in the remote plasma, and the Xe that has provided under various base material temperatures adds TiN/SiO 2Optionally influence.
Embodiment
The deposition common practice of titanium nitride (TiN) is in the electronics industry of making integrated circuit, electric elements etc.In depositing operation, some TiN are deposited on the surface that is different from target substrate surface, for example on the wall and surface of deposition chamber.Found XeF 2As being used for the silicon dioxide (SiO that TiN pollutes 2) and the selective etch agent on silicon nitride (SiN) surface effective.Based on this discovery, people can use xenon difluoride (XeF 2) remove unwanted TiN film and deposition materials surfaces contaminated as etchant, said surface for such as be shown in be coated with or in be lined with the semiconductor reactor of silicon dioxide (quartz) or silicon nitride or in deposition chambers, instrument, equipment, parts and the chip those.
From SiO 2When removing unwanted TiN residue, in the contact zone, be used for that TiN is converted into volatility TiF with the surface in SiN surface ratio such as the deposition chambers 4And then remove from said contact zone under the condition of this volatile species XeF 2Contact with said surface.Often, with XeF 2With inert gas N for example 2, Ar and He etc. add together.
Carrying out from SiN and SiO 2Remove in the technology of TiN deposition materials on the surface, XeF 2Can before being directed into the contact zone, form in advance, perhaps for the purposes of the present invention, and definition from here, XeF 2Can form through two kinds of methods.
Form XeF an original position 2Embodiment in, xenon (Xe) is added into fluorine-containing chemical and packs the remote plasma generator into.At this place, Xe forms XeF with being present in the F atomic reaction in the gained remote plasma 2
In another embodiment, in the distortion of promptly said original position embodiment, fluorine-containing chemical is added into the remote plasma generator, and then Xe and the remote plasma that contains the F atom are added into the chamber in remote plasma generator downstream.At this place, Xe and F atomic reaction and in chamber, form XeF 2Said chamber can be the chamber of any type, such as but be not limited to process cavity, deposition chambers, cleaning chamber, reactor and plasma generator.
This is used to form XeF 2The illustration of fluorine-containing chemical comprise F 2, NF 3, perfluocarbon such as C 2F 6, CF 4, C 3F 8, sulfur derivatives is such as SF 6And result from the remote plasma that contains the F atom of upstream plasma generator.In preferred embodiments, use NF 3As being used to form XeF 2Fluorine-containing chemical.
Said fluorine-containing chemical can produce on the spot.For example, use halogen generator to produce F on the spot 2, and then with this F 2Be directed into technology.This will become the possible means that alleviate fluorine operation hazard.
Forming XeF 2In-situ process in can use the ratio of the Xe of wide region to fluorine-containing chemical.Xe depends on the formed XeF of concentration of the F atom in the said remote plasma to the mol ratio of fluorine-containing chemical 2Amount.
Do not accept the constraint of opinion, be used as the source of the fluorine-containing chemical that the fluorine source imports but it is believed that remote plasma is serving as to be used to excite and to dissociate.Fluorin radical reacts with Xe in the section that is present in the tight back of plasma generating region section then.Except that being used to excite the energy and Xe of fluorine containing species, the path of this section also is considered to balance for XeF 2Preferred and XeF 4Minimizing in important parameter.
In addition, it is believed that, then also be not excited and can cause XeF owing to Xe if Xe is directed in the space of the tight back of plasma exciatiaon section 4The further minimizing that forms.Know xenon and have extremely low metastable energy state.This metastable formation can cause being formed on the XeF in this section 2Other crash response between the molecule.These collisions can cause XeF 2Dissociate into XeF and F group.These species can cause and other XeF then 2The further reaction of molecule is to form XeF 4Therefore, through behind plasma exciatiaon, importing Xe, do not form the Xe metastable state.So XeF 4Formation can reduce.This is disclosed in second embodiment, and promptly in the distortion of original position embodiment, wherein Xe is added into the remote plasma that contains the F atom that results from the plasma generator upper reaches.
Xe is 1: 10 to 10: 1 to the preferred molar ratio of fluorine-containing chemical.Randomly, can with inert gas for example argon be included in XeF 2Remote plasma take place, as regulating with respect to SiO 2And etching TiN, with respect to SiO 2With SiN and the optionally means of etching SiN or Si.
Be suitable for from SiO 2The pressure of removing TiN with the SiN surface is 0.5 to 50 holder, is preferably 1 to 10 holder.Realization depends primarily on the method for carrying out this technology from the temperature of silica surface (quartz) and SiN surface selectivity etching TiN film.Thus, this means if be pre-formed XeF 2And be added directly to the contact zone, and temperature should be increased at least 100 ℃, and for example 100 to 800 ℃, preferred 150 to 500 ℃.Be used for XeF 2Pressure should be at least 0.1 the holder, for example 0.1 to 20 the holder, preferred 0.2 to 10 the holder.The prior art processes that reduces with increase in temperature with etch-rate (Si etching) wherein is opposite, and here, etch-rate increases with increase in temperature.Think that this temperature increase has increased the etched ratio of TiN, because TiF 4Be volatile and under these conditions easily from SiO 2Remove with the SiN surface.Lower temperature makes TiF 4Species are stayed SiO 2And SiN surface near, hinder XeF 2Attack.
Forming XeF 2In-situ process in, clean or be etched under the existence of remote plasma and carry out.Temperature can be ambient temperature to 500 ℃ when having remote plasma, be preferably ambient temperature to 300 ℃.
Disclosed formation XeF 2Technology be that said In-Situ Cleaning technology provides obvious improvement.Because they not only provide with low cost and make XeF 2Technology, they also provide the effective as selective that does not need residue to remove and do not need simultaneously big shut-down, and then reduce maintenance cost.In addition, this disclosed technology is used high vapour pressure gas and is not used the low-vapor pressure solid.Because higher gas flow thereby this improve productivity ratio, and thereby can obtain higher etch-rate.
Come from this disclosed formation XeF of use 2The further interests of technology be, except XeF 2Outside also provide some to help lend some impetus to only to remove and XeF 2The free fluorine free radical of the nonreactive residue of possibility during contact.This to selective cleaning/etch application all be favourable, said application is coated with such as cleaning and deposits the SiO that some does not need residue above that 2Parts and semiconductor tools; The etching of sacrifice layer among the MEMS, and the cleaning of the residue in the ion source zone of the ion implant systems that in the manufacturing of microelectronic component, uses.
Following examples are provided with illustration various embodiments of the present invention, and desire does not limit its scope.
Embodiment 1
XeF under all temps and pressure 2Effectiveness in the etching of deposition materials
In the present embodiment, use XeF 2As etchant, under all temps and pressure, measured for TiN, SiO 2Etch-rate with SiN.Test piece is by being coated with TiN, SiO 2Silicon wafers with the SiN film.Etch-rate through said film thickness at initial film thickness and the variation between the film thickness after regularly being exposed to etching or processing conditions calculate.
In order to implement etching, with a large amount of XeF 2Gas imports chamber of the reactor from gas cylinder via unused remote plasma generator.This XeF 2The pressure of gas in chamber of the reactor is through keeping constant once reaching desired pressure with regard to the air-flow of closing from said gas cylinder.
Test sample is placed on the surface of the base-plate heater (pedestalheater) that is used for keeping the different substrate materials temperature.The result is shown in following table I.
Table I
Use XeF 2Etch-rate for various materials
Material Temperature (℃) Pressure (holder) Etch-rate (nm/min)
TiN 25 1 0
TiN 100 1 0
TiN 150 1 8
TiN 200 1 13
TiN 300 0.5 20
SiO 2 300 0.5 0
SiN 100 1 0
SiN 150 1 0
SiN 300 1 0
Above result is illustrated under the pressure of 0.5 to 1 holder, XeF 2Be effective in etching TiN film under 150 to 300 ℃ elevated temperature, and invalid under 25 ℃ room temperature.Unexpected is XeF 2Arbitrary not etching SiO down at the temperature and pressure that is adopted 2Or SiN surface, but etching TiN film under these temperature.Because XeF 2Can not etching SiO under the temperature of these risings 2With SiN surface, but etching TiN film is so conclude XeF 2Can be used as from SiO 2Reagent with SiN surface selectivity etching TiN film and particle.
Embodiment 2
Silicon is with respect to SiO 2Selective etch
In this embodiment, MKS Astron remote plasma generator is installed in the top of chamber of the reactor.The outlet of this Astron generator and the distance between sample specimens are about six inches.Open the remote plasma generator, but the base-plate heater in the off-response device chamber.This chamber is remained in room temperature.To using Si and SiO under the remote plasma situation 2Both etch-rates of base material are measured.
Process gas to said remote plasma is NF 3, and it mixes with second gas stream of various amounts.Said second gas stream comprises Xe, argon (Ar) or their combination.The total specific gas flow rate that flow to chamber of the reactor is fixed in 400sccm, and with NF 3Flow rate is fixed in 80sccm.Total flow rate with second gas stream remains in 320sccm on one side, on one side the ratio (Xe/ (Ar+Xe)) of Xe flow rate with respect to the second gas stream total flow rate changed between 0 (only Ar is as said other process gas) and 1 (only Xe is as said other process gas).Etched result is shown in table 1 with the Si base material, and with SiO 2The etched result of base material is shown in table 2.
As shown in Figure 1, Xe is added into said process gas NF 3In, improved the Si etch-rate.Unexpected is Xe and NF 3Be added into the remote plasma generator together and can produce the etched plasma of raising Si.
Fig. 2 shows that Xe is added into NF 3/ argon plasma has suppressed SiO 2The base material etch-rate, this is unexpected.Be present in the common attack of F atom in the remote plasma with SiO 2Base material for the basis.
Together with the analysis of Fig. 1, infer that Xe is added into plasma and has caused the etched raising of Si base material, but pointed like embodiment 1, reduce or suppressed SiO 2The base material etching.
Fig. 3 is provided to relatively add Xe to NF 3Process gas for Si with respect to SiO 2The influence of etching selectivity.As visible through the result who compares among Fig. 1 and 2, Fig. 3 shows that Si is with respect to SiO 2Etching selectivity increase along with the increase of the amount of Xe in process gas.Especially, this selectivity is along with Xe increases to 100% from 0% in said gas stream, and increases to 250 (>8 times) from 30.
Bent type expendable material among the MEMS is: silicon, molybdenum, tungsten, titanium, zirconium, hafnium, vanadium, tantalum, niobium.The protective material of bent type is nickel, aluminium, photoresist, silica, silicon nitride.
Embodiment 3
Molybdenum (Mo) is with respect to SiO 2Selective etch
Use the great circle cylindricality SS etching chamber of long 2.5m diameter 25cm to measure another the common expendable material in the MEMS application: the etch-rate of molybdenum (Mo).Use water-cooled MKS Astron AX76706slpm unit (unit) to produce remote plasma.The feed tube of this plasma source through the long internal diameter 4cm of 10cm is connected with said chamber.Sample is placed the 2 feet places of load/unload end apart from this pipe.
At 2.75 holders, NF 3Under flow 275sccm and Xe or the Ar flow 600sccm, the etch-rate of Mo=1.1 micron/minute.SiO 2Etch-rate for NF 3/ Ar admixture of gas is 82nm/min, and for NF 3/ Xe mixture is 26nm/min.Therefore, Xe/NF 3The selectivity of mixture is Ar/NF 3Optionally at least 3 times of mixture.Please note that the Mo etch-rate is limited by oxide on surface.Adopting under the surface prepares to handle with the situation of destroying its intrinsic oxide, the etch-rate of Mo can be increased to>and 2.7 microns/minute.
Embodiment 4
Via Xe and NF 3The reaction original position form XeF 2
Followed the step of embodiment 2 among this embodiment.The Applied Materials P5000 DxZ2 PECVD chamber that has 6slpm MKS Astron eX remote plasma generator is used for Fourier transform infrared spectroscopy (FTIR) research.The FTIR measurement has been carried out in downstream at this cavity pump under ambient pressure.Used the chamber of 150 ℃ path 5.6m.Instrumental resolution is 2em -1
Fig. 4 be presented at embodiment 2 in the FTIR spectrum collected under the identical condition: the pressure of 4 holders, the total gas couette of 400sccm, the NF of 80sccm 3Flow, the Xe of 320sccm and the total flow of Ar.At Xe/NF 3500-600cm in the spectrum -1Observe clear significant peak in the scope, and Ar/NF 3Spectrum does not but show the peak in this zone.551.5cm -1And 570.3cm -1Two primary fronts at place are accredited as XeF 2The peak.From XeF 3The control spectrum of manufacturer is being positioned at 550.8 and 566.4cm -1The place shows the peak.
Fig. 5 shows, has Xe and NF 3Situation under, 551,570 and 590cm -1The place has observed 3 tangible peaks.XeF 2Through 551,567cm -1The peak at place and by being identified, and XeF 4580,590cm -1The place is detected.Thereby 567cm -1The peak at place is 567 and 580cm -1The combination at peak.So XeF 2And XeF 4Both all are formed at Xe/NF 3In the mixture.From FTIR spectrum, do not find XeF 6Or XeOF 4The evidence that forms.
Table II shows that pressure from 0.5 to 5 holder changes, and the Xe flow velocity changes at 200-1000sccm, and NF 3Flow velocity is from 50 to 500sccm several conditions of changing.In all scenario, all detect XeF 2The peak.Write down peak value here.
Table II
Pressure (holder) 0.5 4 5 2.75 5 2
NF 3(sccm) 50 80 200 275 50 500
Xe(sccm) 200 320 500 600 1000 1000
Peak value (530.1cm -1) 0.06 0.07 0.16 0.22 0.09 0.33
Peak value (570.3cm -1) 1.01 1.18 1.35 1.35 1.36 1.42
Peak value (590cm -1) 0.43 0.43 1.63 1.42 0.18 1.58
Peak value (603.1cm -1) 0.07 0.07 0.44 0.27 0.04 0.31
Said peak is saturated easily under some conditions, has therefore also analyzed 520.1cm -1The XeF at place 2The forward position at peak and 603.1cm -1The XeF at place 4The back edge at peak.XeF 2/ XeF 4Than being defined as 530cm -1And 603cm -1The ratio of the peak height value at place.
The experimental result of using response surface to return is summarised in the following Table III.
Table III
Peak height 530.1 551.5 592 603.1 Ratio 603.1/530.1
Meaning Forward position XeF 2Signal XeF 2Maximum XeF 4Maximum The back is along XeF 4Signal XeF 2/XeF 4
Xe A little less than In A little less than A little less than Increase (strong up) by force
NF 3 By force By force By force By force (strong down) falls by force
P A little less than In By force By force (strong down) falls by force
Please note: flow>NF of Xe under all conditions herein 3Flow, so NF 3It is the stronger factor.Higher NF 3Flow increases XeF 2And XeF 4The peak both, and Xe has weak influence (owing to exist excessive Xe) for said peak.Pressure is to XeF 2There is weak influence at the peak, and to XeF 4There is strong influence at the peak.The Astron operating pressure is typically the 1-10 holder.
Therefore, pressure is control XeF 4The key parameter that forms.XeF 4Can hydrolysis make XeO 3, it is explosive and shock sensive compound.Under current experimental condition, XeF 2/ XeF 4Ratio can be at high Xe, low NF 3With maximize under the low pressure conditions.For example, the flow velocity of Xe is 1000sccm, NF 3Flow velocity be 50sccm, pressure be 0.5 the holder.
Fig. 6 shows the XeF as the function of Xe/ (Xe+Ar) 2FTIR peak height and XeF 4The FTIR peak height.The unit of peak height is arbitrarily.Along with Xe flow umber increases, the XeF of manufacturing 2Increase and XeF 4Umber reduces.Expect that high Xe flow is with respect to XeF 4Maximization XeF 2Formation.Fig. 7 shows as Xe/NF 3The XeF of the function of flow velocity ratio 2/ XeF 4The ratio of FTIR peak height.Clearly, expectation Xe/NF 3Height ratio with respect to XeF 4Maximization XeF 2Formation.
Fig. 8 shows the XeF as the function of Xe/ (Xe+Ar) 2FTIR peak height (right Y-axle) and Si/SiO 2Etching selectivity (left Y-axle).Si/SiO 2Etching selectivity clearly with XeF 2Original position form relevant.
Use plasma exciatiaon to make XeF 2Also can be used to produce XeF 2, be used for being used as etchant with the not directly related technology of its manufacturing location.There is following condition in the data demonstration, and it obviously helps XeF 2Production and minimize XeF 4Production.Because XeF 4If after reaction formation XeO 3Explosivity, so unusual expectation minimization XeF 4Produce.Because XeF 2Be formed in the reaction section after the plasma generator, thus its can through use deep cooling capture (cryogenictrapping) with condensation of material on cold surface and remove from said section.Then can be from process cavity with solid XeF 2Propose, and recharge and be used for etch process to transmitting in the gas cylinder.Because having imported excessive xenon reduces XeF 4Form, thus very helpful be to utilize xenon to reclaim or xenon is recycled in the technology to guarantee the required XeF of being used for 2The productive use of whole xenons of producing.
Embodiment 5
Remote plasma and temperature are to TiN and SiO 2The influence of etch-rate
In this embodiment, except remote plasma generator and base-plate heater are all opened to allow under various base material temperatures, using remote plasma to measure TiN and SiO 2Outside both etch-rates, followed the step of embodiment 2.
In first group of experiment, TiN and SiO 2Etch-rate use NF 3Measure as process gas with the mixture of Xe.The flow velocity of Xe is fixed in 320sccm.Temperature changes between 100 ℃ to 150 ℃.These result of experiment are respectively as for TiN and SiO 2Square dot be shown in Fig. 9 and 10.
In second group of experiment, TiN and SiO 2Etch-rate use NF 3And the mixture of argon (Ar) is measured as process gas.The flow velocity of Ar is fixed in 320sccm.Temperature changes between 100 ℃ to 150 ℃.These result of experiment are respectively as for TiN and SiO 2Diamond spot be shown in the Figure 4 and 5.
As shown in Figure 9, Xe is added into process gas and has improved the TiN etch-rate in the temperature that generally is higher than 130 ℃.Figure 10 demonstration is added into NF than Ar 3, Xe is added into NF 3Under all research temperature, suppressed SiO 2Etch-rate.It is visible through the result in the comparison diagram 9 and 10 to the influence of etching selectivity that Xe is added into process gas.
Figure 11 shows that TiN is with respect to SiO 2Etching selectivity, and this chart is presented at Xe and is added into NF with respect to Ar 3During process gas, the TiN selectivity begins to increase when temperature is higher than about 110 ℃, and when being higher than 120 ℃, increases fast.
In a word, embodiment 1 shows, when under this is etched in the temperature of rising, carrying out, and XeF 2It is selective etch agent for the TiN film with respect to silicon dioxide and silicon nitride base material.
Embodiment 2 and 3 shows the NF that Xe is added into (or reactor or chamber) in the remote plasma generator 3Process gas is and only with NF 3Etching selectivity during as process gas relatively can increase Si or Mo with respect to SiO 2Etching selectivity.
Embodiment 4 shows xenon and fluoro-gas such as NF 3When being directed into plasma generator (or reactor or chamber), observe XeF 2Original position form.Combination xenon and fluoro-gas are such as NF 3And not with XeF 2Directly be used for cleaning procedure and have economic advantages (that is lower owner's cost).Be derived from this disclosed formation XeF of use 2The further benefit of technology be, except XeF 2Outside they also provide some free fluorine free radicals, said free fluorine free radical helps lend some impetus to removal ought be only and XeF 2Possibly not reactive residue during contact.
Embodiment 5 shows, with NF only 3Etching selectivity during as process gas is compared, and Xe is added into the NF in the remote plasma 3Process gas can increase TiN with respect to SiO under high (rising) temperature 2Etching selectivity.The TiN that increases is with respect to SiO 2Selectivity important in quartz tube furnace is used, and to being coated with the SiO that has the TiN deposition on it 2Parts and semiconductor tools important.This method can be through being connected to long-range downstream plasma body unit on the process reactor and feeding process gas, and promote the cleaning of the deposition reactor between deposition cycle.Combination xenon and fluoro-gas are such as NF 3And not with XeF 2Be used for this cleaning procedure and have economic advantages (that is lower owner's cost).
The cleaning procedure that is recorded among the embodiment also can be used in the offline process reactor, and its sole purpose is a cleaning procedure reactor parts before they are reused., can long-range downstream plasma reactor be connected on the offline process reactor here, in said offline process reactor, be placed with parts (from the element of deposition reactor) and locate.Subsequently, can be before process gas air inlet to the chamber that contains parts to be cleaned, with xenon and fluoro-gas such as NF 3Import this long-range downstream units.
The Si, Mo or the TiN that increase are with respect to SiO 2Selectivity, and disclosed formation XeF 2Technology important in many application: such as cleaning be coated with have unwanted Si on it, the SiO of Mo or TiN deposit 2Parts and semiconductor tools; The etching of sacrifice layer among the MEMS; And the residue cleaning in the ion source zone of the ion implant systems that uses in the microelectronic component manufacturing.
Said application can extend to from Si 3N 4, Al, Al 2O 3, Au, Ga, Ni, Pt, Cu, Cr, TiNi alloy, SiC, photoresist, phosphosilicate glass, boron phosphorus silicate glass, polyimides, gold, copper, platinum, chromium, aluminium oxide, carborundum and their combination, clean other unwanted material such as tungsten, titanium, zirconium, hafnium, vanadium, tantalum, niobium, boron, phosphorus, germanium, arsenic and mixture.

Claims (18)

1. be used for technology, comprise with respect to second material-selective etching, first material:
The structure that contains first material and second material is provided in chamber;
The etchant gasses that comprises xenon (Xe), inert gas and fluorine-containing chemical is provided to said chamber;
With said structure contact with said etchant gasses and with said first material selectivity be converted into volatile species; With
Remove said volatile species from said chamber;
Wherein, said first material is selected from silicon, molybdenum, tungsten, titanium, zirconium, hafnium, vanadium, tantalum, niobium, boron, phosphorus, germanium, arsenic and their mixture; And said second material is selected from silicon dioxide, silicon nitride, nickel, aluminium, TiNi alloy, photoresist, phosphosilicate glass, boron phosphorus silicate glass, polyimides, gold, copper, platinum, chromium, aluminium oxide, carborundum and their mixture.
2. the technology of claim 1, wherein, said fluorine-containing chemical is selected from F 2, NF 3, C 2F 6, CF 4, C 3F 8, SF 6, the plasma that contains the F atom that produces from the upstream plasma generator and their mixture.
3. the technology of claim 1, wherein, said fluorine-containing chemical is the plasma that contains the F atom that produces from the upstream plasma generator.
4. the technology of claim 1, wherein said inert gas is selected from Xe, Ar, He and their mixture.
5. the technology of claim 1, wherein, said chamber contains the remote plasma generator.
6. the technology of claim 1, wherein, the temperature in the said chamber is an ambient temperature to 500 ℃.
7. the technology of claim 1, wherein, the pressure in the said chamber is 0.1 to 10 holder.
8. the technology of claim 1, wherein Xe is 1: 10 to 10: 1 with respect to the mol ratio of fluorine-containing chemical.
9. the technology of claim 1, wherein, said structure is semiconductor device or semiconductor machining chamber.
10. the technology of claim 1, wherein, said structure is the micro electromechanical device.
11. the technology of claim 1, wherein, said structure is the ion implantor instrument in the ion implant systems.
12. be used for technology, comprise with respect to silicon dioxide, silicon nitride or silicon dioxide and silicon nitride selective etch silicon, molybdenum or silicon and molybdenum:
In chamber, provide and contain silicon, molybdenum or silicon and molybdenum, and the structure of silicon dioxide, silicon nitride or silicon dioxide and silicon nitride;
The etchant gasses that comprises xenon (Xe), inert gas and fluorine-containing chemical is provided to said chamber;
Said structure is contacted with said etchant gasses and said silicon, molybdenum or silicon and molybdenum optionally are converted into volatile species; With
Remove said volatile species from said chamber.
13. the technology of claim 12, wherein, said fluorine-containing chemical is selected from F 2, NF 3, C 2F 6, CF 4, C 3F 8, SF 6, plasma generator produces from the upper reaches the plasma that contains the F atom and their mixture.
14. the technology of claim 12, wherein, said fluorine-containing chemical is the plasma that contains the F atom that plasma generator produces from the upper reaches.
15. the technology of claim 12, wherein said inert gas are selected from Xe, Ar, He and their mixture.
16. the technology of claim 12, wherein, said chamber contains the remote plasma generator.
17. the technology of claim 12, wherein, said structure is semiconductor device or semiconductor machining chamber.
18. the technology of claim 12, wherein, said structure is the ion implantor instrument in the ion implant systems.
CN2010101044846A 2009-01-27 2010-01-27 Selective etching and formation of xenon difluoride Active CN101847570B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/360588 2009-01-27
US12/360,588 US8278222B2 (en) 2005-11-22 2009-01-27 Selective etching and formation of xenon difluoride

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN2012100285454A Division CN102592994A (en) 2009-01-27 2010-01-27 Selective etching and formation of xenon difluoride

Publications (2)

Publication Number Publication Date
CN101847570A CN101847570A (en) 2010-09-29
CN101847570B true CN101847570B (en) 2012-11-07

Family

ID=42371448

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2012100285454A Pending CN102592994A (en) 2009-01-27 2010-01-27 Selective etching and formation of xenon difluoride
CN2010101044846A Active CN101847570B (en) 2009-01-27 2010-01-27 Selective etching and formation of xenon difluoride

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2012100285454A Pending CN102592994A (en) 2009-01-27 2010-01-27 Selective etching and formation of xenon difluoride

Country Status (5)

Country Link
JP (1) JP2010177666A (en)
KR (1) KR20100087678A (en)
CN (2) CN102592994A (en)
CA (1) CA2690697A1 (en)
TW (1) TWI475611B (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5627990B2 (en) * 2010-10-25 2014-11-19 Hoya株式会社 Method for producing imprint mold
JP6408396B2 (en) * 2015-02-17 2018-10-17 三井化学株式会社 Pellicle film manufacturing method, pellicle manufacturing method, and photomask manufacturing method
NL2014497B1 (en) * 2015-03-20 2017-01-19 Asm Int Nv Method for cleaning deposition apparatus.
CN105537207B (en) * 2015-12-11 2018-09-25 上海交通大学 A kind of cleaning method of high temperature quartz ampoule
KR102179230B1 (en) * 2016-06-03 2020-11-16 엔테그리스, 아이엔씨. Vapor etching of hafnia and zirconia
JP6957252B2 (en) * 2017-07-20 2021-11-02 岩谷産業株式会社 Cutting method
JP7066263B2 (en) * 2018-01-23 2022-05-13 株式会社ディスコ Machining method, etching equipment, and laser processing equipment
CN110718459A (en) * 2018-07-13 2020-01-21 北京北方华创微电子装备有限公司 Non-plasma etching method and etching equipment

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6018065A (en) * 1997-11-10 2000-01-25 Advanced Technology Materials, Inc. Method of fabricating iridium-based materials and structures on substrates, iridium source reagents therefor
US6355181B1 (en) * 1998-03-20 2002-03-12 Surface Technology Systems Plc Method and apparatus for manufacturing a micromechanical device
US6736987B1 (en) * 2000-07-12 2004-05-18 Techbank Corporation Silicon etching apparatus using XeF2

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5002632A (en) * 1989-11-22 1991-03-26 Texas Instruments Incorporated Method and apparatus for etching semiconductor materials
US5384009A (en) * 1993-06-16 1995-01-24 Applied Materials, Inc. Plasma etching using xenon
US6818566B2 (en) * 2002-10-18 2004-11-16 The Boc Group, Inc. Thermal activation of fluorine for use in a semiconductor chamber
US20070117396A1 (en) * 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
TWI473149B (en) * 2006-04-26 2015-02-11 Advanced Tech Materials Cleaning of semiconductor processing systems

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6018065A (en) * 1997-11-10 2000-01-25 Advanced Technology Materials, Inc. Method of fabricating iridium-based materials and structures on substrates, iridium source reagents therefor
US6355181B1 (en) * 1998-03-20 2002-03-12 Surface Technology Systems Plc Method and apparatus for manufacturing a micromechanical device
US6736987B1 (en) * 2000-07-12 2004-05-18 Techbank Corporation Silicon etching apparatus using XeF2

Also Published As

Publication number Publication date
TWI475611B (en) 2015-03-01
CN101847570A (en) 2010-09-29
CA2690697A1 (en) 2010-07-27
CN102592994A (en) 2012-07-18
TW201029065A (en) 2010-08-01
KR20100087678A (en) 2010-08-05
JP2010177666A (en) 2010-08-12

Similar Documents

Publication Publication Date Title
CN101847570B (en) Selective etching and formation of xenon difluoride
US8278222B2 (en) Selective etching and formation of xenon difluoride
US20070117396A1 (en) Selective etching of titanium nitride with xenon difluoride
JP7470834B2 (en) Iodine-containing compounds for etching semiconductor structures
KR102625367B1 (en) Nitrogen-containing compounds for etching semiconductor structures
Butterbaugh et al. Plasma–surface interactions in fluorocarbon etching of silicon dioxide
KR100760891B1 (en) Method for enhancing fluorine utilization
JP2009033202A (en) Method of removing high dielectric constant material from deposition chamber
US20040014327A1 (en) Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
WO1999008805A1 (en) Plasma cleaning and etching methods using non-global-warming compounds
KR20050050579A (en) Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
WO2005090638A9 (en) Remote chamber methods for removing surface deposits
JP2008091882A (en) Detection of endpoint of cleaning process
KR100575847B1 (en) Method collection residual products for fpd and semiconducor
KR20190133012A (en) Dry etching method or dry cleaning method
Schabel et al. Macromolecule formation in low density CF4 plasmas: The influence of H2
Sharma et al. Thermal gas-phase etching of titanium nitride (TiN) by thionyl chloride (SOCl2)
US20060144819A1 (en) Remote chamber methods for removing surface deposits
US20230274947A1 (en) Selective thermal etching methods of metal or metal-containing materials for semiconductor manufacturing
CN107810289B (en) Method for etching and chamber cleaning and gas for the same
Ditchfield et al. Adsorption of chlorine on TiSi2: application to etching and deposition of silicide films
Hayakawa A New Method to Study the Dissociation of Energy-Selected Neutral Intermediates

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20170623

Address after: Arizona, USA

Patentee after: Versum Materials US, LLC

Address before: American Pennsylvania

Patentee before: Air Products and Chemicals, Inc.