TWI401722B - 多數基板之特定位置處理時增大處理量的方法、系統及電腦可讀媒體 - Google Patents

多數基板之特定位置處理時增大處理量的方法、系統及電腦可讀媒體 Download PDF

Info

Publication number
TWI401722B
TWI401722B TW097129284A TW97129284A TWI401722B TW I401722 B TWI401722 B TW I401722B TW 097129284 A TW097129284 A TW 097129284A TW 97129284 A TW97129284 A TW 97129284A TW I401722 B TWI401722 B TW I401722B
Authority
TW
Taiwan
Prior art keywords
substrates
substrate
gcib
processing
measurement data
Prior art date
Application number
TW097129284A
Other languages
English (en)
Other versions
TW200931475A (en
Inventor
Nicolaus J Hofmeester
Steven P Caliendo
Original Assignee
Tel Epion Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tel Epion Inc filed Critical Tel Epion Inc
Publication of TW200931475A publication Critical patent/TW200931475A/zh
Application granted granted Critical
Publication of TWI401722B publication Critical patent/TWI401722B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/304Controlling tubes by information coming from the objects or from the beam, e.g. correction signals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/221Ion beam deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/542Controlling the film thickness or evaporation rate
    • C23C14/545Controlling the film thickness or evaporation rate using measurement on deposited material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/047Coating on selected surface areas, e.g. using masks using irradiation by energy or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/302Controlling tubes by external information, e.g. programme control
    • H01J37/3023Programme control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching
    • H01J37/3056Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching for microworking, e.g. etching of gratings, trimming of electrical components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/08Ion sources
    • H01J2237/0812Ionized cluster beam [ICB] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/304Controlling tubes
    • H01J2237/30405Details
    • H01J2237/30416Handling of data
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/304Controlling tubes
    • H01J2237/30472Controlling the beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/31Processing objects on a macro-scale
    • H01J2237/3142Ion plating
    • H01J2237/3146Ion beam bombardment sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/31Processing objects on a macro-scale
    • H01J2237/3151Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Drying Of Semiconductors (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

多數基板之特定位置處理時增大處理量的方法、系統及電腦可讀媒體 交互參照之相關申請案
本申請案係有關於美國專利第6537606號,其發明名稱為「利用氣體團簇離子集束處理改善薄膜之系統及方法」;及美國專利第6750460號,其發明名稱為「利用GCIB處理調整裝置特性之系統及方法」。於此,以參考資料方式合併各文獻之全部內容。
本發明係有關於利用氣體團簇離子集束(GCIB)處理基板之方法及系統,尤有關於利用GCIB於多數基板上施行特定位置處理之方法及系統。
氣體團簇離子集束(GCIB)係用於蝕刻、清潔、平滑化、及形成薄膜。為了討論目的,氣體團簇為在標準溫度及壓力條件下的奈米大小的氣態材料聚合物。此種氣體團簇可由包含數個到數千個或更多鬆散連接之分子組成的聚合物。吾人可藉由電子衝擊來離子化氣體團簇,而使氣體團簇變成具有可控能量的定向集束。此種團簇離子通常是帶正電,正電電量是由電荷大小乘以表示團簇離子之電荷狀態之大於或是等於一的整數的乘積。較大尺寸的團簇離子通常最有用,因為其每個團簇離子具有攜帶大能量的能力但每個個別分子僅帶有有限能量。離子團簇會在衝擊工作部件時瓦解。在特定的瓦解的離子團簇中之各個個別分子僅帶有總團簇能量的一小部分。因此,大離子團簇的衝擊效應非常大,但此衝擊僅限於十分淺的表面區。如此則使氣體團簇離子對於各種表面改變處理皆有效,且不會造成更深的次表面損害(其為習知的離子集束處理的特徵)。習知團簇離子源會產生隨著各團簇之分子數量而變化之寬廣尺寸分佈範圍的團簇離子,各團簇中的分子數量可能達到數千個分子。吾人可藉由在高壓氣體從噴嘴 到真空的絕熱膨脹期間中壓縮個別氣體原子(或是分子)來形成原子團簇。具有小孔之分離器自膨脹氣流中心去除發散氣流,以產生團簇之平行集束。藉由微弱的原子間力(凡得瓦力)產生及連接各種尺寸的中性團簇。此方法係用於製造各種氣體(例如氦、氖、氬、氪、氙、氮、氧、二氧化碳、六氟化硫、一氧化氮、一氧化二氮、及該等氣體之混合物)之團簇集束。產業規模等級之工作部件之GCIB處理之數個新興應用均屬於半導體領域。儘管工作部件之GCIB處理係使用各種氣體團簇源氣體(其中有許多是鈍氣)來實施,仍有許多半導體處理可以使用反應性供應源氣體,有時候會結合或是混合鈍氣或是惰性氣體來形成GCIB。
本發明係有關於利用氣體團簇離子集束(GCIB)之用於基板之特定位置處理之方法及系統。更進一步,提供一種用於多數基板之特定位置處理之方法及系統。
根據一實施例,描述一種於多數基板上之特定位置處理之方法。該方法包含量測多數基板之量測資料。之後,該方法包含利用量測資料計算第一基板之校正資料,然後利用量測資料計算第二基板之校正資料。在計算第二基板之校正資料時,該方法包含利用氣體團簇離子集束(GCIB)施加第一基板之校正資料於第一基板。
根據另一實施例,描述一種於多數基板上進行特定位置處理之方法及電腦可讀媒體。此方法包含獲取多數基板之量測資料;利用量測資料計算該多數基板中之每一者之校正資料;及在計算該多數基板中之每一者之校正資料時,利用氣體團簇離子集束(GCIB)施加已完成的校正資料於該多數基板中之一給定基板。
根據又另一實施例,描述一種於多數基板上實施特定位置處理之處理系統。此處理系統包含一量測系統,用以獲取多數基板之量測資料;及一GCIB處理系統,利用一GCIB處理該多數基板其中之一或更多者。更進一步,此處理系統包含一多重處理控制器,用以自該量測系統接收該量測資料;使用該量測資料計算該多數基板中之每一者之校正資料;及計算該多數基板中之每一者之該校正資料時,命令該GCIB系統利用一GCIB施加完成的校正資料於該多數基板之一給定基板。
在不同實施例中揭示利用氣體團簇離子集束(GCIB)於多數基板上實施特定位置處理之方法及系統。然而,熟知本技藝者當可知,可脫離一或更多特定細節而仍實現各種實施例,或是利用其他替代物及/或是額外的方法、材料、或是元件來實現各種實施例。在其他範例中,不顯示或是描述已知的構造、材料或是操作,以免模糊本發明之各實施例之實施態樣。相似的,為了要解釋而預先設定明確的數目、材料及構造,以提供對本發明之通盤了解。然而本發明在脫離特定細節的情況下仍能實現。更進一步,應了解者為,示於圖中之實施例僅為說明性表示,並不是按比例所繪製。
在說明書及申請專利範圍中,使用「耦合」及「連接」及其衍生的術語。應了解者為,此等術語並非為彼此的同義語。更確切地說,在特定實施例中,「連接」用於表示二或更多個元件直接實際上或電性上彼此接觸,而「耦合」表示二或更多個元件並非直接彼此接觸,而是互相協同操作或是互相作用。
在本說明書中所稱之「一實施例」或「一個實施例」表示該實施例中所敘述之特定特徵、構造、材料、或是特性會包含於本發明之至少一實施例中,但是不表示會出現在每個實施例中。因此,在本說明書中之各處出現的「在一實施例中」或「在一個實施例中」不一定指的是本發明的同一個實施例。更進一步,特定特徵、構造、材料、或是特性能以任何合適的方式結合於一或更多實施例中。可包含不同額外層及/或是構造,且/或在其他實施例中,所述特徵可忽略。
吾人對於利用氣體團簇離子集束(GCIB)調整一基板(包含多數基板)之特性有需求。舉例而言,在基板上之特性調整包含利用GCIB校正基板上之不一致性。此等不一致性包含基板上層之參數資料變異,例如與該上層或是形成於基板之上層內或是上層上的一或更多裝置有關之幾何、機械、電性、及/或是光學參數等變異。藉由校正將進入的基板之上層之不一致性及/或是處理工具本身固有的變異所造成之系統不一致性,不但可以提供可實行裝置之較佳良率,而且能夠得到更多可重複且恆定的處理。除了校正不一致性之外,調整基板上之特性包含在基板之上層中產生特定期望的變異。
如圖1所示,根據一實施例,描述用以於多數基板上實施特定位置處理之處理系統1。處理系統1包含用以獲取多數基板之量測資料之量測系統10,及利用GCIB處理多數基板中之一或更多基板之GCIB處理系統30。更進一步,處理系統1包含多重處理控制器20,其係用以自量測系統10接收量測資料;利用量測資料計算多數基板之各者之校正資料;當計算多數基板之各者之校正資料時,命令GCIB處理系統30利用氣體團簇離子集束(GCIB)施加已完成的校正資料於多數基板中之一給定基板。
根據另一實施例,繪於圖2之GCIB處理系統100包含真空腔102、基板支座150(欲處理之基板152固定於其上)、真空泵系統170A、170B、及170C。基板152可為半導體基板、晶圓、平面顯示器(FPD)、液晶顯示器(LCD)、或是其他任意工作片。GCIB處理系統100係用以產生處理基板152用之GCIB,以處理基板152。
參照圖2所示之GCIB處理系統100,真空腔102包含三個連通的腔室,也就是供應源腔室104、離子化/加速腔室106、及處理腔室108,以設置減壓的封閉室。此三個腔室分別藉由真空泵系統170A、170B、及170C排空至合適的操作壓力。在此三個連通的腔室中,在第一腔室中形成氣體團簇集束,在第二腔室中形成氣體團簇離子集束,且在其中被離子化及選擇性的加速,然後在第三腔室中,利用加速或未加速的氣體團簇離子集束來處理基板152。
在壓力下,儲存於第一氣體源112中之第一氣體組成111可被容許經由第一氣體控制閥113A進入一氣體計量閥113(或是複數個氣體計量閥113)。此外,在壓力下,儲存於第二氣體源112A之選用的第二氣體組成111A可被容許經由第二氣體控制閥113B進入氣體計量閥113(或是複數個氣體計量閥113)。根據一範例,第一氣體組成包含可壓縮的惰性氣體。例如,惰性氣體包含鈍氣,亦即He、Ne、Ar、Kr、Xe、或是Rn。此外,根據另一範例,第二氣體組成包含薄膜形成氣體組成、蝕刻氣體組成、清潔氣體組成、平滑化氣體組成等。更進一步,第一氣體源112及第二氣體源112A可以各自單獨使用或是互相合併使用,以產生包含氦、氖、氬、氪、氙、氮、氧、氫、甲烷、三氟化氮、二氧化碳、六氟化硫、一氧化氮、一氧化二氮、或是其中二或更多者之組合之離子化團簇。
包含第一氣體組成或是第二氣體組成或是二者皆有的高壓可壓縮的氣體經由氣體進給管114通入至滯留腔室116,且經由適當成形之噴嘴110射出到實質上為較低壓之真空。由於高壓膨脹以及可壓縮的氣體自滯留腔室116朝向供應源腔室104之較低壓區域移動,氣體速度加速至超音波/高超音波速度,且氣體噴出物118從噴嘴110射出。
噴出物之固有冷卻(即靜態焓)與由噴出物的膨脹造成的動能互換,使氣體噴出物118的一部分壓縮並形成各由數個到數千個微弱連結的原子或是分子組成的團簇。位於噴嘴110之出口下游並設置於供應源腔室104及離子化/加速腔室106之間之氣體分離器120,其乃將氣體噴出物118之周圍邊緣上的不會壓縮成團簇的氣體分子與形成團簇之氣體噴出物核心的氣體分子部分分 離。除了其他原因之外,氣體噴出物118之所選部分可降低下游區域(例如離子化機122及處理腔室108)之壓力,而在下游區域中高壓是有害的。更進一步,氣體分離器120界定了進入加速/離子化腔室106之氣體團簇離子集束之初始尺寸。
在供應源腔室104中形成氣體噴出物118之後,氣體噴出物118中之組成氣體團簇被離子化機122離子化以形成GCIB128。離子化機122包含從一或更多燈絲124產生電子之電子衝擊離子化機,該等電子被加速且定向,以碰撞離子化/加速腔室106之內的氣體噴出物118中之氣體團簇。在碰撞衝擊氣體團簇時,具有充足能量的電子從氣體團簇中之分子射出電子以產生離子化的分子。氣體團簇的離子化可造成一群帶電(通常是帶淨正電)的氣體團簇離子。
如圖2所示,集束電子設備(beam electronics)130係用於離子化、擷取、加速、及聚焦GCIB 128。集束電子設備130包含供給電壓VF 以加熱離子化機燈絲124的燈絲電源136。
此外,集束電子設備130包含離子化/加速腔室106中之用以自離子化機122擷取團簇離子之一組適當偏壓的高電壓電極126。高電壓電極126接著加速所擷取的團簇離子至所欲能量,且聚焦團簇離子以界定GCIB 128。GCIB 128中之團簇離子之動能的一般範圍是在大約1000電子伏特(1 keV)到數十keV。
如圖2所示,集束電子設備130更包含正極電源134,其供給電壓VA 予離子化機122之正極,以加速燈絲124發射之電子、並使該等電子衝擊氣體噴出物118中之氣體團簇,如此而產生團簇離子。
此外,如圖2所示,集束電子設備130包含擷取電源138,其供給電壓VE 以偏壓高電壓電極126其中至少之一者,俾自離子化機122之離子化區擷取離子且形成GCIB 128。舉例而言,擷取電源138供給電壓予高電壓電極126之第一電極,該電壓少於或是等於離子化機122之正極電壓。
更進一步,集束電子設備130包含加速電源140,其係針對離子化機122而供給電壓VACC 以偏壓高電壓電極126其中之一,俾以使GCIB總加速能量等於大約VACC 電壓伏特(eV)。舉例而言,加速電源140供給電壓予高電壓電極126之第二電極,該電壓少於或是等於離子化機122之正極電壓及第一電極之擷取電壓。
又更進一步,集束電子設備130包含透鏡電源142、144,其可利用位能(例如VL1 及VL2 )偏壓某些高電壓電極126以聚焦GCIB 128。舉例而言,透鏡電源142供給電壓予高電壓電極126之第三電極,該電壓少於或是等於離子化機122之正極電壓、第一電極之擷取電壓、及第二電極之加速電壓,透鏡電源144供給電壓予高電壓電極126之第四電極,該電壓少於或等於離子化機122之正極電壓、第一電極之擷取電壓、第二電極之加速電壓、及第三電極之第一透鏡電壓。離子化/加速腔室106中之集束過濾器146可用於消除來自GCIB 128之單體、或是單體及光團簇離子,以界定進入處理腔室108之濾過的處理GCIB 128A。
仍然參照圖2,集束閘148設置於離子化/加速腔室106之GCIB 128之路徑中。集束閘148具有開啟狀態及關閉狀態,開啟狀態時可容許GCIB 128從離子化/加速腔室106通過到達處理腔室108以界定處理GCIB 128A;在關閉狀態時,可阻擋GCIB 128進入處理腔室108。控制纜線從控制系統190傳送控制信號至集束閘148。控制信號可控地將集束閘148在開啟或是關閉狀態之間切換。
可為晶圓或是半導體晶圓、平面顯示器(FPD)、液晶顯示器(LCD)、或是其他欲由GCIB處理之基板的基板152係設置於處理腔室108之處理GCIB 128A之路徑中。因為大多數的應用考慮要以空間上一致的結果處理大基板,所欲者為使用掃描系統來一致的掃描處理GCIB 128A跨越大區域,以產生空間上同質的結果。
X-掃描促動器160提供以X-掃描移動方向(進出紙張平面)之基板支座150之線性移動。Y-掃描促動器162提供以Y-掃描移動164之方向(通常正交於X-掃描方向)之基板支座150之線性移動。X-掃描及Y-掃描移動的組合以類似光柵掃描移動的方式傳送基板支座150支撐的基板152通過處理GCIB 128A,俾使用以處理基板152之處理GCIB 128A一致地(或其他程式化的方式)照射基板152之表面。
基板支座150以相對於處理GCIB 128A之軸的一角度設置基板152,以使處理GCIB 128A具有相對於基板152表面之入射集束166之角度。入射集束166之角度可為90度或是其他角度,但是通常是90度或是接近90度。在Y-掃描期間,基板152及基板支座150從所示位置分別移動到由標記152A及150A指示的取代位置「A」。應注意者為,在兩個位置之間移動期間,基板152乃經由處理GCIB 128A掃描過,但在二末端位置則完全移出處理GCIB 128A之路徑(過掃描)。儘管未明確顯示於圖1中,會在(通常是)正交的X-掃描移動方向(進出紙張平面)實施類似的掃描及過掃描。
集束電流感測器180設置於基板支座150之外而位於處理GCIB 128A之路徑中,俾便基板支座150在處理GCIB 128A之路徑外被掃描時,截取處理GCIB 128A之樣本。集束電流感測器180一般為法拉第杯等,除了開放集束進入之外,其他時候關閉,且通常是利用電絕緣底座182固定於真空腔102之壁上。
如圖2所示,控制系統190透過電纜連接X-掃描促動器160及Y-掃描促動器162,並控制X-掃描促動器160及Y-掃描促動器162以將基板152放置進出處理GCIB 128A,並相對於處理GCIB 128A一致的掃描基板152而藉由處理GCIB 128A達到基板152之所欲處理。控制系統190以電纜接收由集束電流感測器180收集的取樣集束電流,藉此監控GCIB,並在已輸送預定的劑量時,藉由自處理GCIB 128A移除基板152來控制基板152接收之GCIB劑量。
在圖3所示之實施例中,GCIB處理系統200類似於圖2之實施例,且更包含X-Y定位台253,其以兩個軸來固定及移動基板252,從而使基板252相對於處理GCIB 128A移動並有效地被掃描。舉例而言,X-移動包含進出紙面平面的移動,Y-移動包含沿著方向264的移動。
處理GCIB 128A在基板252之一表面上的預定衝擊區域286衝擊基板252。藉由X-Y移動,X-Y定位台253可將基板252之表面之各部分放置於處理GCIB 128A之路徑中,使表面之每一區域均可利用處理GCIB 128A來碰撞預定衝擊區域286而進行處理。X-Y控制器262透過電纜供給用以控制各X-軸方向及Y-軸方向之位置及速度的電信號予X-Y定位台253。X-Y控制器262可通過電纜自控制系統190接收控制信號且受其操作。X-Y定位台253乃根據習知的X-Y台定位技術而以連續移動或是逐步移動的方式將基板252之不同區域定位於預定衝擊區域286中。在一實施例中,X-Y定位台253係由控制系統190可程式化地操作,並利用程式化的速度使處理GCIB 128A通過計畫衝擊區域286掃描基板252之任何部位以進行GCIB處理。
定位台253之基板支撐表面254是導電的,且係連接於由控制系統190操作之計量處理器。定位台253之電絕緣層255隔絕基板252及基板支撐表面254與定位台253之其他部位。利用衝擊處理GCIB 128A而在基板252中感應的電荷乃透過基板252及基板支撐表面254傳導,並透過定位台253耦合信號至用於計量量測之控制系統190。計量量測具有用以整合GCIB電流之整合裝置,以決定GCIB處理劑量。在特定情況之下,有時被稱作電子洪流之電子之中和目標供應源(未顯示)可用於中和處理GCIB 128A。在此種情況中,可以不考慮加入的電荷供應源,而利用法拉第杯(未顯示)確保準確的劑量。
在操作中,控制系統190通知開啟集束閘148,以利用處理GCIB 128A照射基板252。控制系統190監控基板252收集之GCIB電流之量測結果,以計算基板252接收到的累積劑量。當基板252接收的劑量達到預定劑量時,控制系統190關閉集束閘148,基板252之處理就完成。
或者,處理GCIB 128A能以固定圖案以及固定速度掃描整個基板252之表面,然而,可調變GCIB之強度(可稱為Z-軸調變)以輸送所欲之不一致劑量至樣本。可以藉由任何方法來調變GCIB處理系統200中之GCIB之強度,包含以下方法:改變自GCIB供給源產生之氣體流動;藉由改變燈絲電壓VF 或是改變正極電壓VA 來調變離子化機;藉由改變透鏡電壓VL1 及/或是VL2 調變透鏡焦點;或是以可變的集束屏障、可調整的葉片、或是可變的孔來機械性阻止氣體團簇離子集束之一部分。調變變異可以是連續的類比變異、或是時變的開關或是閘控(gating)。
處理腔室108可更包含一原位量測系統。舉例而言,原位量測系統包含一光學診斷系統,光學診斷系統具有一光發射器280及一光接收器282,其分別利用入射光信號284照射基板252,接著從基板252接收散射的光信號288。光學診斷系統包含容許通過入射光信號284及散射的光信號288進出處理腔室108之光學窗。更進一步,光發射器280及光接收器282分別包含發射光學儀器及接收光學儀器。光發射器280接收來自控制系統190之電信號並對其作出反應。光接收器282回傳量測信號至控制系統190。原位量測系統包含任何用以監控GCIB處理之進度的儀器。
控制系統190包含微處理器、記憶體、及數位I/O埠,其能產生足以通信、並啟動GCIB處理系統100(或是200)輸入之控制電壓,亦能監控GCIB處理系統100(或是200)之輸出。此外,控制系統190能耦合於以下元件並與其交換資訊:真空泵系統170A、170B、170C、第一氣體源112、第二氣體源112A、第一氣體控制閥113A、第二氣體控制閥113B、集束電子設備130、集束過濾器146、集束閘148、X-掃描促動器160、Y-掃描促動器 162、集束電流感測器180。舉例而言,儲存於記憶體中之程式可以根據處理配方,用於促動對GCIB處理系統100之上述元件之輸入,以在基板152(或是252)上實施GCIB處理。控制系統190之一範例為可由Dell公司(Austin,Texas)購得之DELL PRECESION WORKSTATION 610TM
基板152(或是252)可藉由例如機械夾箝系統或是電子夾箝系統(例如靜電夾箝系統)的夾箝系統(未顯示)固定於基板支座150(或是基板支座250)。更進一步,基板支座150(或是250)包含用以調整及/或是控制基板150(或是250)及基板支座152(或是252)之溫度的加熱系統(未顯示)或是冷卻系統(未顯示)。
真空泵系統170A、170B、170C包含泵速度高達大約每秒5000公升(及更高)的渦輪分子真空泵(TMP)、及用以調節腔室壓力之閘閥。在習知的真空處理裝置中,使用每秒1000公升到3000公升的TMP。TMP對於低壓處理(通常低於大約50 mTorr)有用。更進一步,可耦合用於監控腔室壓力之裝置(未顯示)於真空腔102或是三個真空腔室104、106、108其中任一。壓力量測裝置可為例如電容式壓力計或是離子量測計。
現在參照圖4,顯示用以離子化氣體團簇噴出物之氣體團簇離子化區段。該區段垂直於氣體團簇離子集束128之軸129。對於典型的氣體團簇尺寸(2000到15000個原子)而言,離開分離器孔(圖1及2中之120)並進入離子化機(圖1及2中之122)之團簇會帶著大約130到1000電子伏特(eV)的動能運行。在低能量時,離子化機122內若有任何一點偏離空間電中性,則會造成快速的噴出物散射並伴隨著集束電流顯著的流失。圖4顯示自我中性化離子化機。如其他離子化機,氣體團簇可由電子衝擊離子化。在此設計中,熱電子(由310標示的七個範例)係從多重線性熱電子燈絲(通常是鎢)302a、302b、302c射出,且由電子斥拒極電極306a、306b、306c、及集束形成電極304a、304b、304c 設置之合適的電場的作動而擷取及聚焦。熱電子310通過氣體團簇噴出物及噴出物軸,然後撞擊對向的集束形成電極304b,以產生低能量的二次電子(以312、314、316標示的範例)。
儘管為了簡化而未顯示,但線性熱電子燈絲302b及302c亦會產生熱電子,熱電子之後會造成低能量的二次電子。所有的二次電子皆是用來幫助確保離子化的團簇噴出物保持空間電中性,其係藉由提供保持空間電中性所需之被吸引進入正離子化氣體團簇噴出物之低能量電子。集束形成電極304a、304b、304c相對於線性熱電子燈絲302a、302b、302c而正偏壓,電子斥拒極電極306a、306b、306c相對於線性熱電子燈絲302a、302b、302c而負偏壓。絕緣體308a、308b、308c、308d、308e、308f電絕緣並支撐電極304a、304b、304c、306a、306b、306c。舉例而言,此自我中性化離子化機有效且能達成超過1000微安培的氬GCIB。
再次參照圖1,量測系統10係用以獲取多數基板之量測資料。量測系統10包含異位量測系統或是原位量測系統。例如,量測系統可位於與GCIB處理系統30(圖2或3中之100或200)不同位置之處,如此則表示量測設備係位於真空腔(圖2及3中之標記102)之外且與GCIB處理系統30(圖2或3中之100或200)分開。或者,舉例而言,量測系統10可位於原來位置,如此則表示包含於真空腔(圖2及3中之標記102)之內,容許GCIB處理系統100(或是200)之真空內量測。例如,量測系統10包含圖3所示之光學診斷系統。又或者,量測系統10可位於真空腔(圖2及3中之標記102)之外的原來位置,但仍視為GCIB處理系統100(或是200)之一部分。
量測系統10包含任何一種基板診斷系統,包含但不限於:光學診斷系統、X-光螢光光譜系統、四點探測系統、發射電子顯微鏡(TEM)、原子力顯微鏡(AFM)、掃描電子顯微鏡(SEM)等。此外,量測系統10包含光學數位輪廓量測計(ODP)、散射計、橢圓對稱量測計、反射計、干涉計、或是其中二或更多者之組合。
舉例而言,量測系統10可以組成光學散射計系統。散射計系統包含結合集束輪廓橢圓對稱量測(橢圓計)及集束輪廓反射量測(反射計)之散射計,其可由Therma-Wave公司(1250 Reliance Way,Fremont,CA 94539)或是Nanometrics公司(1550 Buckeye Drive,Milpitas,CA 95035)購得。此外,舉例而言,原位量測系統包含集成的光學數位輪廓量測(iODP)散射計模組,其係用以量測基板上之量測資料。
更進一步,仍然參照圖1,多重處理控制器20係用以自量測系統10接收量測資料;利用量測資料計算多數基板之各者之校正資料;當計算多數基板之各者之校正資料時,命令GCIB處理系統30利用氣體團簇離子集束(GCIB)施加完成的校正資料於多數基板中之一給定基板。
多重處理控制器20包含微處理器、記憶體、及數位I/O埠,其能產生足以通信、並啟動GCIB處理系統30(圖2或3中之100或200)輸入之控制電壓,亦能監控GCIB處理系統30(圖2或3中之100或200)之輸出。此外,多重處理控制器20能耦合於量測系統10及GCIB處理系統30,並與其交換資訊。
多重處理控制器20可實現成一般用途之電腦系統,此電腦系統乃實施以本發明之處理步驟為本之微處理器之一部分或是全部,以回應執行包含於記憶體中之一或更多指令之一或多個序列的處理器。此種指令可從另一個電腦可讀媒體(例如硬碟或是可移除的磁碟機)讀入至控制器記憶體。亦可使用多重處理配置中之一或更多處理器作為用以執行包含於主記憶體中之一或更多指令序列的控制器微處理器。在替換性實施例中,可以使用硬佈線電路取代軟體指令或是結合於軟體指令。因此,實施例並不侷限於硬體電路及軟體的任何特定組合。
如上述,多重處理控制器20可用於組成任意數目的處理元件,且多重處理控制器20可以收集、提供、處理、儲存及展示處理元件之資料。多重處理控制器20包含數種功用及用於控制一或更多處理元件之數種控制器。例如,多重處理控制器20包含圖形化使用者介面(GUI)元件(未顯示),其提供使用者監控及/或是控制一或更多處理元件之介面。
多重處理控制器20可位於相對於GCIB處理系統30(圖2或3中之100或是200)之近端,或是可位於相對於GCIB處理系統30(圖2或3中之100或是200)之遠端。例如,多重處理控制器20可以利用直接連接、企業內部網路、及/或是網際網路而與GCIB處理系統30(圖2或3中之100或是200)交換資料。多重處理控制器20可在例如客戶端(亦即裝置製造商等)而耦合於企業內部網路、或是可以於供應商端(亦即設備製造商)耦合至企業內部網路。或者或是此外,多重處理控制器20可以耦合於網際網路。更進一步,另一電腦(亦即控制器、伺服器等)可通過直接連接、企業內部網路、及/或是網際網路存取多重處理控制器20,以交換資料。
現在參照圖6,描述於多數基板上之特定位置處理之方法。此方法包含以獲取多數基板之量測資料之510作為開始的流程圖500。
量測資料包含參數資料,例如有關於上層或是形成於基板之上層內或是上層上的一或更多裝置之幾何參數、機械參數、電性參數及/或是光學參數。例如,量測資料包含由上述之量測系統所量測的任一參數。此外,舉例而言,量測資料包含薄膜厚度、表面粗糙度、表面污染、特徵部深度、渠溝深度、通孔深度、特徵部寬度、渠溝寬度、通孔寬度、臨界尺寸(CD)、電阻、或是其中二或更多者之任意組合。更進一步,量測資料包含一或更多表面聲波(SAW)裝置之一或更多可量測參數,例如SAW頻率。
根據一範例,圖5顯示具有一上層之晶圓的薄膜厚度圖,此晶圓之上層包含被分光橢圓對稱計量測之薄膜或是層,分光橢圓對稱計使用商業上可獲得的模型:KLA-Tencor公司製造的UV-128SE薄膜量測儀器。從圖5中可明顯看出,基板上之薄膜之厚度可對映為位置函數。
例如,基板之上膜層之初始厚度不一致性之量測的特徵在於:由分光橢圓對稱計或是其他適合的習知技術所量測出之的GCIB處理系統之異位。此種技術可以產生簡化成厚度輪廓(或相似)的逐點膜厚度圖,如圖5所示。相似的,分光橢圓對稱計之原位一致性對映儀器或是其他適合的習知膜厚度對映技術可以結合於GCIB處理系統中(圖2或3中之100或是200),以確認輪廓處理。在任一情況中,不一致性量測結果可由標準電腦儲存成具有精確基板位置之連續厚度點。膜量測方法(例如分光橢圓對稱計)僅係用於對映頂膜層之厚度,而無關於基板厚度、下方膜厚度、或是表面平坦度之變異。
如圖5所示,量測資料係於基板上之二或更多位置量測。此外,此項多數基板的資料可被獲取及被收集。多數基板包含例如一盒基板。量測資料係於多數基板其中至少之一者之二或更多位置量測,且可以例如在多數基板之各者之多數位置上獲取。之後,各多數基板之多數位置可由資料擬合演算法從量測位置擴充成未量測的位置。舉例而言,資料擬合演算法包含(線性或是非線性的)內插法及(線性或是非線性的)外插法或其組合。
一經使用量測系統10收集多數基板之量測資料,則將量測資料供給予多重處理控制器20以計算校正資料。可在量測系統10與多重處理控制器20之間經由實體連接(例如纜線)、或是無線連接或是其組合來傳達量測資料。此外,量測資料可經由企業內部網路或是網際網路連接來傳達。或者,可在量測系統10與多重處理控制器20之間經由電腦可讀媒體來傳達量測資料。
在520中,利用第一基板之量測資料計算第一基板之校正資料。給定基板之校正資料包含調變GCIB劑量成為基板上之位置函數之處理條件,以達成有關於將進入之量測資料之參數資料與給定基板之目標參數資料之間的變化。舉例而言,給定基板之校正資料包含判定使用GCIB來校正給定基板之參數資料之不一致性的處理條件。或者,舉例而言,給定基板之校正資料包含判定使用GCIB產生給定基板之參數資料之特定期望的不一致性的處理條件。
使用參數資料之所欲改變與GCIB劑量之間的已建立關係、及GCIB劑量與具有一組GCIB處理參數之GCIB處理條件之間的已建立關係,多重處理控制器20決定多數基板中之各基板之校正資料。舉例而言,可以使用數學演算法來取得有關於進入的量測資料的參數資料;計算進入的參數資料與目標參數資料之間的差量;顛倒GCIB處理圖案(亦即蝕刻圖案或是沉積圖案或二者皆是),以擬合此差量;並利用參數資料與GCIB劑量之間變量的關係產生達成GCIB處理圖案之集束劑量輪廓。之後,舉例而言,GCIB處理參數便可決定以利用集束劑量與GCIB處理條件之間的關係來影響所計算的集束劑量輪廓。GCIB處理參數包含集束劑量、集束區域、集束輪廓、集束強度、集束掃描速度、或是暴露時間(或是集束停留時間)或其中二或更多者之組合。
在此實施例中,可成功的使用許多不同的數學演算法。在另一實施例中,為了要達成參數資料的所欲變化,集束劑量輪廓可以選擇性的沉積額外的材料。
在520中,利用第二基板之量測資料計算第二基板之校正資料。
在530中,計算第二基板之校正資料時,利用氣體團簇離子集束(GCIB)施加計算過的第一基板之校正資料於第一基板。當校正資料可用時,便可利用GCIB處理將校正資料施加於各基板,而不是在開始GCIB處理之前先計算所有多數基板之校正資料。因為計算校正資料十分耗時,所以如此做可以顯著的增加多數基板之處理量。此外,舉例而言,GCIB處理系統可包含圖2或3所述之GCIB處理系統。一但依照GCIB之規格處理後,則可以原位或是異位檢驗基板之一致性或是基板之參數資料之分佈,並可恰當地完成或是精化處理。
儘管已於以上詳細說明本發明之特定實施例,熟知本技藝者當可知,在不實質上脫離本發明之新穎教示及優點的範圍中,可實現許多改良。因此,所有改良皆應包含於本發明之範圍之內。
1...處理系統
10...量測系統
20...多重處理控制器
30GCIB...處理系統
100GCIB...處理系統
102...真空腔
104...供應源腔室
106...離子化/加速腔室
108...處理腔室
110...噴嘴
111...第一氣體組成
111A...第二氣體組成
112...第一氣體源
112A‧‧‧第二氣體源
113‧‧‧閥
113A‧‧‧第一氣體控制閥
113B‧‧‧第二氣體控制閥
114‧‧‧氣體進給管
116‧‧‧滯留腔室
118‧‧‧氣體噴出物
120‧‧‧氣體分離器
122‧‧‧離子化機
124‧‧‧離子化機燈絲
126‧‧‧高電壓電極
128‧‧‧GCIB
128A‧‧‧處理GCIB
129‧‧‧軸
130‧‧‧集束電子設備
134‧‧‧正極電源
136‧‧‧燈絲電源
138‧‧‧擷取電源
140‧‧‧加速電源
142‧‧‧透鏡電源
144‧‧‧透鏡電源
146‧‧‧集束過濾器
148‧‧‧集束閘
150‧‧‧基板支座
150A‧‧‧標記
152‧‧‧基板
152A‧‧‧標記
160‧‧‧X-掃描促動器
162‧‧‧Y-掃描促動器
170A‧‧‧真空泵系統
170B‧‧‧真空泵系統
170C‧‧‧真空泵系統
180‧‧‧集束電流感測器
182‧‧‧電絕緣底座
190‧‧‧控制系統
200‧‧‧GCIB處理系統
250‧‧‧基板支座
252‧‧‧基板
253‧‧‧X-Y定位台
254‧‧‧基板支撐表面
255‧‧‧電絕緣層
262‧‧‧X-Y控制器
264‧‧‧方向
266‧‧‧入射集束
280‧‧‧光發射器
282‧‧‧光接收器
284‧‧‧入射光信號
286‧‧‧計畫衝擊區域
288‧‧‧散射的光信號
302a‧‧‧熱電子燈絲
302b‧‧‧熱電子燈絲
302c‧‧‧熱電子燈絲
304a‧‧‧集束形成電極
304b‧‧‧集束形成電極
304c‧‧‧集束形成電極
306a‧‧‧電子斥拒極電極
306b‧‧‧電子斥拒極電極
306c‧‧‧電子斥拒極電極
308a‧‧‧絕緣體
308b‧‧‧絕緣體
308c‧‧‧絕緣體
308d‧‧‧絕緣體
308e‧‧‧絕緣體
308f‧‧‧絕緣體
312‧‧‧低能量二次電子
314‧‧‧低能量二次電子
316‧‧‧低能量二次電子
在附圖中:
圖1為顯示根據一實施例之處理系統之方塊圖;
圖2為顯示一GCIB處理系統之概略圖;
圖3為顯示另一GCIB處理系統之概略圖;
圖4為顯示GCIB處理系統之離子化源之橫剖面圖;
圖5為顯示基板之校正資料之概略圖;及
圖6為根據一實施例,顯示處理基板之流程圖。
500...流程圖
510...獲取多數基板之量測資料
520...利用量測資料計算多數基板之各基板之校正資料
530...在計算校正資料時,利用GCIB處理施加已完成的校正資料於給定的基板

Claims (20)

  1. 一種多數基板上之特定位置處理之方法,包含如下步驟:多數基板量測資料獲取步驟,獲取多數基板之量測資料;第一基板校正資料計算步驟,利用該量測資料計算一第一基板之校正資料;第二基板校正資料計算步驟,利用該量測資料計算一第二基板之校正資料;及施加校正資料於第一基板的步驟,當計算該第二基板之該校正資料時,利用一氣體團簇離子集束(GCIB)施加該第一基板之該校正資料於該第一基板。
  2. 如申請專利範圍第1項之多數基板上之特定位置處理之方法,其中該多數基板中之每一者之該量測資料包含一薄膜厚度、一表面粗糙度、一表面污染、一特徵部深度、一渠溝深度、一通孔深度、一特徵部寬度、一渠溝寬度、一通孔寬度、一臨界尺寸(CD)、一電阻、或是其中二或更多者之任意組合。
  3. 如申請專利範圍第1項之多數基板上之特定位置處理之方法,其中該多數基板中之每一者之該量測資料包含一或更多個表面聲波(SAW)裝置之一或更多個可量測參數。
  4. 如申請專利範圍第1項之多數基板上之特定位置處理之方法,其中該量測資料係於該多數基板其中至少一者之二個或更多位置上施行量測。
  5. 如申請專利範圍第1項之多數基板上之特定位置處理之方法,其中該量測資料包含在該多數基板中之每一者上之多數位置之量測結果。
  6. 如申請專利範圍第5項之多數基板上之特定位置處理之方法,更包含:為了要擴充該量測資料以包含該多數基板中之每一者上之一或更多未量測的位置,在該多數基板中之每一者上之該多數位置的量測結果之該量測資料上,應用一擬合演算法。
  7. 如申請專利範圍第6項之多數基板上之特定位置處理之方法,其中應用該擬合演算法的步驟包含應用內插法或是外插法或是二者皆採用。
  8. 如申請專利範圍第1項之多數基板上之特定位置處理之方法,其中該第一基板校正資料計算步驟包含判定一第一處理條件,以利用該GCIB校正該第一基板之該量測資料之一不一致性。
  9. 如申請專利範圍第1項之多數基板上之特定位置處理之方法,其中該第一基板校正資料計算步驟包含判定一第一處理條件,以利用該GCIB產生該第一基板之該量測資料之一特定期望的不一致性。
  10. 如申請專利範圍第1項之多數基板上之特定位置處理之方法,其中利用該GCIB的步驟包含利用具有離子化團簇之一GCIB,該離子化團簇包含氦、氛、氬、氪、氙、氮、氧、二氧化碳、六氟化硫、一氧化氮、一氧化二氮、或是其中二者或更多者之組合。
  11. 如申請專利範圍第1項之多數基板上之特定位置處理之方法,其中該施加校正資料於第一基板的步驟包含利用該GCIB及改變一集束劑量、一集束區域、一集束輪廓、一集束強度、一集束掃描速度、一暴露時間、或是其中二或更多者之組合。
  12. 如申請專利範圍第1項之多數基板上之特定位置處理之方法,其中該多數基板量測資料獲取步驟包含利用一原位量測系統或是一異位量測系統來量測該多數基板之該量測資料。
  13. 如申請專利範圍第1項之多數基板上之特定位置處理之方法,其中該多數基板量測資料獲取步驟包含利用一光學數位輪廓量測計(ODP)、一散射計、一橢圓偏光計、一反射計、一干涉計、一X-光螢光光譜工具、SEM、TEM、AFM、一四點探針、或是其中二或更多者之組合來量測該多數基板之量測資料。
  14. 一種處理系統,其係於多數基板上實施特定位置處理,包含:一量測系統,用以獲取該多數基板之量測資料;一氣體團簇離子集束(GCIB)處理系統,利用一GCIB處理一或多個該多數基板;及一多重處理控制器,用以:自該量測系統接收該量測資料,使用該量測資料以計算該多數基板中之每一者之校正資料,及當計算該多數基板中之每一者之該校正資料時,命令該GCIB處理系統利用一GCIB施加完成的校正資料於該多數基板中之一給定基板。
  15. 如申請專利範圍第14項之處理系統,其中該量測系統包含一光學數位輪廓量測計(ODP)、一散射計、一橢圓偏光計、一反射計、一干涉計、一X-光螢光光譜工具、SEM、TEM、AFM、一四點探針、或是其中二或更多者之組合。
  16. 如申請專利範圍第14項之處理系統,其中該GCIB處理系統包含一真空腔、一供應源、及一基板支座,該供應源係用以在該真空腔內產生一GCIB,該基板支座係在該真空腔中支撐該給定基板。
  17. 如申請專利範圍第16項之處理系統,其中該基板支座係用以相對於該GCIB調整該給定基板之位置。
  18. 如申請專利範圍第16項之處理系統,其中該供應源為一惰性供應源或是一反應性供應源。
  19. 一種多數基板上之特定位置處理之方法,包含以下步驟:獲取該多數基板之量測資料;利用該量測資料計算該多數基板中之每一者之校正資料;及當計算該多數基板中之每一者之該校正資料時,利用一氣體團簇離子集束(GCIB)施加完成的校正資料於該多數基板之一給定基板。
  20. 一種電腦可讀媒體,包含於一GCIB處理系統上執行之程式指令,當該程式指令由該GCIB處理系統執行時,使該GCIB處理系統實施以下步驟:獲取多數基板之量測資料;利用該量測資料計算該多數基板之校正資料;及當計算該多數基板中之每一者之每一者之該校正資料時,利用一氣體團簇離子集束(GCIB)施加完成的校正資料於該多數基板之一給定基板。
TW097129284A 2007-08-01 2008-08-01 多數基板之特定位置處理時增大處理量的方法、系統及電腦可讀媒體 TWI401722B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/832,198 US7917241B2 (en) 2007-08-01 2007-08-01 Method and system for increasing throughput during location specific processing of a plurality of substrates

Publications (2)

Publication Number Publication Date
TW200931475A TW200931475A (en) 2009-07-16
TWI401722B true TWI401722B (zh) 2013-07-11

Family

ID=39884554

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097129284A TWI401722B (zh) 2007-08-01 2008-08-01 多數基板之特定位置處理時增大處理量的方法、系統及電腦可讀媒體

Country Status (3)

Country Link
US (1) US7917241B2 (zh)
TW (1) TWI401722B (zh)
WO (1) WO2009018244A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7626183B2 (en) * 2007-09-05 2009-12-01 Tel Epion Inc. Methods for modifying features of a workpiece using a gas cluster ion beam
US8293126B2 (en) * 2007-09-28 2012-10-23 Tel Epion Inc. Method and system for multi-pass correction of substrate defects
US8298432B2 (en) * 2007-09-28 2012-10-30 Tel Epion Inc. Method and system for adjusting beam dimension for high-gradient location specific processing
US9039907B2 (en) * 2011-07-20 2015-05-26 Imec Methods for improving integrated photonic device uniformity
FR2981450B1 (fr) * 2011-10-17 2014-06-06 Eads Europ Aeronautic Defence Systeme et procede de controle de la qualite d'un objet
KR102257901B1 (ko) * 2014-09-19 2021-05-31 삼성전자주식회사 반도체 검사 장비 및 이를 이용한 반도체 소자의 검사 방법
JP6545053B2 (ja) * 2015-03-30 2019-07-17 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
US11317500B2 (en) 2017-08-30 2022-04-26 Kla-Tencor Corporation Bright and clean x-ray source for x-ray based metrology
US20230238267A1 (en) * 2022-01-26 2023-07-27 Applied Materials, Inc. Methods for electrostatic chuck ceramic surfacing

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US6416820B1 (en) * 1999-11-19 2002-07-09 Epion Corporation Method for forming carbonaceous hard film
US20030049376A1 (en) * 2001-06-19 2003-03-13 Applied Materials, Inc. Feedback control of sub-atmospheric chemical vapor deposition processes
US6537606B2 (en) * 2000-07-10 2003-03-25 Epion Corporation System and method for improving thin films by gas cluster ion beam processing
US6750460B2 (en) * 2000-05-02 2004-06-15 Epion Corporation System and method for adjusting the properties of a device by GCIB processing

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4361762A (en) * 1980-07-30 1982-11-30 Rca Corporation Apparatus and method for neutralizing the beam in an ion implanter
JPS62296357A (ja) 1986-06-16 1987-12-23 Fujitsu Ltd イオン注入装置の電荷中和装置
US4916311A (en) * 1987-03-12 1990-04-10 Mitsubishi Denki Kabushiki Kaisha Ion beaming irradiating apparatus including ion neutralizer
US4886971A (en) * 1987-03-13 1989-12-12 Mitsubishi Denki Kabushiki Kaisha Ion beam irradiating apparatus including ion neutralizer
JP3469422B2 (ja) * 1996-02-23 2003-11-25 株式会社東芝 荷電ビーム描画方法及び描画装置
US6560504B1 (en) * 1999-09-29 2003-05-06 Advanced Micro Devices, Inc. Use of contamination-free manufacturing data in fault detection and classification as well as in run-to-run control
JP4168381B2 (ja) * 2000-12-26 2008-10-22 ティーイーエル エピオン インク. ガスクラスターイオンビームのための充電制御および線量測定システム

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US6416820B1 (en) * 1999-11-19 2002-07-09 Epion Corporation Method for forming carbonaceous hard film
US6750460B2 (en) * 2000-05-02 2004-06-15 Epion Corporation System and method for adjusting the properties of a device by GCIB processing
US6537606B2 (en) * 2000-07-10 2003-03-25 Epion Corporation System and method for improving thin films by gas cluster ion beam processing
US20030049376A1 (en) * 2001-06-19 2003-03-13 Applied Materials, Inc. Feedback control of sub-atmospheric chemical vapor deposition processes

Also Published As

Publication number Publication date
TW200931475A (en) 2009-07-16
US20090037015A1 (en) 2009-02-05
WO2009018244A1 (en) 2009-02-05
US7917241B2 (en) 2011-03-29

Similar Documents

Publication Publication Date Title
TWI401722B (zh) 多數基板之特定位置處理時增大處理量的方法、系統及電腦可讀媒體
US8691103B2 (en) Surface profile adjustment using gas cluster ion beam processing
US8293126B2 (en) Method and system for multi-pass correction of substrate defects
TWI408701B (zh) 利用氣體團簇離子束修正工作件之特徵部的方法
US8237136B2 (en) Method and system for tilting a substrate during gas cluster ion beam processing
US7550749B2 (en) Methods and processing systems for using a gas cluster ion beam to offset systematic non-uniformities in workpieces processed in a process tool
US8048788B2 (en) Method for treating non-planar structures using gas cluster ion beam processing
CN107112186B (zh) 用于基片的射束处理的过程气体增强
TW201327666A (zh) 用以達成多種材料之目標蝕刻處理指標的氣體團簇離子束蝕刻方法
US8728947B2 (en) Gas cluster ion beam process for opening conformal layer in a high aspect ratio contact via
KR101631319B1 (ko) 자기 바이어스 능동 부하 회로 및, 하전 입자 빔 처리 시스템용 관련된 전원 장치
WO2008121628A1 (en) Apparatus and methods for systematic non-uniformity correction using a gas cluster ion beam
US8298432B2 (en) Method and system for adjusting beam dimension for high-gradient location specific processing
US8722542B2 (en) Gas cluster ion beam process for opening conformal layer in a high aspect ratio contact via
JP7013539B2 (ja) ロケーションスペシフィック処理における予測システム誤差補正を実装する装置及び方法
TWI524420B (zh) 利用氣體團簇離子束進行薄膜成長之方法與系統
US20160222521A1 (en) Sidewall spacer patterning method using gas cluster ion beam
US20160322266A1 (en) Method of surface profile correction using gas cluster ion beam
CN112151356A (zh) 一种气体团簇离子束处理工艺中进行表面轮廓调整的方法
CN112176304A (zh) 一种用气体团簇离子束生长薄膜的方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees