TWI397108B - 可顯影之抗反射塗層的雙重圖形化方法 - Google Patents

可顯影之抗反射塗層的雙重圖形化方法 Download PDF

Info

Publication number
TWI397108B
TWI397108B TW096133828A TW96133828A TWI397108B TW I397108 B TWI397108 B TW I397108B TW 096133828 A TW096133828 A TW 096133828A TW 96133828 A TW96133828 A TW 96133828A TW I397108 B TWI397108 B TW I397108B
Authority
TW
Taiwan
Prior art keywords
layer
film
photoresist
photoresist layer
developable
Prior art date
Application number
TW096133828A
Other languages
English (en)
Other versions
TW200816275A (en
Inventor
Shannon W Dunn
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200816275A publication Critical patent/TW200816275A/zh
Application granted granted Critical
Publication of TWI397108B publication Critical patent/TWI397108B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Structural Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Architecture (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)

Description

可顯影之抗反射塗層的雙重圖形化方法 【交叉參考之相關申請案】
本申請案係關於同在審查中的美國專利申請案號11/534,261,案名「METHOD FOR DOUBLE IMAGING A DEVELOPABLE ANTIREFLECTIVE COATING」,事務所案號TTCA-157,提申於同日;同在審查中的美國專利申請案號11/XXX,XXX,案名「METHOD OF PATTERNING AN ANTI-REFLECTIVE COATING BY PARTIAL ETCHING」,事務所案號TTCA-159,提申於同日;同在審查中的美國專利申請案號11/XXX,XXX,案名「METHOD OF PATTERNING A DEVELOPABLE ANTI-REFLECTIVE COATING BY PARTIAL DEVELOPING」,事務所案號TTCA-160,提申於同日;及同在審查中的美國專利申請案號11/XXX,XXX,案名「METHOD FOR DOUBLE PATTERNING A THIN FILM」,事務所案號TTCA-161,提申於同日。此等申請案的內容引入於此作為參考。
本發明係關於一種在基板上雙重圖形化薄膜的方法,且尤其是使用可顯影的抗反射塗層(ARC,anti-reflective coating)在基板上雙重圖形化薄膜的方法。
在材料處理方法中,圖形蝕刻包括運用光敏材料(例如光阻)薄層到基板之上表面,該基板接著進行圖形化以提供用以在蝕刻過程中移轉此圖形至基板上之下層薄膜之光罩。光敏材料的圖形化通常牽涉到使用例如光微影系統而藉由照射源透過光敏材料的初縮遮罩(及相關的光學器件)之曝光,接著使用顯影溶劑以移除光敏材料之照射區域(如同在正光阻的情形中)或非照射區域(如同在負光阻的情形中)。而且,此光罩層可包含數個子層。
在最近,已採用一種雙重圖形化方法,以容許以比利用標準微影技術之現行可能間距更小之間距(pitch)將較小特徵部圖形化。一種用以減少特徵部大小之方法為在同一基板上使用標準微影圖形及蝕刻技術兩次,藉此形成彼此間隔狹窄之較大圖形,以達成較單一曝光所可能得到者更小的特徵部尺寸。在雙重圖形化過程中,使基板曝光至第一圖形,在光敏材料中顯影第一圖形,使用蝕刻處理將形成於光敏材料中的第一圖形移轉到下方層,接著針對第二圖形而重複這一連串步驟。
本發明係一種基板上之薄膜的雙重圖形化方法。
依據一實施例敘述一種使用可顯影的抗反射塗層(ARC,anti-reflective coating)以雙重圖形化薄膜之方法,其中省略了用以移轉一或更多圖形至可顯影的ARC層之一或更多乾式蝕刻步驟。
依據另一實施例,敘述一種在基板上雙重圖形化一薄膜及一種電腦可讀媒體用以雙重圖形化之方法,包括:製備一膜疊層於該基板上,該膜疊層包括該薄膜形成於該基板上,一可顯影的抗反射塗層(ARC)層形成於該薄膜上及一第一光阻層形成於該可顯影的ARC層上;依照一第一影像圖形圖形化該第一光阻層及該可顯影的ARC層;顯影該第一光阻層及該可顯影的ARC層以形成該第一影像圖形於該可顯影的ARC層;移除該第一光阻層;形成一第二光阻層於該可顯影的ARC層上;依照一第二影像圖形圖形化該第二光阻層及該可顯影的ARC層;及顯影該第二光阻層及該可顯影的ARC層以形成該第二影像圖形於該可顯影的ARC層。
在下列敘述中,係為解釋而非限制目的說明特定細節,例如特定的處理及圖形化系統。然而,應了解本發明可於其他部分特定細節不同之實施例中實施。
如同前述,已利用雙重圖形化微影技術,以容許以比現今利用標準顯影技術所可能得到之間距更小的間距將較小特徵部圖形化。今參照圖式,其中相同參考數字在數個圖式中自始至終指定相同或對應零件,圖1A到1J圖示說明依據先前技術之基板雙重圖形化的方法。如圖1A所示,微影構造100包括形成於基板110上之膜疊層。膜疊層包括形成於基板110上之薄膜120(例如為介電層)、形成於薄膜120上之有機平面化層(OPL,organic planarization layer)130、形成於OPL130上之抗反射塗層(ARC,antireflective coating)140、及形成於ARC層140上之光阻層150。
如圖1B所示,使用光微影系統以將光阻150曝光到第一影像圖形152,之後,在圖1C中,第一影像圖形152在顯影溶劑中被顯影,以在光阻層150中形成第一圖形154。利用乾式蝕刻處理,將光阻層150中的第一圖形154移轉到在下方的ARC層140,以形成如圖1D所示之第一ARC圖形142。
在此,如圖1E所示,移除光阻層150,將第二光阻層160加到ARC層140上。使用光微影系統,將第二光阻層160曝光至第二影像圖形162,如圖1F所示。之後在圖1G中,第二影像圖形162在顯影溶劑中被顯影以在第二光阻層160中形成第二圖形164。第二光阻層160中的第二圖形164利用蝕刻處理被移轉到下方的ARC層140,以形成如圖1H所示之第二ARC圖形144。
如圖1I及1J分別所示,使用一或多個蝕刻處理以移除第二光阻層160,第一ARC圖形142及第二ARC圖形144被移轉到下方的OPL 130及薄膜120,以形成第一特徵部圖形122及第二特徵部圖形124。然而,運用這樣的步驟以將薄膜120雙重圖形化是相當花費成本的。舉例來說,基板必須在軌道與微影系統及乾式蝕刻系統之間循環兩次,因此加倍處理時間而減半基板產量。
因此,圖2A至2F及圖3說明一種依據本發明實施例之基板雙重圖形化方法,此方法說明於流程圖500中。開始510為形成微影構造200,其包括形成於基板210上之膜疊層。膜疊層包括形成於基板210上之薄膜220、形成於薄膜220上之選用有機平面化層(OPL)230、形成於選用OPL 230上(或薄膜220上,若無OPL 230的情形)之可顯影的抗反射塗層(ARC)層240、及形成於可顯影的ARC層240上之第一光阻層250。雖然所顯示之情形為膜疊層直接形成於基板210之上,但在膜疊層及基板210之間亦可能存在額外層。舉例而言,在半導體裝置中,膜疊層可輔助一互連線等級之形成,而此互連線等級可形成於基板210上之另一互連線等級上方。另外,薄膜220可包括一單一材料層或複數材料層。舉例來說,薄膜220可包含具有罩蓋層的塊狀材料層。
薄膜220可包含導電層、非導電層、或半導電層。舉例來說,薄膜220可包括含有以下物質之材料層:金屬、金屬氧化物、金屬氮化物、金屬氮氧化物、金屬矽酸鹽、金屬矽化物、矽、多晶矽(聚合矽)、雜摻矽、二氧化矽、氮化矽、碳化矽、氮氧化矽等等。另外,舉例來說,薄膜220可包含低介電常數(也就是low-k)或超低介電常數(也就是ultra-low-k)介電層,其具有低於二氧化矽介電常數的名義介電常數值,二氧化矽介電常數大約為4(例如對於熱二氧化矽範圍可以從3.8到3.9)。更精確地說,薄膜220可具有3.7或更低的介電常數--例如從1.6到3.7的介電常數範圍。
這些介電層可包括有機、無機至少其中之一,或無機-有機混合材料;另外,這些介電層可為有孔或無孔。舉例來說,這些介電層包括使用CVD技術以沈積無機、矽酸鹽基材料--例如碳摻雜氧化矽或有機矽氧烷。這些膜的例子包括Applied Material,Inc.市售的Black DiamondCVD有機矽玻璃(OSG,organosilicate glass)膜,或Novellus systems,Inc.市售的CoralCVD膜。還有,這些介電層可包括由單相組成之多孔性無機有機混合膜,例如具有可在硬化或沈積過程中阻止膜的完全緻密化以產生小孔(或孔隙)之CH3 鍵的氧化矽基基質;或者,這些介電層可包括至少雙相所組成之多孔性無機-有機混合膜,例如具有會在硬化處理過程中分解及蒸發之有機材料(例如致孔劑)之孔隙的碳摻雜氧化矽基基質;或者,這些介電層可包括使用旋塗式介電(SOD,spin-on dielectric)技術所沈積之無機、矽酸鹽基材料(例如氫倍半矽氧烷(HSQ,hydrogen silsesquioxane)或甲基倍半矽氧烷(MSQ,methyl silsesquioxane))。此類薄膜的例子包括Dow Corning市售的FOxHSQ,Dow Corning市售的XLK多孔性HSQ及JSR Microelectronics市售的JSR LKD-5109;或者,這些介電層可包含使用SOD技術所沈積之有機材料。此類薄膜的例子包括Dow Chemical市售的SiLK-I、SiLK-J、SiLK-H、SiLK-D及多孔性SiLK半導體介電樹脂及Honeywell市售的GX-3TM 及GX-3PTM 半導體介電樹脂。
形成薄膜220可使用氣相沈積技術,例如化學氣相沈積(CVD,chemical vapor deposition)、電漿增強CVD(PECVD,plasma enhanced CVD)、原子層沈積(ALD,atomic layer deposition)、電漿增強ALD(PEALD,plasma enhanced ALD)、物理蒸氣沈積(PVD,physical vapor deposition)、或離子化PVD(iPVD,ionized PVD)、或旋塗式技術,例如Tokyo Electron Limited(TEL)之市售的清潔軌道ACT 8 SOD(旋塗式介電),ACT 12 SOD及Lithius塗層系統。清潔軌道ACT 8(200mm)、ACT 12(300mm)及Lithius(300mm)塗層系統提供SOD材料用的塗佈,烘烤及硬化工具。軌道系統可設計用來處理大小為100mm、200mm、300mm及更大的基板。熟悉旋塗式技術及氣相沈積技術兩項技術者已熟知在基板上形成薄膜的其他系統及方法。
選用的OPL 230可包括光敏有機聚合物或蝕刻型有機化合物。舉例來說,光敏有機聚合物可為聚丙烯酸樹脂、環氧樹脂、酚樹脂、聚醯胺樹脂、聚醯亞胺樹脂、不飽和聚酯樹脂、聚苯醚(polyphenylenether)樹脂、聚苯硫醚樹脂、或苯環丁烯(BCB,benzocyclobutene)。這些材料可用旋塗式技術形成。
可顯影的ARC層240擁有適合用作抗反射塗層的材料性質。另外,可顯影的ARC層240包括光敏材料,其在曝露到電磁(EM,electro-magnetic)照射時會被化學改質且因此利用顯影溶劑加以移除。例如,可顯影的ARC層240可包含Brewer Science,Inc.(2401BrewerDrive,Rolla,MO65401)市售的(濕式)可顯影的抗反射塗層;另外,選擇可顯影的ARC層240以與下方第一光阻層250、微影波長(也就是氟化氬(ArF)、氟化氪(KrF)等等)以及與第二光阻層260相容,此部分將討論於下。
第一光阻層250可包含248nm(奈米)光阻、193nm光阻、157nm光阻、或遠紫外線(EUV,extreme UV)光阻。可使用軌道系統以形成光阻層250。舉例來說,軌道系統可包含Tokyo electron Limited(TEL)市售的清潔軌道ACT 8、ACT 12或Lithius光阻塗層及顯影系統。熟知旋塗式光阻技術者也會了解在基板上形成光阻膜的其他系統及方法。
在520中及如圖2B所示,藉由第一影像圖形252影像化第一光阻層250及可顯影的ARC層。經由初縮遮罩(reticle)曝光到EM照射會在乾式或濕式光微影系統內進行。可藉由使用任何適當習知的步進式微影系統,或掃瞄式微影系統以形成影像圖形。舉例而言,ASML Netherlands B.V.(DeRun 6501,5504 DR Veldhoven,The Netherlands)之系統或Canon USA,Inc半導體設備部門(3300 North 1st Street,San Jose,CA 95134)所提供之市售光微影系統。
在530中及如圖2C所示,使曝光的第一光阻層250及曝光的可顯影的ARC層240接受顯影處理,以移除第一影像圖形252區域及在可顯影的ARC 240中形成第一圖形242。顯影處理可包括在顯影系統(例如可為軌道系統)中將基板曝露到顯影溶劑。舉例而言,軌道系統可包含Toky oElectron Limited(TEL)市售的清潔軌道ACT 8、ACT 12或Lithius光阻塗層及顯影系統。藉由使用可顯影的ARC層240之方法,可以省略先前技術中使用乾式蝕刻基板以移轉第一圖形到在下方ARC層的步驟。
在540中及如圖2D所示,移除第一光阻層250。舉例來說,可使用濕式剝除處理、乾式電漿灰化處理、或乾式非電漿灰化處理以移除第一光阻層250。之後,在可顯影的ARC層240上形成第二光阻層260。
第二光阻層260可包含248nm光阻、193nm光阻、157nm光阻或EUV(遠紫外線)光阻。使用軌道系統以形成第二光阻層260。舉例來說,軌道系統可包含Tokyo Electron Limited(TEL)市售的乾淨軌道ACT 8、ACT 12或Lithius光阻塗層及顯影系統。熟知旋塗式光阻技術者可以了解其他在基板上形成光阻膜系統及方法。
在550中及如圖2E所示,利用第二影像圖形254影像化第二光阻層260及可顯影的ARC層。經由初縮遮罩曝光到EM照射係在乾式或濕式光蝕刻技術系統中進行。可藉由使用任何合適的習知步進式微影系統或掃瞄式微影系統以形成影像圖形。舉例而言,ASML Netherlands B.V.或Canon USA,Inc.半導體設備部門市售的光微影系統。
在560中及如圖2F所示,使該曝光的第二光阻層260及該曝光之可顯影的ARC層240接受顯影處理,以移除第二影像圖形254區域及在可顯影的ARC 240中形成第二圖形244。顯影處理可包括在顯影系統(例如軌道系統)中將基板曝露到顯影溶劑。舉例來說,軌道系統可包含Tokyo Electron Limited(TEL)市售的清潔軌道ACT 8,ACT 12或Lithius光阻塗層及顯影系統。藉由使用可顯影的ARC層240之方法,可以省略先前技術中對基板進行乾式蝕刻以移轉第二圖形進入下方ARC層之步驟。
在560之後,基板210可再被處理以移轉雙重圖形(也是就第一及第二影像圖形)到薄膜220。如圖2G及2H分別所示,進一步處理可包括使用一或多個蝕刻處理以移除光阻層260及移轉第一ARC圖形242及第二ARC圖形44到下方OPL 230(若存在)及薄膜220,以形成第一特徵部圖形222及第二特徵部圖形224。該一或多個蝕刻處理可包括濕式或乾式蝕刻處理的任何組合。乾式蝕刻處理可包括乾式電漿蝕刻處理或乾式非電漿蝕刻處理。
雖然僅上述僅說明本發明的部分實施例,熟知本項技術可知在實質上不悖離本發明的新穎教示及優勢之情況下,對實施例作許多修飾。
舉例而言,數個實施例說明使用正型可顯影的光阻及可顯影的ARC層;然而,其他實施例可考慮利用負型可顯影的光阻及可顯影的ARC層。因此,所有此類修改均應包含於本發明之範圍內。
100...微影構造
110...基板
120...薄膜
122...第一特徵部圖形
124...第二特徵部圖形
130...有機平面化層(OPL,organic planarization layer)
140...抗反射塗層(ARC)
142...第一ARC圖形
144...第二ARC圖形
150...光阻層
152...第一影像圖形
154...第一圖形
160...第二光阻層
162...第二影像圖形
164...第二圖形
200...微影構造
210...基板
220...薄膜
222...第一特徵部圖形
224...第二特徵部圖形
230...有機平面化層(OPL)
240...抗反射塗層(ARC)
242...ARC圖形
244...第二ARC圖形
250...光阻層
250...第一光阻層
252...第一影像圖形
254...第二影像圖形
260...第二光阻層
500...流程圖
510...開始
520...依照第一影像圖形影像化該第一光阻層及該ARC層
530...顯影該第一光阻層及該ARC層以在此形成第一影像圖形
540...移除第一光阻層並加上第二光阻層
550...依照一第二影像圖形影像化該第二光阻層及該ARC層
560...顯影該第二光阻層及該ARC層以在此形成該第二影像圖形
在下列圖式中:圖1A至1J說明在基板上雙重圖形化薄膜的習知技術;圖2A到2H說明依據本發明實施例之在基板上雙重圖形化薄膜的方法;及圖3說明依據本發明實施例在基板上雙重圖形化薄膜的方法流程圖。
500...流程圖
510...開始
520...依照第一影像圖形影像化該第一光阻層及該ARC層
530...顯影該第一光阻層及該ARC層以在此形成第一影像圖形
540...移除第一光阻層並加上第二光阻層
550...依照一第二影像圖形影像化該第二光阻層及該ARC層
560...顯影該第二光阻層及該ARC層以在此形成該第二影像圖形

Claims (13)

  1. 一種基板上之薄膜的雙重圖形化方法,包括:在該基板上製備一膜疊層,該膜疊層包括形成於該基板上之該薄膜、為使表面平面化而使用旋塗式技術形成於該薄膜上之有機平面化層(OPL,organic planarization layer)、形成於該OPL上之可顯影的抗反射塗層(ARC,anti-reflective coating layer)、及形成於該可顯影的ARC層上之第一光阻層,其中該第一光阻層及該可顯影的ARC層為同型;藉由第一影像圖形,影像化該第一光阻層及該可顯影的ARC層;顯影該第一光阻層及該可顯影的ARC層,以在該第一光阻層及該可顯影的ARC層中形成該第一影像圖形;移除該第一光阻層;形成第二光阻層在該可顯影的ARC層上,其中該第二光阻層係與該第一光阻層及該可顯影的ARC層同型;藉由以大於0且小於或等於50nm之距離與該第一影像圖形分隔的第二影像圖形,影像化該第二光阻層及該可顯影的ARC層;及顯影該第二光阻層及該可顯影的ARC層,以在該第二光阻層及該可顯影的ARC層中形成該第二影像圖形。
  2. 如申請專利範圍第1項之基板上之薄膜的雙重圖形化方法,更包括:在該顯影該第二光阻層及該可顯影的ARC層之步驟之後,移除該第二光阻層。
  3. 如申請專利範圍第1項之基板上之薄膜的雙重圖形化方法,更包括:使用一或更多蝕刻處理,移轉在該可顯影的ARC層中的該第 一影像圖形及該第二影像圖形至該OPL及該薄膜。
  4. 如申請專利範圍第3項之基板上之薄膜的雙重圖形化方法,其中該移轉之步驟包括進行濕式蝕刻處理、或乾式蝕刻處理或其組合。
  5. 如申請專利範圍第1項之基板上之薄膜的雙重圖形化方法,其中該形成該第一光阻層之步驟包括:在該可顯影的ARC層上形成248nm光阻、193nm光阻、157nm光阻、或EUV光阻、或其兩者以上的組合。
  6. 如申請專利範圍第1項之基板上之薄膜的雙重圖形化方法,其中所稱之形成該第二光阻層之步驟包括在該可顯影的ARC層上形成248nm光阻、193nm光阻、157nm光阻、或EUV光阻、或其兩者以上的組合。
  7. 如申請專利範圍第1項之基板上之薄膜的雙重圖形化方法,其中該影像化該第一影像圖形之步驟包括藉由使用乾式光微影系統、或濕式微影系統、或兩者來影像化該第一影像圖形。
  8. 如申請專利範圍第1項之基板上之薄膜的雙重圖形化方法,其中該影像化該第一影像圖形之步驟包括利用248nm光微影系統、193nm光微影系統、157nm光微影系統、或EUV光微影系統、或其兩者以上的組合來影像化該第一影像圖形。
  9. 如申請專利範圍第1項之基板上之薄膜的雙重圖形化方法,其中該圖形化該第二影像圖形之步驟包括使用乾式光微影系統、或濕式微影系統、或兩者來影像化該第二影像圖形。
  10. 如申請專利範圍第1項之基板上之薄膜的雙重圖形化方法,其中該影像化該第二影像圖形之步驟包括利用248nm光微影系統、193nm光微影系統、157nm光微影系統、或EUV光微影系統、或其兩者以上的組合來影像化該第二影像圖形。
  11. 如申請專利範圍第1項之基板上之薄膜的雙重圖形化方法,其中該形成該可顯影的ARC層之步驟包括形成用於248nm光微影、193nm光微影、157nm光微影、或EUV光蝕刻技術之可顯影的ARC層。
  12. 如申請專利範圍第1項之基板上之薄膜的雙重圖形化方法,更包括:在顯影該第二光阻層及該可顯影的ARC層之後,移除該第二光阻層;及使用一或更多蝕刻處理,移轉在該可顯影的ARC層中的該第一影像圖形及該第二影像圖形到該OPL及該薄膜。
  13. 一種包含程式指令之電腦可讀媒體,當其由該控制系統加以執行時,令圖形化系統進行下列步驟:在該基板上製備膜疊層,該膜疊層包括形成於該基板上之薄膜、為使表面平面化而使用旋塗式技術形成於該薄膜上之有機平面化層(OPL)、形成於該OPL上之可顯影的抗反射塗層(ARC,anti-reflective coating)、及形成於在該可顯影的ARC層上之第一光阻層,其中該第一光阻層及該可顯影的ARC層為同型;藉由第一影像圖形來影像化該第一光阻層及該可顯影的ARC層;顯影該第一光阻層及該可顯影的ARC層,以在該可顯影的ARC層中形成該第一影像圖形;移除該第一光阻層; 在該可顯影的ARC層上形成第二光阻層,其中該第二光阻層係與該第一光阻層及該可顯影的ARC層同型;藉由以大於0且小於或等於50nm之距離與該第一影像圖形分隔的第二影像圖形來影像化該第二光阻層及該可顯影的ARC層;及顯影該第二光阻層及該可顯影的ARC層,以在該可顯影的ARC層中形成該第二影像圖形。
TW096133828A 2006-09-22 2007-09-11 可顯影之抗反射塗層的雙重圖形化方法 TWI397108B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/534,365 US7862985B2 (en) 2006-09-22 2006-09-22 Method for double patterning a developable anti-reflective coating

Publications (2)

Publication Number Publication Date
TW200816275A TW200816275A (en) 2008-04-01
TWI397108B true TWI397108B (zh) 2013-05-21

Family

ID=38777736

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096133828A TWI397108B (zh) 2006-09-22 2007-09-11 可顯影之抗反射塗層的雙重圖形化方法

Country Status (3)

Country Link
US (1) US7862985B2 (zh)
TW (1) TWI397108B (zh)
WO (1) WO2008036496A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7932017B2 (en) * 2007-01-15 2011-04-26 Tokyo Electron Limited Method of double patterning a thin film using a developable anti-reflective coating and a developable organic planarization layer
WO2009097436A2 (en) * 2008-01-29 2009-08-06 Brewer Science Inc. On-track process for patterning hardmask by multiple dark field exposures
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
US8323868B2 (en) 2009-11-06 2012-12-04 International Business Machines Corporation Bilayer systems including a polydimethylglutarimide-based bottom layer and compositions thereof
EP2540800A1 (en) 2011-06-30 2013-01-02 Solvay Sa Process for etching using sulfur compounds
EP2549525A1 (en) 2011-07-18 2013-01-23 Solvay Sa Process for the production of etched items using CHF3
EP2549526A1 (en) 2011-07-18 2013-01-23 Solvay Sa Process for the production of etched items using fluorosubstituted compounds

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW368732B (en) * 1998-03-13 1999-09-01 Ind Tech Res Inst Manufacturing method for integrated circuit dual damascene
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5753417A (en) * 1996-06-10 1998-05-19 Sharp Microelectronics Technology, Inc. Multiple exposure masking system for forming multi-level resist profiles
US6579666B2 (en) * 2000-12-27 2003-06-17 Intel Corportion Methodology to introduce metal and via openings
US6844131B2 (en) * 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
US7037639B2 (en) * 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US7083880B2 (en) * 2002-08-15 2006-08-01 Freescale Semiconductor, Inc. Lithographic template and method of formation and use
US7364832B2 (en) * 2003-06-11 2008-04-29 Brewer Science Inc. Wet developable hard mask in conjunction with thin photoresist for micro photolithography
KR100611151B1 (ko) * 2003-11-27 2006-08-09 삼성에스디아이 주식회사 박막트랜지스터 및 그의 제조방법
US7265056B2 (en) * 2004-01-09 2007-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming novel BARC open for precision critical dimension control
TWI380354B (en) * 2004-01-29 2012-12-21 Rohm & Haas Elect Mat T-gate formation
KR100598105B1 (ko) * 2004-06-17 2006-07-07 삼성전자주식회사 반도체 패턴 형성 방법
US20060177772A1 (en) * 2005-02-10 2006-08-10 Abdallah David J Process of imaging a photoresist with multiple antireflective coatings
US7271108B2 (en) * 2005-06-28 2007-09-18 Lam Research Corporation Multiple mask process with etch mask stack
US7824842B2 (en) * 2005-10-05 2010-11-02 Asml Netherlands B.V. Method of patterning a positive tone resist layer overlaying a lithographic substrate
US7579137B2 (en) * 2005-12-24 2009-08-25 International Business Machines Corporation Method for fabricating dual damascene structures
US20070166648A1 (en) * 2006-01-17 2007-07-19 International Business Machines Corporation Integrated lithography and etch for dual damascene structures
US20080020327A1 (en) * 2006-07-19 2008-01-24 International Business Machines Corporation Method of formation of a damascene structure
US7932017B2 (en) * 2007-01-15 2011-04-26 Tokyo Electron Limited Method of double patterning a thin film using a developable anti-reflective coating and a developable organic planarization layer
US7432191B1 (en) * 2007-03-30 2008-10-07 Tokyo Electron Limited Method of forming a dual damascene structure utilizing a developable anti-reflective coating

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW368732B (en) * 1998-03-13 1999-09-01 Ind Tech Res Inst Manufacturing method for integrated circuit dual damascene
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme

Also Published As

Publication number Publication date
US20080076074A1 (en) 2008-03-27
WO2008036496A1 (en) 2008-03-27
TW200816275A (en) 2008-04-01
US7862985B2 (en) 2011-01-04

Similar Documents

Publication Publication Date Title
US7432191B1 (en) Method of forming a dual damascene structure utilizing a developable anti-reflective coating
US20090311634A1 (en) Method of double patterning using sacrificial structure
JP5269317B2 (ja) 調整可能な反射防止コーティングを含む構造およびその形成方法。
US9831117B2 (en) Self-aligned double spacer patterning process
TWI488239B (zh) 互連線結構中之絕緣介電質的覆蓋層之移除方法
TWI397108B (zh) 可顯影之抗反射塗層的雙重圖形化方法
US8470708B2 (en) Double patterning strategy for contact hole and trench in photolithography
US9209039B2 (en) Methods of forming a reversed pattern in a substrate, and related semiconductor device structures
JP2007523034A (ja) アモルファスカーボン膜を含む構造およびその形成方法。
US20150031201A1 (en) Trench patterning with block first sidewall image transfer
KR20210018548A (ko) Euv 레지스트 및 하드 마스크 선택도를 개선하기 위한 패터닝 방식
US7767386B2 (en) Method of patterning an organic planarization layer
US20080073321A1 (en) Method of patterning an anti-reflective coating by partial etching
US7883835B2 (en) Method for double patterning a thin film
US7932017B2 (en) Method of double patterning a thin film using a developable anti-reflective coating and a developable organic planarization layer
US7811747B2 (en) Method of patterning an anti-reflective coating by partial developing
US11887851B2 (en) Method for forming and using mask
TWI467647B (zh) 在旋轉塗佈層中之高深寬比圖案化之方法
US7858293B2 (en) Method for double imaging a developable anti-reflective coating

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees