TWI390089B - Film forming method, plasma film forming device and memory medium - Google Patents

Film forming method, plasma film forming device and memory medium Download PDF

Info

Publication number
TWI390089B
TWI390089B TW096105168A TW96105168A TWI390089B TW I390089 B TWI390089 B TW I390089B TW 096105168 A TW096105168 A TW 096105168A TW 96105168 A TW96105168 A TW 96105168A TW I390089 B TWI390089 B TW I390089B
Authority
TW
Taiwan
Prior art keywords
film forming
metal
plasma
film
concave portion
Prior art date
Application number
TW096105168A
Other languages
English (en)
Other versions
TW200734493A (en
Inventor
Takashi Sakuma
Taro Ikeda
Osamu Yokoyama
Tsukasa Matsuda
Tatsuo Hatano
Yasushi Mizusawa
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200734493A publication Critical patent/TW200734493A/zh
Application granted granted Critical
Publication of TWI390089B publication Critical patent/TWI390089B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Vapour Deposition (AREA)

Description

製膜方法、電漿製膜裝置及記憶媒體
本發明係有關一種藉由電漿濺鍍,埋入形成於在半導體晶圓等之被處理體的表面的微細凹部之技術。
在製造半導體元件時,對半導體晶圓反覆進行製膜處理、圖案蝕刻處理等之各種處理。根據半導體元件的更高積體化、及高微細化的要求,而更多的微細化線寬度或孔徑。結果,產生需要更加縮小配線材料及埋入材料的電阻。因此傾向使用電阻非常小且廉價的銅,做為配線材料及埋入材料。然後,在使用銅做為配線材料及埋入材料時,一般使用鉭金屬(Ta)及/或氮化鉭膜(TaN)做為阻障層。
一般,在形成於晶圓表面的凹部埋入銅時,首先,使用電漿濺鍍裝置,在包含凹部的內面之晶圓表面全域,形成由銅膜構成的較薄種子膜,然後,藉由在包含凹部的內面之晶圓表面全域上進行銅電鍍處理,而完全埋入凹部內。然後,晶圓表面多餘的銅薄膜,藉由CMP(Chemlcal Mechanical Polishing)處理來除去。
參照第9圖及第10圖說明該步驟。如第9圖所示,在形成於半導體晶圓W上的絕緣層3形成有:矩形剖面較細長的溝(溝渠)的形態之凹部2;在凹部2的底面形成穿孔或貫穿孔(孔)的形態之凹部4。凹部4的下端與配線層6連接。藉由以導電構件埋入至凹部4,確保埋入到凹部2內的配線材料和配線層6之間的導通。這種構造稱為雙鑲嵌構造(Dual-Damascene)。亦有單獨形成溝渠(凹部2)或孔(凹部4)的情況。近年來,隨著設計規格的微細化,而凹部2及凹部4的寬度及直徑變為非常小,伴隨於此,凹部的縱橫尺寸比(光譜比)例如成為3至4左右。
參照第10圖,說明以銅埋入孔的形態之凹部4的方法。如第10(A)圖所示,在包含凹部4的內部之半導體晶圓W的表面上,已知藉由電漿濺鍍裝置形成有TaN膜、及由Ta膜的沉積構造所構成的阻障層8。與該晶圓W相對,首先如第10(B)所示,藉由電漿濺鍍裝置,在包含凹部4的內面之晶圓表面全域,形成由較薄的銅膜構成的種子膜10。在形成種子膜10之際,對於半導體晶圓側施加高頻偏壓電力,有效率的進行銅之金屬離子的引入。然後,如第10(C)圖所示,藉由對晶圓表面進行3元系銅電鍍處理,而例如以由銅膜所構成的金屬膜12埋入凹部4內。此時,第10圖未圖示的上段之溝的形態的凹部2內,也藉由銅電鍍埋入。然後,藉由CMP處理,除去晶圓W表面的多餘之金屬膜12、種子膜10及阻障層8。
一般,在藉由電漿濺鍍裝置進行製膜時,於半導體晶圓側施加偏壓電力,來促進金屬離子的引入,而使製膜速率變大。此時,當偏壓電壓過大時,由於產生電漿,而藉由導入到處理空間內的惰性氣體,例如,藉由由氬氣而來的離子,來濺鍍晶圓表面,而除去暫時沉積的金屬膜。因此,偏壓電壓不能設定為過大。
如上所述,當形成由銅膜構成的種子膜10時,如第10(B)圖所示,在凹部4的上部開口端附近的種子膜10上,產生使凹部4的開口狹窄而突出的突出部分14。藉由該突出部分14的存在,而使在電鍍處理時,電鍍液不能充份浸透到凹部4內,故有所謂在金屬膜12內產生孔隙16的情況之問題。
為了防止孔隙16的產生,在進行銅電鍍之際,於電鍍液中加入各種的添加劑,來促進銅膜沉積到凹部4的底部,並且以底板埋入至凹部。這種添加劑在銅電鍍處理不久之後,僅些微的殘留在銅電鍍膜中,在電鍍處理後,藉由一般進行的高溫退火處理來除去。
然而,當線寬度及孔直徑為100nm以下時,而有所謂無法充份除去以高溫退火處理容易除去的添加劑之問題。當添加劑殘留在銅膜中時,會導致配線的電阻值變大,而無法獲得想要的設計之電特性。不僅如此,殘留添加劑會抑制退火處理時銅晶粒的成長(grain),而導致銅膜的信賴性降低。
為了解決上述添加劑所造成的問題,檢討沒有使用電鍍處理,藉由電漿濺鍍埋入凹部4內的全部。但是,此時,如前所述,藉由突出部分14形成在凹部4的開口端,而使金屬粒子難以到達凹部內部,無法避免孔隙16的產生。為了解決該問題,如日本專利公開公報JP10-74760A及JP10-2148載置台本體36A所示,亦考慮以高溫溶融已沉積的金屬膜,並進行圓滑熱處理,而埋入在凹部內。但是,當埋入材料為低融點的鋁時,則可進行圓滑熱處理,但是,當埋入材料為高融點的銅時,則難以進行圓滑熱處理,上述的圓滑熱處理為銅時,無法獲得實際的解決策略。
本發明係有鑑於以上的問題點,而研創可有效解決該問題之點。本發明的目的在於提供一種不會產生孔隙,而藉由電漿濺鍍技術,可有效且正確的埋入微細的凹部之技術。
為了達成上述目的,根據本發明的第1觀點,係提供一種製膜方法,其特徵為具備有:將具有表面、和於該表面開口的凹部之被處理體,載置於配置在處理容器內的載置台上之步驟;包含有:在前述處理容器內產生電漿,藉由前述電漿,濺鍍配置於前述處理容器內的金屬標靶,而產生金屬粒子之步驟;對前述載置台施加偏壓電力,將前述金屬粒子引入至前述凹部內,並沉積在前述凹部內,藉此,將金屬埋入到前述凹部的製膜步驟,該製膜步驟,係以在前述被處理體的表面上,藉由前述金屬粒子的引入而產生的金屬沉積之沉積速率,與藉由前述電漿所產生的濺鍍蝕刻之蝕刻速率大致均衡的方式,設定前述偏壓電力之狀態下而進行;在不從前述金屬標靶產生金屬粒子的狀態下,將前述被處理體維持在沉積於前述凹部內的前述金屬膜之表面擴散所產生的特定溫度,使構成前述金屬膜的金屬原子朝向前述凹部的底部移動之擴散步驟;及交互複數次反覆前述製膜步驟和前述擴散步驟的步驟。
較理想者為,前述偏壓電力之大小,為在實施該製膜方法之前,不蝕刻已形成於前述凹部的表面之底膜的大小。又,較理想者為,前述特定的溫度,係低於構成前述金屬膜的金屬原子體擴散所產生的溫度。較理想者為,在前述擴散步驟中,前述被處理體係藉由與此衝撞的電漿之衝撞能量來加熱。更以前述擴散步驟,係在實施前述製膜步驟的處理容器內實行為佳。
較理想者為,前述凹部之寬度為100nm以下的溝,或直徑為100nm以下的孔。又,於進行複數次的前述製膜步驟的每一次,被製膜的前述金屬膜厚度為5nm以下。再者,前述凹部為配線用的溝或孔。
較理想者為,前述金屬膜為銅或銅合金所構成。較理想者為,前述製膜步驟的前述特定溫度,係在200至400℃的範圍內。
在一實施形態中,前述凹部係具有相對狹窄的下部空間、和相對較寬的上側空間,更具備有:前述下部空間藉由前述製膜步驟和前述擴散步驟的反覆,被前述金屬膜埋入之後,藉由電鍍,埋入前述上部空間之電鍍步驟。在其他實施形態中,前述下部空間及前述上部空間兩者,藉由前述製膜步驟及前述擴散步驟的反覆,被前述金屬膜所埋入。
根據本發明之第2觀點,係提供一種電漿製膜裝置,係具備有:可抽真空的處理容器;用來載置被處理體的載置台;將處理氣體導入至前述處理容器內的氣體導入手段;用來產生電漿至前述處理容器內的電漿產生源;設置於前述處理容器內的金屬標靶;將放電用電力供給至前述金屬標靶的標靶用直流電源;對於前述載置台供給偏壓電力的偏壓電源;以及控制該製膜裝置全體的動作之裝置控制部,前述裝置控制部係控制該製膜裝置,並以實行以下之步驟的方式而構成:在前述處理容器內產生電漿,藉由前述電漿,濺鍍配置於前述處理容器內的金屬標靶,而產生金屬粒子之步驟;對前述載置台施加偏壓電力,將前述金屬粒子引入到形成於前述被處理體的表面之凹部內,並沉積於前述凹部內,藉此,於前述凹部埋入金屬的製膜步驟,該製膜步驟,係在前述被處理體的表面上,以藉由前述金屬粒子的引入而產生的金屬的沉積之沉積速率,與藉由前述電漿所產生的濺鍍蝕刻之蝕刻速率大致均衡的方式,來設定前述偏壓電力之狀態下而進行;在不從前述金屬標靶產生金屬粒子的狀態下,將前述被處理體維持在沉積於前述凹部內的前述金屬膜之表面擴散所產生的特定溫度,使構成前述金屬膜的金屬原子朝向前述凹部的底部移動之擴散步驟;及交互複數次反覆前述製膜步驟和前述擴散步驟的步驟。
在一實施形態中,前述載置台,係具有用來冷卻前述被處理體的冷卻手段。再者,前述載置台,係具有用來加熱前述被處理體的加熱手段。再者,於前述載置台表面,係形成有用來使熱傳導氣體流動的氣體溝。
根據本發明之第3觀點,係提供一種記憶媒體,其係記憶有用來控制製膜裝置的程式,前述製膜裝置係具備有:可抽真空的處理容器;用來載置被處理體的載置台;將處理氣體導入至前述處理容器內的氣體導入手段;用來產生電漿至前述處理容器內的電漿產生源;設置於前述處理容器內的金屬標靶;將放電用電力供給至前述金屬標靶的標靶用直流電源;對於前述載置台供給偏壓電力的偏壓電源;以及控制該製膜裝置全體動作之電腦的形態之裝置控制部,前述裝置控制部藉由實行前述程式,來控制前述製膜裝置,並以實行包含以下步驟的製膜方法:在前述處理容器內產生電漿,藉由前述電漿,濺鍍配置於前述處理容器內的金屬標靶,而產生金屬粒子之步驟;對前述載置台施加偏壓電力,將前述金屬粒子引入到形成於前述被處理體的表面之凹部內,並沉積於前述凹部內,藉此,於前述凹部埋入金屬的製膜步驟,該製膜步驟,係在前述被處理體的表面上,以藉由前述金屬粒子的引入而產生的金屬的沉積之沉積速率,與藉由前述電漿所產生的濺鍍蝕刻之蝕刻速率大致均衡的方式,來設定前述偏壓電力之狀態下而進行;在不從前述金屬標靶產生金屬粒子的狀態下,將前述被處理體維持在沉積於前述凹部內的前述金屬膜之表面擴散所產生的特定溫度,使構成前述金屬膜的金屬原子朝向前述凹部的底部移動之擴散步驟;及交互複數次反覆前述製膜步驟和前述擴散步驟的步驟。
以下,參照添附圖面。說明本發明的較佳實施形態。第1圖係表示本發明一實施形態之電漿製膜裝置的構成之剖面圖,在此所例示的電漿製膜裝置為ICP(Inductively Coupled Plasma)電漿濺鍍裝置。電漿製膜裝置22係例如具有由鋁等所構成的筒形之處理容器24。處理容器24為電連接。在處理容器24的底壁26設置有排氣口28。在排氣口28經由壓力調整用的節流閥30連接有真空泵浦32,藉此,可將處理容器24內抽真空到期望的真空度。
在處理容器24內設置有例如由鋁所構成的圓板形的載置台34。載置台34具有載置台本體34A和設置在其上面的靜電夾頭34B,在靜電夾頭34B上以吸附保持被處理體的半導體晶圓W之方式所構成。在靜電夾頭34B的上面,形成有使熱傳導氣體流動的氣體溝36。因應需要,藉由將Ar氣體等熱傳導氣體供給到氣體溝36,可使晶圓W和載置台34之間的熱傳導提升。因應需要,對靜電夾頭34B施加用來吸附晶圓W的直流電壓。載置台34藉由從其下面的中心部朝向下方延伸的支柱38加以支持。支柱38的下部係貫通處理容器24的底壁26。藉由未圖示的升降機構,隨著支柱38而與其連接的載置台34為可升降。
可伸縮的金屬風箱40係包圍支柱38。金屬風箱40的上端與載置台34的下面氣密接合,下端與底壁26的上面氣密接合。因而,金屬風箱40維持處理容器24內的氣密性,並容許載置台34的升降移動。在載置台34的載置台本體34A,形成有冷媒循環路42,做為用來冷卻晶圓W的冷卻手段。經由支柱38內的未圖示之流路,將冷煤供給到冷媒循環路42,又,從冷媒循環路42排出。載置台本體36A設置有加熱手段如阻抗加熱器44,並因應需要加熱晶圓W。
此等的冷卻手段42或加熱手段44,係因應需要而設計,根據所實行的處理之處理條件,可予以省略。從處理容器24的底壁26朝向上方延伸複數個如3根支持插銷46(在圖示例中僅記為2根)。與支持插銷46對應,在載置台34形成有插銷插通孔48。因而,當載置台34下降時,在貫通插銷插通孔48的支持插銷46上端支持晶圓W,在該狀態下,在侵入到處理容器24內之未圖示的搬運手臂之間,可從該支持插銷46和處理容器24外部收受晶圓W。在處理容器24之側壁的下部,設置有在使上述搬運手臂侵入時所打開的閘閥50。
靜電夾頭34B係經由配線52連接有偏壓電源54。可從偏壓電源54對載置台34施加特定頻率如13.56MHz 的高頻偏壓電力。偏壓電源54的輸出電力可因應需要加以控制。
在處理容器24的天井部,例如經由O形環等密封構件58,氣密地安裝有例如由氧化鋁等介電體所構成的高頻透過性之透過板56。在處理容器24內的處理空間60,設置有用來生成電漿的氣體(以下,稱為「電漿氣體」),例如供給用來電漿化Ar氣體的激起能量之電漿源62。亦可使用其他的惰性氣體如He、Ne等,取代Ar做為電漿氣體。具體而言,電漿源62具有設置在透過板56上方的誘導線圈64,在該誘導線圈64連接有電漿產生用的特定頻率,例如13.56MHz 的高頻電源66。藉由從高頻電源66對誘導線圈64施加高頻電力(電漿產生用電力),經由透過板56可對處理空間60導入高頻電場。因應需要可以控制從高頻電源66輸出的電漿產生用電力。
在透過板56的正下方為了擴散所導入的高頻,例如設置有由鋁所構成的擋板68。在擋板68的下方,以包圍處理空間60的上部之方式,設置具有隨著上方而縮小直徑的環狀之截頭圓錐的錐面形狀之金屬標靶70。在金屬標靶70連接有用來供給放電用電力的可變直流電源72。可因應需要控制從可變直流電源72所輸出的直流電力。例如亦可使用金屬鉭或銅等金屬做為金屬標靶70。金屬標靶70藉由電漿中的Ar離子予以濺鍍,藉此,從金屬標靶70放出金屬原子或金屬原子團,此等較多在通過電漿中時被離子化,而成為金屬離子。
在金屬標靶70的下方,以包圍處理空間60的方式,例如設置有由鋁所構成之圓筒形的保護蓋74。保護蓋74在電性接地的同時,其下部朝向內側彎曲並延伸到載置台34的側部附近。在處理容器24的底壁,例如設置有氣體導入口76,做為需導入到處理容器24內的氣體之氣體導入手段。從氣體導入口76,經由具備例如氣體流量控制器以及閥等的氣體控制部78,從未圖示的處理氣體供給源供給做為電漿氣體之例如Ar氣體、及其他必要的氣體例如N2 氣體。
包含在電漿製膜裝置22的各種功能要素(元件、單元),例如與由電腦所構成的裝置控制部80連接,藉由該裝置控制部80控制各種的動作。具體而言,裝置控制部80可控制偏壓電源54、高頻電源66、可變直流電源72、氣體控制部78、節流閥30、及真空泵浦32等的動作,並依據後述的本發明,在電漿製膜裝置22進行金屬膜的製膜處理。
首先,在裝置控制部80的控制下,藉由使真空泵浦32動作,在設為真空的處理容器24內,一邊使氣體控制部78動作,一邊使Ar氣體流動,控制節流閥30並且將處理容器24內維持在特定的真空度。然後,藉由可變直流電源72,將直流電力施加到金屬標靶70,藉由高頻電源66將高頻電力(電漿產生用電力)施加到誘導線圈64。又,裝置控制部80亦對於偏壓電源54舉出指令,對於載置台34施加特定的偏壓電力。
在成為這種狀態的處理容器24中,藉由施加到金屬標靶70及誘導線圈64的電漿電力,使Ar氣體電漿化。電漿中的氬離子與金屬標靶70衝撞,而濺鍍金屬標靶70。藉此,從金屬標靶70所放出的金屬粒子,具體而言為金屬原子以及金屬原子團,當其多數通過電漿中時,被離子化而成為金屬離子。亦即,混合金屬離子及電性為中性的中性金屬原子之金屬粒子,朝向下方飛散。然後,金屬離子被引到施加在載置台34的偏壓電力,而做為指向性較高的金屬離子則入射到晶圓W,並層積在晶圓W上。
如後所述,藉由裝置控制部80對於偏壓電源54供給下達較大輸出的指令,而電漿中的Ar離子也可引入到載置台34,在晶圓W上可同時產生製膜(沉積)、和濺鍍蝕刻兩方。藉由裝置控制部80控制電漿製膜裝置22的各種功能要素,裝置控制部80藉由實行儲存在記憶媒體82的控制程式所生成的控制信號或指令信號來進行。該控制程式,係對於電漿製膜裝置22的各種功能要素,可實現藉由製膜金屬膜的處理程序所定義的各種處理條件而構成。用來儲存程式的記憶媒體,可使用在電腦技術領域中已知的任意記憶媒體,例如磁片(登錄商標)(FD)、小型磁碟(登錄商標)(CD)、快閃記憶體、硬碟驅動器等。
然後,說明依據使用上述電漿製膜裝置22,而進行的本發明之製膜方法。
以下所說明的製膜方法的特徵,係交互複數次反覆進行:藉由電漿濺鍍,在形成於晶圓W的表面之凹部4的內部沉積金屬膜的製膜步驟;以及藉由表面擴散,使藉由製膜步驟沉積的金屬膜之金屬原子,朝向凹部4(參照第10圖)的底部移動之擴散步驟。製膜步驟係產生電漿(電漿氣體的離子,例如為Ar離子),藉由該電漿,濺鍍金屬標靶70並產生金屬粒子(金屬離子、中性金屬原子、中性金屬原子團),該金屬粒子特別是藉由偏壓電力,將金屬離子引入到凹部4內而實施,此時,為使於晶圓W的表面上藉由金屬粒子的引入所產生金屬之沉積的沉積速率與藉由電漿所產生的濺鍍蝕刻之蝕刻速率大致上均衡,而設定偏壓電力。又,擴散步驟係在從金屬標靶產生金屬粒子的狀態下,藉由將晶圓W維持在沉積於凹部4內的金屬膜之表面擴散所產生的特定溫度而實施。
此外,在本說明書中,於說明製膜步驟時,使用幾次所謂「晶圓W的表面(上面)」之用語,係已知在晶圓W上形成任一附加的層(在第5圖中為絕緣層3、阻障層8)時,原則上表示:「最上側之層(在第5圖中為阻障層8)之凹部外的表面(上面)」、亦即「被處理體(包含晶圓W及其上的附加之層)的凹部外之表面(上面)」。然而,為了避免這種複雜的表示,而使用所謂「晶圓W的表面(上面)」之用語。
在製膜步驟中,(i)金屬粒子的沉積和藉由電漿之濺鍍蝕刻同時產生,而且(ii)凹部4內,特別是在其底部沉積金屬膜,在另外一方面,於晶圓W的表面(上面),也就是凹部4之外的部份,大致上沒有沉積金屬膜,即使沉積,則可實現僅有非常微量的沉積之狀態,將偏壓電力、直流電力、電漿電力等(詳細如後所述)之各種電力參數,控制在適當的值。具體而言,將偏壓電力設定在晶圓W的表面上,藉由金屬粒子的沉積速率和電漿(Ar 離子)的濺鍍蝕刻速率設定為大致均衡之值(亦即,膜成長速率為零或非常小)。
此外,在以下的說明中,所謂「沉積速率(deposition rate)」之用語,意思為暫定為沒有濺鍍蝕刻的沉積速率,所謂「濺鍍蝕刻速率(sputter-etch rate)」意思為暫定為沒有金屬粒子的沉積之蝕刻速率。又,所謂「膜成長速率(film-growth rate)」之用語,意思為從「沉積速率」減去「濺鍍蝕刻速率(sputter-etch rate)」之值,換言之,係意指可看見的沉積速率。
更詳細說明該點。首先,在不考慮金屬粒子的沉積下,說明電漿濺鍍蝕刻的特性。第2圖的圖表,是表示濺鍍面的角度和蝕刻速率的關係。在此,所謂「濺鍍面」,意為被濺鍍蝕刻的面。又,所謂「濺鍍面的角度」,意為濺鍍面的法線構成電漿(Ar離子)朝向該濺鍍面入射的方向(在第1圖的例子中,為上下方向)的角度。因而,晶圓表面(上面,即凹部之外的部份)、及凹部4的底面之濺鍍面的角度皆成為0度,凹部側面的濺鍍面之角度為90度。
如第2圖的圖表可清楚判斷,在晶圓表面(濺鍍面的角度=0度)進行某程度的濺鍍蝕刻,在凹部的側面(濺鍍面的角度=90度)大致沒有進行濺鍍蝕刻,又,在凹部的入口之角部,亦即凹部的開口端附近(濺鍍面的角度=40至80度)進行相當激烈的濺鍍蝕刻。
第3圖在第1圖所示的ICP濺鍍裝置中(製膜裝置),將朝向電漿電力及金屬標靶70施加的直流電力設為一定時,表示施加在晶圓W(載置台)的偏壓電力、和晶圓表面的膜成長速率(「沉積速率」減掉「濺鍍蝕刻速率」)的關係之圖表。第3圖的圖表之標靶為銅,晶圓尺寸為200mm時成立的關係。縱軸及橫軸的數值,係當標靶的材料或晶圓尺寸改變時而變化,但圖表所表示的曲線傾向大致相同。從第3圖的圖表可清楚得知,當偏壓電力不大於其時,金屬粒子(金屬離子及中性金屬原子)的沉積速率,可獲得比蝕刻速率更高的膜成長速率。但是,隨著偏壓電力的增加,而使來自藉由偏壓電力所加速的電漿氣體之離子(Ar離子)的濺鍍蝕刻之蝕刻速率增大,使金屬粒子的沉積速率的增大提升,而減少膜成長速率。
當金屬粒子的沉積速率、和濺鍍蝕刻速率成為相同時,沉積和蝕刻相抵,而晶圓表面(上面)外觀上的沉積速率,也就是「膜成長速率」成為零。參照第3圖的點X1(偏壓電力=150W)。此外,在第3圖的圖表以實線所示的關係,為當使電漿電力及直流電力變化時,例如,可以一點鎖線或二點鎖線來表示而變化。
在這種濺鍍裝置中進行製膜時,一般,使用與第3圖的領域A1對應的製膜條件,即不過度加大偏壓電力,而可使高的膜成長速率運轉的製膜條件。亦即,將濺鍍蝕刻速率設為可忽略的程度,而且,在所引入的金屬離子量成為最大的條件下進行製膜,此時,即使是凹部的底部,亦可達成相當高的膜成長速率。相對於此,在本實施形態的製膜步驟中,當所引入的金屬離子和中性金屬原子的沉積、和電漿濺鍍蝕刻同時產生,而且,在晶圓W表面(上面)雖大致未製膜,但是在凹部4內雖為較少量,但以產生膜的製膜之製膜條件來進行製膜。
更詳言之,在製膜步驟中,如上所述,晶圓表面(上面)的金屬粒子之沉積速率、和電漿濺鍍蝕刻的蝕刻速率大致均衡,而藉由與第3圖的圖表之區域凹部2對應的製膜條件進行製膜。在此,本說明書所謂的”大致均衡”,不僅是晶圓表面的膜成長速率為零之情況,也包含以在與第3圖的圖表之區域A1對應的製膜條件下,進行製膜時之膜成長速率的3/10左右之膜成長速率,在晶圓上面進行製膜。此時,於凹部4內特別是在凹部4的底部內,產生某程度的量之製膜。
在此,在上述的製膜條件下,與在晶圓表面金屬膜大致上沒有成長無關,說明在形成於晶圓表面的凹部4內沉積金屬膜的理由。亦即,當藉由電漿濺鍍暫時沉積在晶圓表面(上面)的金屬膜時,飛散的金屬粒子將飛散到處理容器24的內壁面、及保護蓋74的內壁面。然而,在微細的(例如,寬度或直徑為100nm以下的(參照第10圖))凹部4內,當藉由電漿濺鍍暫時沉積於此的金屬膜時,飛散的金屬粒子無法飛出到凹部4的外面,而再度附著在凹部4的內壁面以及底部。結果,金屬膜更沉積在凹部4內的內壁面,特別是凹部4的底部。
在理解以上的現象之情況下,亦參照第4圖至第6圖,說明本發明的方法。首先,使第1圖所示的載置台34朝向下方下降,打開閘閥50,並將晶圓W搬入到處理容器24內,將其支持在支持插銷46上。然後,當載置台34上升時,晶圓W載置在載置台34的上面。晶圓W可藉由靜電夾頭34B吸附在載置台34的上面。
然後,開始製膜步驟。在晶圓W的表面(上面),在此為形成在晶圓W上的絕緣層3的表面(上面),已形成有第9圖及第10圖所示的構造之凹部2、4。上段的凹部2由溝渠,也就是細長的溝所構成,在凹部2的底面形成下段的凹部4到達配線層6的方式,做為穿孔或貫穿孔的孔。亦即,觀看全體,具有相對較寬的上部空間(2)、及相對較狹窄的下部空間(4)之具有階段的凹部,形成於晶圓W的表面。此外,在第5圖中,為了圖面及說明的簡略化,僅表示下段的凹部4。以下,進一步說明於第5圖所示的凹部4製膜。
首先,最初形成阻障層。在阻障層的製膜時,可使用具有第1圖所示的構造之製膜裝置。在此,使用鉭(Ta)做為金屬標靶70。在將處理容器24內抽真空到特定的壓力之後,對於電漿源62的誘導線圈64施加偏壓電力,從偏壓電源54將特定的偏壓電力施加到載置台34的靜電夾頭34B。再者,從可變直流電源72對於金屬標靶70施加特定的直流電力。首先,為了形成TaN膜,從氣體導入口78將電漿生成用的氣體例如Ar氣體;和氮化氣體例如N2 氣體供給到處理容器24內。藉此,不僅於晶圓W的上面,亦於凹部4內的側面及底面大致均勻的形成TaN膜。TaN膜可藉由以往的方法製膜。亦即,偏壓電力成為與第3圖中的領域A1對應的範圍,具體而言約100W(瓦特)左右。
若當TaN膜的形成結束,則接著形成Ta膜。停止氮化氣體(N2 氣體)的供給,其他的製程條件,設為與TaN膜製膜時相同,在TaN膜上沉積Ta膜。Ta膜也可藉由以往的方法製膜。亦即,偏壓電力設為與第3圖中的領域A1對應的範圍。根據以上,形成由TaN/Ta膜所構成的阻障層8做為底膜(參照第4圖的S1及第5圖(A)))。此外,阻障層8亦可為僅由Ta膜構成的單層構造。
然後,將形成有阻障層8的晶圓W,搬運到與阻障層8的形成所使用的製膜裝置之外的銅(Cu)膜製膜用的製膜裝置(其亦具有第1圖所示的構造)。搬運係在晶圓W不曝露在大氣中的狀態下進行。以經由可對阻障層形成用的製膜裝置、和Cu膜製膜用的製膜裝置抽真空的傳輸閥連結較為理想,如此,晶圓W不會曝露在大氣中,而可在真空環境中,在兩製膜裝置間搬運。
為了進行Cu膜的製膜步驟,而使用銅做為金屬標靶70。在將處理容器24內抽真空到特定的壓力之後,對於電漿源62的誘導線圈64施加偏壓電力,而從偏壓電源54將特定的偏壓電力施加到載置台34的靜電夾頭34B。再者,從可變直流電源72對金屬標靶70施加特定的直流電力。又,從氣體導入口78將電漿生成用的氣體例如Ar氣體,供給到處理容器24內。
此時,如前所述,偏壓電力設定在與第3圖的圖表之區域A2對應的範圍內,晶圓表面(上面)的金屬粒子之沉積速率、和電漿濺鍍蝕刻速率大致均衡。於是,如第5圖(B)所示,在凹部4的內壁面形成由Cu膜構成的金屬膜90(第4圖的S2)。如前所述,暫時沉積在晶圓W上面的金屬膜,與電漿離子碰撞時,飛出做為金屬粒子,而不會再度附著在晶圓W上面,故沉積在晶圓W上面的金屬膜90的厚度H1大致為零,即使有沉積,量也非常的少。此外,當上段之凹部2的寬度比100nm寬時,在晶圓W上面亦有沉積某程度的量之金屬膜的情況。
相對於此,因被寬度或直徑為100nm以下而侵入到較狹窄的凹部4內之電漿離子碰撞而飛散的金屬粒子,再度附著沉積到凹部4的內壁面或底部。結果,凹部4的側面上之金屬膜90的厚度H2成為某程度,底面上的金屬膜90的厚度H3成為最大。換言之,膜厚成為H1<H2<H3。
在此,製膜時間係設定為可使凹部4側面之金屬膜90的厚度H2為5nm以下,更以可成為1至2nm的方式較佳。若當凹部4側面的金屬膜90之厚度大於5nm時,在以下的擴散步驟中,產生Cu的凝聚,無法良好的進行金屬膜90的擴散處理。如此一來,在凹部4側面上僅於金屬膜90的表層部份產生表面擴散,下層部份留在原來的場所,當此一情況重複發生時,將成為與根據以往方法進行製膜之情況產生相同的孔隙(參照第10(C)圖)之原因。又,在製膜步驟中,當偏壓電力過大時,由於導致底膜由TaN/Ta所構成的阻障層8被蝕刻,故期望偏壓電力設為不會消除阻障層8的值。具體而言,偏壓電力在第3圖的圖表中,以與晶圓上面的製膜速率成為零的點X1對應的值、與從約150W開始阻障層8的蝕刻之點X2對應的值、或是設定在約200W較為理想。在該製膜步驟中,使冷煤在冷媒循環路42流動,而冷卻載置台34較為理想。
若結束製膜步驟,則進行下一個擴散步驟(第4圖的S3)。該擴散步驟,在與進行製膜步驟的製膜裝置相同的製膜裝置之處理容器24內進行。在擴散步驟中,使晶圓溫度上升到Cu金屬膜90產生表面擴散的特定溫度為止,並維持在該溫度,藉此,如第5圖(C)所示,使金屬膜90的Cu原子朝向凹部4的底部移動。此時,將可變直流電源72設為OFF,而使金屬粒子(Cu)不會從金屬標靶70飛出。
但是,將高頻電源66及偏壓電源54皆維持在ON,Ar氣體的供給也繼續進行。因而,在處理容器24內繼續產生來自Ar氣體的電漿即氬離子P,該氬離子P被偏壓電力引入到晶圓W,且和晶圓W衝撞。因為該衝撞而產生的熱能量,來加熱晶圓W。此外,偏壓電源54的電力設為不會再度蝕刻已沉積之Cu膜的較小值。
此時,如上所述,晶圓W的溫度為Cu原子產生表面擴散的溫度,而且,不產生體擴散的溫度,也就是設為200至400℃的範圍。當Cu原子產生表面擴散時,如第6圖模示表示,沉積在凹部4側面上的金屬膜90之金屬原子(Cu原子)90A,被引入到位於具有較大塊之凹部4底部的金屬膜90,凹部4底部的膜厚從H3增加到H4(參照第5圖(C))。此時,沉積在凹部4外的晶圓W上面之金屬膜90的金屬原子(Cu原子)90B,也被引入到凹部4內。因而,藉由製膜步驟及其後的擴散步驟,優先的埋入至凹部4的底部。
此外,在擴散步驟中,當電漿(Ar離子)的運動能量過高時,晶圓W有過度的加熱到例如400℃以上的顧慮,為了防止該狀況,期望將偏壓電力設定為較低。又,當晶圓溫度上升到構成金屬膜90的Cu原子產生體擴散的溫度時,已溶融的Cu成為塊狀,為了防止此一狀況,而期望將偏壓電力設定為較低。為了輔助擴散步驟的晶圓W的升溫,不將熱傳導氣體供給到氣體溝36,而將氣體溝36內設為真空狀態,亦可阻礙從晶圓W到載置台34的熱傳導。或者藉由與阻抗加熱器44通電,來加熱載置台34亦可。如上所述,進行特定時間例如數10秒的擴散步驟。此外,在先前說明的製膜步驟中,當沉積在凹部4側面的金屬膜之厚度為5nm以下時,即使不冷卻載置台34,由於在凹部4側面上的金屬膜不會產生凝聚,故不需冷卻載置台34。此時,提高載置台34的溫度,即使在製膜步驟中,亦可產生表面擴散。
交互實施特定次數(循環數)的上述製膜步驟S2及擴散步驟S3(第4圖之S4的NO)。如第5圖(D)所示,在第2次的製膜步驟中,再度形成Cu金屬膜90,然後,如第5圖(E)所示,在第2次的擴散步驟中,擴散移動在第2次的製膜步驟中被製膜的金屬膜90之Cu原子,並引入到凹部4底部。當各別反覆進行特定的次數如數10次之製膜步驟S2及擴散步驟S3時(第4圖之S4的YES),如第5圖(F)所示,凹部4內成為完全被Cu埋入的狀態。當成為該狀態時,結束製膜處理。
以下,係表示製膜步驟S2及擴散步驟S3的具體製程條件的例子。
[製膜步驟S2]
製程壓力:30至100mTorr,例如50mTorr(6.7Pa)電漿用的高頻電源66的電力:4至5.3kW,例如5.25kW可變直流電源72的電力:0.5至2kW,例如1.2kW偏壓電源54的電力:120至170W,例如150W製程時間:例如20秒(此係根據應沉積的膜厚而變化)
[製膜步驟S3]
製程壓力:30至100mTorr,例如50mTorr(6.7Pa)電漿用的高頻電源66的電力:4至5.3kW,例如5.25kW可變直流電源72的電力:0偏壓電源54的電力:50W以下,例如35W製程時間:例如30秒(此係根據應沉積的膜厚而變化)
[製膜步驟S2+擴散步驟S3的循環數]
約20循環(此係依據凹部尺寸而變化)根據本實施形態,藉由反覆交互實施如上所述的製膜步驟和擴散步驟,而不會產生孔隙等缺陷,可從底部側依序適當的埋入微細的凹部4。根據本實施形態,不需使用電鍍處理,僅用電漿濺鍍,可藉由銅等的金屬埋入微細的凹部4。因此,可避免因為電鍍處理實施埋入時所產生的問題,例如因為電鍍液的添加劑而導致阻礙Cu晶粒的成長,故藉由充份成長的Cu晶粒(grain),可形成配線膜,可提升配線膜的信賴性。
此外,不僅是Cu,在以Cu合金埋入凹部4時,亦可使用Cu合金標靶。在上述的說明中,雖然僅表示埋入較窄的下段之凹部4的情況,但是,在第5圖(F)所示的步驟中,更交互反覆進行上述的製膜步驟S2和擴散步驟S3,而進行上段之凹部2內的埋入亦可。又,在上段的凹部2較寬時,例如,寬度或直徑大於100nm時,在第5圖(F)所示的步驟結束之後,使用埋入到下段的凹部4之金屬膜90做為下部電極,進行Cu電鍍處理,亦可埋入上段的凹部2。若上段的凹部2之寬度或直徑較大,則藉由在電鍍處理之後進行退火處理,可從金屬膜中除去電鍍液中的添加劑。
本發明不限定在上述實施形態,在不脫離本發明的主旨的範圍內,可進行各樣的改變。例如,高頻電源的頻率不限定在13.56MHz ,亦可做為其他的頻率,例如27.0MHz 。電漿生成用的惰性氣體不限定在Ar氣體,亦可使用其他的惰性氣體,例如He或Ne。被處理體不限定為半導體晶圓,亦可為LCD基板、玻璃基板、陶磁基板等。
[實驗]
使用依據本發明的製膜方法,進型往微細的凹部內埋入銅。結果,說明如下。
首先,進行將銅埋入到寬度100nm的溝(溝渠)。將其結果表示於第7圖。在第7圖中,(A)為以往方法(無擴散步驟),(B)表示本發明的方法(埋入途中的狀態)。此外,第7圖的上段係表示埋入銅的溝渠之剖面電子顯微鏡照片,下段係表示用來說明該照片的模式圖。本發明方法的製程條件與先前所記載的具體製程條件相同。
如第7圖(A)所示,在以往的方法中,於凹部的開口端附近使突出部份成為橋,在其下方產生孔隙。相對於此,如第7圖(B)所示,本發明方法之情況係不會產生孔隙,而可從凹部內的底部良好的埋入。
又,使用依據本發明的製膜方法,進行寬度100nm的溝(溝渠)、及往直徑100nm的孔埋入銅。結果表示於第8圖。第8圖(A)係朝向溝渠埋入Cu的結果,第8圖(B)係朝向孔埋入Cu的結果。在第8圖(A)及(B)中,上段為電子顯微鏡照片,左側為進行製膜步驟及擴散步驟10次循環之後的剖面照片,中央為進行製膜步驟及擴散步驟20次循環之後的剖面照片,右側為從進行製膜步驟及擴散步驟20次循環之後的斜方向所拍攝的照片。此外,在各照片的下方表示用來說明該照片的模示圖。
從第8圖(A)可清楚得知,依據處理循環(製膜步驟+擴散步驟)從10次循環前進到20次循環,溝渠內部不會產生孔隙,而可依序從底部良好的埋入。又,從第8圖(B)可清楚得知,處理循環從10次循環前進到20次循環,孔內部不會產生孔隙,而可被埋入。
22...電漿成膜裝置
24...處理容器
26...底壁
28...排氣口
30...節流閥
32...真空泵浦
34...載置台
34A,36A...載置台本體
34B...靜電夾頭
W...半導體晶圓
36...氣體溝
38...支柱
40...金屬風箱
42...冷媒循環路
44...阻抗加熱器
46...支持插銷
48...插銷插通孔
50...閘閥
52...配線
54...偏壓電源
56...透過板
58...密封構件
60...處理空間
62...電漿源
64...誘導線圈
66...高頻電源
68...擋板
70...金屬標靶
72...可變直流電源
74...保護蓋
76...氣體導入口
78...氣體控制器
80...裝置控制器
82...記憶媒體
2,4...凹部
8...阻障層
(2)...上部空間
(4)...下部空間
90...金屬膜
P‧‧‧氬離子
90A,90B‧‧‧金屬原子
3‧‧‧絕緣層
6‧‧‧配線層
12‧‧‧金屬膜
10‧‧‧種子膜
14‧‧‧突出部分
16‧‧‧孔隙
H1,H2,H3,H4‧‧‧厚度
S1‧‧‧形成底膜步驟
S2‧‧‧製膜步驟
S3‧‧‧擴散步驟
S4‧‧‧判斷步驟
第1圖係表示本發明一實施形態之電漿製膜裝置的構成之概略剖面圖。
第2圖係濺鍍蝕刻的角度依存性之圖表。
第3圖係表示偏壓電力、和晶圓上面的成膜速率之關係的圖表。
第4圖係說明本發明的成膜方法之一例的流程圖。
第5圖係用來說明成膜方法的各階段之凹部的概略剖面圖。
第6圖係用來說明擴散步驟的作用之模式圖。
第7圖係表示實驗結果的電子顯微鏡照片及模式圖。
第8圖係表示實驗結果的電子顯微鏡照片及模式圖。
第9圖係形成於半導體晶圓的表面之凹部的一例的剖面斜視圖。
第10圖係用來說明埋入形成於半導體晶圓的表面之凹部的以往方法的概略剖面圖。

Claims (17)

  1. 一種利用電漿製膜裝置之製膜方法,其特徵為具備有:將具有表面、和於該表面開口的凹部之被處理體,載置於配置在處理容器內的載置台上之步驟;包含有:在前述處理容器內產生電漿,藉由前述電漿,濺鍍配置於前述處理容器內的金屬標靶,而產生金屬粒子之步驟;對前述載置台施加偏壓電力,將前述金屬粒子引入至前述凹部內,並沉積在前述凹部內,藉此,將金屬埋入到前述凹部之步驟的製膜步驟,該製膜步驟,係以在前述被處理體的表面上,藉由前述金屬粒子的引入而產生的金屬沉積之沉積速率,與藉由前述電漿所產生的濺鍍蝕刻之蝕刻速率大致均衡的方式,設定前述偏壓電力之狀態下而進行;在不從前述金屬標靶產生金屬粒子的狀態下,將前述被處理體維持在沉積於前述凹部內的前述金屬膜之表面擴散所產生的特定溫度,使構成前述金屬膜的金屬原子朝向前述凹部的底部移動之擴散步驟;及交互複數次反覆前述製膜步驟和前述擴散步驟的步驟。
  2. 如申請專利範圍第1項之製膜方法,其中,前述偏壓電力之大小,為在實施該製膜方法之前,不蝕刻已形 成於前述凹部的表面之底膜的大小。
  3. 如申請專利範圍第1項之製膜方法,其中,前述特定的溫度,係低於構成前述金屬膜的金屬原子體擴散所產生的溫度。
  4. 如申請專利範圍第1項之製膜方法,其中,在前述擴散步驟中,前述被處理體係藉由與此衝撞的電漿之衝撞能量來加熱。
  5. 如申請專利範圍第1項之製膜方法,其中,前述擴散步驟,係在實施前述製膜步驟的處理容器內實行。
  6. 如申請專利範圍第1項之製膜方法,其中,前述凹部之寬度為100nm以下的溝,或直徑為100nm以下的孔。
  7. 如申請專利範圍第1項之製膜方法,其中,於進行複數次的前述製膜步驟的每一次,被製膜的前述金屬膜厚度為5nm以下。
  8. 如申請專利範圍第1項之製膜方法,其中,前述凹部為配線用的溝或孔。
  9. 如申請專利範圍第1項之製膜方法,其中,前述金屬膜為銅或銅合金所構成。
  10. 如申請專利範圍第9項之製膜方法,其中,前述擴散步驟的前述特定溫度,係在200至400℃的範圍內。
  11. 如申請專利範圍第1項之製膜方法,其中,前述凹部係具有相對狹窄的下部空間、和相對較寬的上側空間,更具備有: 前述下部空間藉由前述製膜步驟和前述擴散步驟的反覆,被前述金屬膜埋入之後,藉由電鍍,埋入前述上部空間之電鍍步驟。
  12. 如申請專利範圍第1項之製膜方法,其中,前述凹部係具有相對狹窄的下部空間、和相對較寬的上側空間,前述下部空間及前述上部空間兩者,藉由前述製膜步驟及前述擴散步驟的反覆,被前述金屬膜所埋入。
  13. 一種電漿製膜裝置,其特徵係具備有:可抽真空的處理容器;用來載置被處理體的載置台;將處理氣體導入至前述處理容器內的氣體導入手段;用來產生電漿至前述處理容器內的電漿產生源;設置於前述處理容器內的金屬標靶;將放電用電力供給至前述金屬標靶的標靶用直流電源;對於前述載置台供給偏壓電力的偏壓電源;以及控制該製膜裝置全體的動作之裝置控制部,前述裝置控制部係控制該製膜裝置,並以實行以下之步驟的方式而構成:在前述處理容器內產生電漿,藉由前述電漿,濺鍍配置於前述處理容器內的金屬標靶,而產生金屬粒子之步驟;對前述載置台施加偏壓電力,將前述金屬粒子引入到形成於前述被處理體的表面之凹部內,並沉積於前述凹部 內,藉此,於前述凹部埋入金屬的製膜步驟,該製膜步驟,係在前述被處理體的表面上,以藉由前述金屬粒子的引入而產生的金屬的沉積之沉積速率,與藉由前述電漿所產生的濺鍍蝕刻之蝕刻速率大致均衡的方式,來設定前述偏壓電力之狀態下而進行;在不從前述金屬標靶產生金屬粒子的狀態下,將前述被處理體維持在沉積於前述凹部內的前述金屬膜之表面擴散所產生的特定溫度,使構成前述金屬膜的金屬原子朝向前述凹部的底部移動之擴散步驟;及交互複數次反覆前述製膜步驟和前述擴散步驟的步驟。
  14. 如申請專利範圍第13項之電漿製膜裝置,其中,前述載置台,係具有用來冷卻前述被處理體的冷卻手段。
  15. 如申請專利範圍第13項之電漿製膜裝置,其中,前述載置台,係具有用來加熱前述被處理體的加熱手段。
  16. 如申請專利範圍第13項之電漿製膜裝置,其中,於前述載置台表面,係形成有用來使熱傳導氣體流動的氣體溝。
  17. 一種用於電漿製膜裝置之記憶媒體,係記憶有用來控制製膜裝置的程式,其特徵為,前述製膜裝置係具備有:可抽真空的處理容器;用來載置被處理體的載置台;將處理氣體導入至前述處理容器 內的氣體導入手段;用來產生電漿至前述處理容器內的電漿產生源;設置於前述處理容器內的金屬標靶;將放電用電力供給至前述金屬標靶的標靶用直流電源;對於前述載置台供給偏壓電力的偏壓電源;以及控制該製膜裝置全體動作之電腦的形態之裝置控制部,前述裝置控制部藉由實行前述程式,來控制前述製膜裝置,並以實行包含以下步驟的製膜方法:在前述處理容器內產生電漿,藉由前述電漿,濺鍍配置於前述處理容器內的金屬標靶,而產生金屬粒子之步驟;對前述載置台施加偏壓電力,將前述金屬粒子引入到形成於前述被處理體的表面之凹部內,並沉積於前述凹部內,藉此,於前述凹部埋入金屬的製膜步驟,該製膜步驟,係在前述被處理體的表面上,以藉由前述金屬粒子的引入而產生的金屬的沉積之沉積速率,與藉由前述電漿所產生的濺鍍蝕刻之蝕刻速率大致均衡的方式,來設定前述偏壓電力之狀態下而進行;在不從前述金屬標靶產生金屬粒子的狀態下,將前述被處理體維持在沉積於前述凹部內的前述金屬膜之表面擴散所產生的特定溫度,使構成前述金屬膜的金屬原子朝向前述凹部的底部移動之擴散步驟;及交互複數次反覆前述製膜步驟和前述擴散步驟的步驟。
TW096105168A 2006-02-09 2007-02-09 Film forming method, plasma film forming device and memory medium TWI390089B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006033022A JP5023505B2 (ja) 2006-02-09 2006-02-09 成膜方法、プラズマ成膜装置及び記憶媒体

Publications (2)

Publication Number Publication Date
TW200734493A TW200734493A (en) 2007-09-16
TWI390089B true TWI390089B (zh) 2013-03-21

Family

ID=38345274

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096105168A TWI390089B (zh) 2006-02-09 2007-02-09 Film forming method, plasma film forming device and memory medium

Country Status (6)

Country Link
US (1) US8026176B2 (zh)
JP (1) JP5023505B2 (zh)
KR (1) KR101025986B1 (zh)
CN (1) CN101421825B (zh)
TW (1) TWI390089B (zh)
WO (1) WO2007091682A1 (zh)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006043551A1 (ja) * 2004-10-19 2006-04-27 Tokyo Electron Limited プラズマスパッタリングによる成膜方法及び成膜装置
JP2009105289A (ja) * 2007-10-24 2009-05-14 Tokyo Electron Ltd Cu配線の形成方法
JP2009182140A (ja) * 2008-01-30 2009-08-13 Tokyo Electron Ltd 薄膜の形成方法、プラズマ成膜装置及び記憶媒体
US8030215B1 (en) * 2008-02-19 2011-10-04 Marvell International Ltd. Method for creating ultra-high-density holes and metallization
JP5262878B2 (ja) * 2009-03-17 2013-08-14 東京エレクトロン株式会社 載置台構造及びプラズマ成膜装置
JP5535756B2 (ja) * 2010-05-13 2014-07-02 株式会社アルバック スパッタ方法及びスパッタ装置
FR2960884B1 (fr) * 2010-06-02 2012-06-01 Commissariat Energie Atomique Procede de diffusion de particules metalliques au sein d'une couche composite
US8841211B2 (en) * 2010-06-09 2014-09-23 Applied Materials, Inc. Methods for forming interconnect structures
US8993434B2 (en) * 2010-09-21 2015-03-31 Applied Materials, Inc. Methods for forming layers on a substrate
JP5392215B2 (ja) * 2010-09-28 2014-01-22 東京エレクトロン株式会社 成膜方法及び成膜装置
NO341684B1 (no) * 2010-10-27 2017-12-18 Inst Energiteknik Fremgangsmåte for fremstilling av en solcelle.
JP5767570B2 (ja) * 2011-01-27 2015-08-19 東京エレクトロン株式会社 Cu配線の形成方法およびCu膜の成膜方法、ならびに成膜システム
US20120196242A1 (en) * 2011-01-27 2012-08-02 Applied Materials, Inc. Substrate support with heater and rapid temperature change
US8399353B2 (en) 2011-01-27 2013-03-19 Tokyo Electron Limited Methods of forming copper wiring and copper film, and film forming system
US8859422B2 (en) 2011-01-27 2014-10-14 Tokyo Electron Limited Method of forming copper wiring and method and system for forming copper film
US8404048B2 (en) * 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
JP5599350B2 (ja) * 2011-03-29 2014-10-01 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5719212B2 (ja) * 2011-03-30 2015-05-13 東京エレクトロン株式会社 成膜方法およびリスパッタ方法、ならびに成膜装置
US20140117550A1 (en) * 2012-10-29 2014-05-01 International Business Machines Corporation Semiconductor device including an insulating layer, and method of forming the semiconductor device
US8890262B2 (en) 2012-11-29 2014-11-18 Globalfoundries Inc. Semiconductor device having a metal gate recess
US9087542B1 (en) 2012-12-10 2015-07-21 Western Digital (Fremont), Llc Method for providing a structure having reduced voids in a magnetic recording transducer
KR102085086B1 (ko) * 2013-10-29 2020-03-05 삼성전자주식회사 반도체 장치 및 그 제조방법
JP6268036B2 (ja) 2014-05-16 2018-01-24 東京エレクトロン株式会社 Cu配線の製造方法
US10014179B2 (en) * 2015-02-13 2018-07-03 Applied Materials, Inc. Methods for forming cobalt-copper selective fill for an interconnect
WO2016152089A1 (ja) * 2015-03-25 2016-09-29 株式会社アルバック 高周波スパッタリング装置及びスパッタリング方法
US10438846B2 (en) 2017-11-28 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Physical vapor deposition process for semiconductor interconnection structures
US11142825B2 (en) * 2019-02-01 2021-10-12 Averatek Corporation Coating of nano-scaled cavities
KR102605619B1 (ko) 2019-07-17 2023-11-23 삼성전자주식회사 기판 관통 비아들을 포함하는 반도체 소자 및 그 제조 방법
US11898248B2 (en) 2019-12-18 2024-02-13 Jiangsu Favored Nanotechnology Co., Ltd. Coating apparatus and coating method
CN117127154A (zh) * 2023-10-16 2023-11-28 粤芯半导体技术股份有限公司 一种半导体器件中的互连金属的沉积方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0684829A (ja) * 1992-09-02 1994-03-25 Fujitsu Ltd 半導体装置の製造方法
US5275330A (en) * 1993-04-12 1994-01-04 International Business Machines Corp. Solder ball connect pad-on-via assembly process
JP2950218B2 (ja) * 1995-09-18 1999-09-20 ヤマハ株式会社 半導体装置の製造方法
JPH1074760A (ja) 1996-08-30 1998-03-17 Sony Corp 配線形成方法
TW366525B (en) * 1996-12-16 1999-08-11 Applied Materials Inc Selective physical vapor deposition conductor fill in IC structures
US5936829A (en) * 1997-01-02 1999-08-10 Cvc Products, Inc. Thermally conductive chuck for vacuum processor
JPH10214836A (ja) * 1997-01-30 1998-08-11 Hitachi Ltd 半導体集積回路装置の製造方法および製造装置ならびに半導体集積回路装置
US6051114A (en) * 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
JPH1154612A (ja) * 1997-07-30 1999-02-26 Sony Corp 半導体装置およびその製造方法
US5976327A (en) * 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
KR100259357B1 (ko) * 1998-02-07 2000-06-15 김영환 반도체 소자의 배선형성방법
JP3435061B2 (ja) * 1998-04-23 2003-08-11 株式会社神戸製鋼所 金属配線膜の形成方法
JP2000077365A (ja) 1998-08-29 2000-03-14 Tokyo Electron Ltd 研磨スラリー及び研磨方法
US6259592B1 (en) * 1998-11-19 2001-07-10 Applied Materials, Inc. Apparatus for retaining a workpiece upon a workpiece support and method of manufacturing same
US6184137B1 (en) * 1998-11-25 2001-02-06 Applied Materials, Inc. Structure and method for improving low temperature copper reflow in semiconductor features
JP2001007049A (ja) * 1999-06-25 2001-01-12 Hitachi Ltd 半導体集積回路装置の製造方法およびその製造装置
US6350353B2 (en) * 1999-11-24 2002-02-26 Applied Materials, Inc. Alternate steps of IMP and sputtering process to improve sidewall coverage
US6696360B2 (en) * 2001-03-15 2004-02-24 Micron Technology, Inc. Barrier-metal-free copper damascene technology using atomic hydrogen enhanced reflow
TW552624B (en) * 2001-05-04 2003-09-11 Tokyo Electron Ltd Ionized PVD with sequential deposition and etching
JP3836696B2 (ja) * 2001-08-31 2006-10-25 株式会社東芝 半導体製造システムおよび半導体装置の製造方法
JP4458740B2 (ja) * 2002-09-13 2010-04-28 株式会社アルバック バイアススパッタ成膜方法及びバイアススパッタ成膜装置
JP4242136B2 (ja) * 2002-10-31 2009-03-18 富士通マイクロエレクトロニクス株式会社 配線構造の形成方法
US20050151263A1 (en) * 2004-01-08 2005-07-14 Fujitsu Limited Wiring structure forming method and semiconductor device
JP2005285820A (ja) * 2004-03-26 2005-10-13 Ulvac Japan Ltd バイアススパッタ成膜方法及び膜厚制御方法
JP2006148074A (ja) * 2004-10-19 2006-06-08 Tokyo Electron Ltd 成膜方法及びプラズマ成膜装置
WO2006043551A1 (ja) * 2004-10-19 2006-04-27 Tokyo Electron Limited プラズマスパッタリングによる成膜方法及び成膜装置

Also Published As

Publication number Publication date
KR20080090559A (ko) 2008-10-08
TW200734493A (en) 2007-09-16
JP5023505B2 (ja) 2012-09-12
CN101421825A (zh) 2009-04-29
US20100167540A1 (en) 2010-07-01
US8026176B2 (en) 2011-09-27
JP2007214387A (ja) 2007-08-23
CN101421825B (zh) 2010-09-29
WO2007091682A1 (ja) 2007-08-16
KR101025986B1 (ko) 2011-03-30

Similar Documents

Publication Publication Date Title
TWI390089B (zh) Film forming method, plasma film forming device and memory medium
KR100987835B1 (ko) 시드막의 성막 방법, 플라즈마 성막 장치 및 기억 매체
JP5392215B2 (ja) 成膜方法及び成膜装置
TWI430369B (zh) Metal film forming method
US20070235321A1 (en) Depositing rhuthenium films using ionized physical vapor deposition (ipvd)
WO2005103321A2 (en) Ionized physical vapor deposition (ipvd) process
JP2006148075A (ja) 成膜方法及びプラズマ成膜装置
WO2012133400A1 (ja) Cu配線の形成方法
JP5767570B2 (ja) Cu配線の形成方法およびCu膜の成膜方法、ならびに成膜システム
WO2006043554A1 (ja) プラズマスパッタリングによる成膜方法および成膜装置
KR101846049B1 (ko) Cu 배선의 제조 방법 및 기억 매체
KR20160068668A (ko) Cu 배선의 형성 방법 및 성막 시스템, 기억 매체
KR20140020203A (ko) Cu 배선의 형성 방법 및 기억매체
TWI689013B (zh) Cu配線形成方法及半導體裝置之製造方法、記憶媒體
JP2007291439A (ja) 成膜方法、プラズマ成膜装置及び記憶媒体
KR101382376B1 (ko) 성막 방법 및 Cu 배선의 형성 방법
WO2009096095A1 (ja) 薄膜の形成方法、プラズマ成膜装置及び記憶媒体
WO2014010333A1 (ja) Cu配線の形成方法およびコンピュータ読み取り可能な記憶媒体
KR101357531B1 (ko) Cu 배선의 형성 방법 및 Cu막의 성막 방법, 성막 시스템, 및 기억 매체

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees