TWI374951B - Integrated electroless deposition system - Google Patents

Integrated electroless deposition system Download PDF

Info

Publication number
TWI374951B
TWI374951B TW95127791A TW95127791A TWI374951B TW I374951 B TWI374951 B TW I374951B TW 95127791 A TW95127791 A TW 95127791A TW 95127791 A TW95127791 A TW 95127791A TW I374951 B TWI374951 B TW I374951B
Authority
TW
Taiwan
Prior art keywords
substrate
chamber
layer
processing
gas
Prior art date
Application number
TW95127791A
Other languages
Chinese (zh)
Other versions
TW200716794A (en
Inventor
Dmitry Lubomirsky
Arulkumar Shanmugasundram
Ambra Allen D
Timothy W Weidman
Michael P Stewart
Eugene Rabinovich
Svetlana Sherman
Manoocher Birang
Yaxin Wang
Michael X Yang
Bradley Hansen
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/192,993 external-priority patent/US20060033678A1/en
Priority claimed from US11/428,230 external-priority patent/US20070111519A1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200716794A publication Critical patent/TW200716794A/en
Application granted granted Critical
Publication of TWI374951B publication Critical patent/TWI374951B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/6723Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one plating chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1619Apparatus for electroless plating
    • C23C18/1632Features specific for the apparatus, e.g. layout of cells and of its equipment, multiple cells
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • C23C18/1653Two or more layers with at least one layer obtained by electroless plating and one layer obtained by electroplating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1675Process conditions
    • C23C18/1682Control of atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1675Process conditions
    • C23C18/1685Process conditions with supercritical condition, e.g. chemical fluid deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1689After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1851Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material
    • C23C18/1872Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by chemical pretreatment
    • C23C18/1886Multistep pretreatment
    • C23C18/1889Multistep pretreatment with use of metal first
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67046Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly scrubbing means, e.g. brushes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Description

九、發明說明: 【發明所屬之技術領域】 本發明之實施例一般是關於一種用於半導體製程的無 錢(electroless deposition)系統。 【先前技術】 -人 1〇〇奈米 (sub-100 nanometer) 尺寸特徵結構 (feature )之金屬化製程為現今與下世代積體電路製程的 關鍵技術之一。特別是製造極大型積體電路元件,其具有 好幾百萬邏輯閘極構成之積體電路、以及位於元件核心之 夕層内連線’其中内連線一般是填充導電材料(例如銅)到 尚深寬比之内連線特徵結構而形成’其深寬比為大於約 1 0 : 1。在此尺寸下,傳統沉積技術,如化學氣相沈積法(C V D) 與物理氣相沈積法(PVD) ’並不能確實地填充内連線特徵 結構’如溝渠(trench)或通孔(via)。因此,電鍍技術,即電 化學電鍍法(electrochemical plating )與無電電鐘法 (electroless plating),則被視為可無孔洞(v〇idfree)填充 次1 00奈米尺寸之高深寬比内連線特被結構的積體電路製 程之-。此外電化學電鑛法與無電電鍵法亦被認為可用 來沉積或修補預鍛之晶種層、和沉積後鍍之膜層(例如覆 蓋層)的製程》 的鄰 導金 為進一步縮小積體電路中的元件 電材料與低介電係數(k)的絕緣材 屬線間的電容耦合效應。因鋼 尺寸’需使用低阻抗 料(k<4.0),以降低相 的阻抗比鋁小(銅為 6 1374951IX. INSTRUCTIONS OF THE INVENTION: TECHNICAL FIELD OF THE INVENTION Embodiments of the present invention generally relate to an electroless deposition system for use in a semiconductor process. [Prior Art] - The metallization process of the sub-100 nanometer size feature is one of the key technologies for today's and next generation integrated circuit processes. In particular, the fabrication of a very large integrated circuit component having an integrated circuit of several million logic gates and a wiring within the core of the component core where the interconnect is generally filled with a conductive material (eg, copper) to The aspect ratio is also formed within the aspect ratio to form a 'depth ratio of greater than about 10:1. At this size, conventional deposition techniques such as chemical vapor deposition (CVD) and physical vapor deposition (PVD) do not reliably fill interconnect features such as trenches or vias. . Therefore, electroplating techniques, ie, electrochemical plating and electroless plating, are considered to be non-porous (v〇idfree) filled with a high aspect ratio interconnect of the size of 100 nm. The structure of the integrated circuit process -. In addition, the electrochemical ortho-electrode method and the electroless-free method are also considered to be used to deposit or repair the pre-forged seed layer, and the process of depositing the film layer (for example, the coating layer). The capacitive coupling effect between the component electrical material in the circuit and the low dielectric constant (k) insulating material. Due to the steel size, a low-impedance material (k<4.0) is required to reduce the impedance of the phase to be smaller than aluminum (copper is 6 1374951)

1.7μΩ-(:ιη,鋁為3·1μΩ-(;πι),且具有較大的電流承載 較高的電子漂移阻抗,故銅與其合金為目前次微米内 製程中最常採用的金屬。這些特性亦有助於維持高積 (level of integration)與元件速度下的高電流密度 外,銅具良好的導熱性,且易取得高純度狀態的銅。 雖然内連線材料普遍採用銅,但銅卻容易擴散到 膜層,如介電層。不當擴散的銅造成介電層具導電性 使電子元件失效,故可使用阻障材料來控制銅擴散。 層一般包括耐高溫的金屬氮化物及/或矽化物,例如 組。其中,氮化组為最常用於阻障層的材料之一。氮 即使很薄(如2 0埃或更薄),其仍為具低電阻的金屬氮 之一,並且可有效阻擋銅擴散。氮化钽層的沉積方式 為習知的沉積法,如物理氣相沈積(PVD )、原子層 (ALD)、及化學氣相沈積(CVD )。氮化钽的缺點則是 沉積其上之銅層間的黏著性差。後續沉積之銅層的黏 不佳會導致元件的電子漂移性差,且可能在後續的製 驟(如化學機械研磨(CMP))中,引發污染問題。當氮化 接觸到氧或其他污染物時,會使暴露的氮化钽層表 化,因而無法與後續沉積之銅層形成強而牢固的鍵結 者,介電材料沉積製程一般包括使用碳,並使碳併入 層"碳的併入通常不利於完成濕式化學製程,因為此 膜層會變得較疏水、較易還原、或會妨礙流體潤濕及 出預定的膜層性質。因此,需要一種製程及設備,可 阻障層或黏著層,且其可牢固地結合至含碳之介電層 量與 連線 集度 。另 相鄰 ,而 阻障 鈦或 化钽 化物 一般 沉積 其與 著性 程步 组層 面氧 。再 介電 沉積 沉積 沉積 與後 7 13749511.7μΩ-(:ιη, aluminum is 3·1μΩ-(;πι), and has a large current carrying a high electron drift resistance, so copper and its alloy are the most commonly used metals in the current sub-micron process. The characteristics also help to maintain high current density at the level of integration and component speed. Copper has good thermal conductivity and is easy to obtain copper in high purity. Although copper is commonly used for interconnect materials, copper It is easy to diffuse into the film layer, such as the dielectric layer. Improperly diffused copper causes the dielectric layer to have electrical conductivity to disable the electronic components, so barrier materials can be used to control copper diffusion. Layers generally include high temperature resistant metal nitrides and/or Or a telluride, such as a group, wherein the nitrided group is one of the materials most commonly used for the barrier layer. Even if the nitrogen is very thin (e.g., 20 angstroms or less), it is still one of the low-resistance metal nitrogens. And it can effectively block copper diffusion. The deposition method of tantalum nitride layer is a conventional deposition method such as physical vapor deposition (PVD), atomic layer (ALD), and chemical vapor deposition (CVD). Is the adhesion between the copper layers deposited thereon The poor adhesion of the subsequently deposited copper layer can result in poor electronic drift of the component and may cause contamination problems in subsequent fabrication processes such as chemical mechanical polishing (CMP). When nitriding contacts oxygen or other contaminants When exposed, the layer of tantalum nitride is characterized and thus cannot form strong and strong bonds with the subsequently deposited copper layer. The dielectric material deposition process generally involves the use of carbon and the incorporation of carbon into the layer. Incorporation is generally not conducive to completing a wet chemical process because the film layer becomes more hydrophobic, easier to reduce, or interferes with fluid wetting and predetermined film properties. Therefore, a process and equipment are needed to block a layer or an adhesive layer, and which can be firmly bonded to the carbon-containing dielectric layer amount and the wiring concentration. Further adjacent, and the barrier titanium or chemical telluride generally deposits oxygen with the characterization step group. Dielectric deposition deposition and deposition after 7 1374951

續沉積之銅層。 使用銅與其合金的另一困難在於,銅接觸空氣後 速氧化且易因後續製程步驟而產生化學腐蝕與惡化性 是以銅内連線易受氧化或其他惡化形式的影響,並且 批(lot)間的等待時間不同時,晶種層的氧化程度會不 避免銅内連線受後續製程步驟影響的方法之一為 成覆蓋層於銅内連線之上。然而,上述之覆蓋層方法 題在於:在無電鍍覆蓋層之前,基材不適當之預處理 及覆蓋層不適當之後處理,而這些不當的處理方式會 污染問題及/或選擇性問題。污染的例子包括殘留在令 鈷與其他金屬之疏水膜層上的水痕。水痕污染會嚴重 後續的無電電鍍製程與其他製程步驟。使用覆蓋層來 内連線也可能造成比鄰的内連線短路。第1A圖繪示 平行内連線1 0 1、1 02、1 03的基材結構1 00。基材結相 已經CMP製程平坦化。即使在充分清洗後,一般在基 構100表面仍有銅粒子104a污染物。第1B圖繪示形 金屬覆蓋層後的基材結構1 〇 〇,其例如利用無電電鍍 形成覆蓋層105於内連線101、102、103之上。由於 可當作自動催化(無電電鍍)的沉積點(site),故銅粒子 將產生顯著的沉積效果。在相鄰内連線的區域中,變 金屬粒子 104b(第1B圖)可於平行内連線101、102 之間產生漏電。此外,平行内連線102些微不平整的 106a在沉積覆蓋層105的過程中會擴大變成更不平整 緣1 06b,進而縮短内連線間產生漏電所需的距離1 07 會快 質。 當各 &] 〇 :形 之問 , 以 引起 銅、 影響 保護 一具 100 材結 成一 製程 金屬 104a 大的 103 邊緣 的邊 1374951Continued deposition of copper layer. Another difficulty in using copper and its alloys is that the copper is exposed to air at a rate of oxidation and is susceptible to chemical corrosion and deterioration due to subsequent processing steps. The copper interconnects are susceptible to oxidation or other deteriorating forms, and the lot is When the waiting time is different, the oxidation degree of the seed layer does not prevent the copper interconnect from being affected by the subsequent process steps, and the cover layer is over the copper interconnect. However, the above-described overlayer method is that prior to the electroless plating, the substrate is improperly pretreated and the cover layer is treated improperly, and these improper treatments may cause contamination problems and/or selectivity problems. Examples of contamination include water marks remaining on the hydrophobic film layer of cobalt and other metals. Water mark contamination can be severe. Subsequent electroless plating processes and other process steps. Using an overlay to interconnect the interconnect may also cause a short circuit to the adjacent interconnect. Fig. 1A shows a substrate structure 100 of parallel interconnects 1 0 1 , 102 , and 103 . The phase of the substrate has been planarized by the CMP process. Even after sufficient cleaning, copper particles 104a are generally present on the surface of the substrate 100. Fig. 1B is a view showing a substrate structure 1 形 形 after the metal-clad layer is formed, for example, by electroless plating to form a cap layer 105 over the interconnects 101, 102, 103. Since it can be used as a site for autocatalysis (electroless plating), copper particles will produce significant deposition effects. In the region of adjacent interconnects, the metal-changing particles 104b (Fig. 1B) can generate leakage between the parallel interconnects 101, 102. In addition, the slightly uneven 106a of the parallel interconnects 102 will expand to become more uneven edges 106b during the deposition of the cap layer 105, thereby shortening the distance 1 07 required for leakage between the interconnects to be faster. When each &] 〇 : shape asks to cause copper, the effect of protecting a 100 material into a process metal 104a large 103 edge of the edge 1374951

製造極大型積體電路所面臨的另一挑戰為同時填 一元件上具很高及很低深寬比之特徵結構。第1 c圖 一基材結構11 0的側視圖,其具有高深寬比(即> 1 〇 : 次微米特徵結構(如高深寬比之特徵結構m )、及低深 之特徵結構112,二者均須填入銅。第1C-1E圖繪示 寬比特徵結構具有深寬比約為1 : 5,但對某些元件特 構而言,例如接觸墊,其深寬比可能高達1: 100或以 第 1D圖繪示進行典型之無電電鍍銅製程後的基材 110。具厚度114之無電銅層115經由無電電鍍製程而 地沉積在基材結構11 0上。因高深寬比之特徵結構1: 度113不及二倍的無電銅層115厚度114,所以高深 之特徵結構11 1可被填滿。但低深寬比之特徵結構11 有填入部分。因此,必須延長無電填充製程或另外採 來填充大的低深寬比之特徵結構1 1 2的方法。利用無 鍍法來填滿如此大的基材特徵結構需要很長的沉積時 如比填滿高深寬比之特徵結構1 1 1多1倍以上的時間 用第二電鍍方法來填滿低深寬比之特徵結構1 1 2 —般 在另一製程平台中額外使用昂貴且複雜的基材。此外 進行第二電鍍製程之前,無電銅層115將因氧化而降多 在製造電子元件的過程中,氧化反應還會於暴露 接觸孔(electrical contact)上而形成原生(native)氧化 原生氧化物一般是在基材表面接觸到氧的時候形成。 材在大氣環境下於各製程室間移動或於各製程步驟間 材處理系統移出、或當製程室内少量的氧接觸基材 充同 繪示 1)之 寬比 低深 徵結 上。 結構 共形 [1寬 寬比 L2只 用用 電電 間, 。採 則需 ,在 弹。 的電 物。 當基 從基 表面 9 1374951Another challenge faced in fabricating very large integrated circuits is the simultaneous filling of features with high and low aspect ratio features. Figure 1 c is a side view of a substrate structure 110 having a high aspect ratio (i.e., > 1 〇: sub-micron features (e.g., high aspect ratio feature m), and low-depth features 112, Both must be filled with copper. Figure 1C-1E shows that the aspect ratio feature has an aspect ratio of about 1:5, but for some component features, such as contact pads, the aspect ratio may be as high as 1: 100 or 1D shows a substrate 110 after a typical electroless copper plating process. An electroless copper layer 115 having a thickness of 114 is deposited on the substrate structure 110 via an electroless plating process. Structure 1: Degree 113 is less than twice the thickness of the electroless copper layer 115, so the deep feature 11 1 can be filled. However, the low aspect ratio feature 11 has a filling portion. Therefore, the electroless filling process must be extended or In addition, a method of filling a large low aspect ratio characteristic structure 1 1 2 is employed. The use of the non-plating method to fill such a large substrate characteristic structure requires a long deposition time, such as filling a high aspect ratio characteristic structure 1 1 1 more than 1 time to fill the low aspect ratio with the second plating method The structure 1 1 2 generally uses an expensive and complicated substrate in another process platform. In addition, before the second electroplating process, the electroless copper layer 115 will be reduced by oxidation in the process of manufacturing electronic components, oxidation reaction The native oxidized native oxide is also formed on the exposed electrical contact, which is generally formed when the surface of the substrate is exposed to oxygen. The material moves between the process chambers in the atmosphere or in various process steps. The inter-material processing system is removed, or when a small amount of oxygen-contacting substrate in the process chamber is filled with the aspect ratio of the 1) aspect ratio. Structure conformal [1 aspect ratio L2 only uses electricity and electricity. Mining is required, and it is bombing. The electricity. When the base is from the base surface 9 1374951

時,都有可能接觸到氧。若基材表面在蝕刻時遭到 亦可能生成原生氧化物。原生氧化物一般為基材表 希望得到的膜層。原生氧化層通常很薄,例如介於 20埃之間,但已足夠增添後續製程的困難度。此製 度會影響形成於基材上之半導體元件的電學特性。 原生氧化矽層形成在如第1C圖所示之暴露的接觸」 源極連接點或汲極連接點)時,會造成異常。在進行 金屬化製程(如無電電鍍填充間隙(gap))前,高深寬 徵結構1 1 1和低深寬比之特徵結構11 2的底部會形 的接觸表面。此接觸表面一般為快速生長原生氧化 屬材料。在某些情況下,接觸表面包括可生長原生 的純矽表面。由於原生氧化物為電氣絕緣且會提高 觸阻抗,故在元件接觸孔或内連接電氣通孔的介面 望有原生氧化物。於電接觸孔之處過熱將降低基材 提高故障率。原生氧化層還會妨礙後續沉積到基材 層黏著其上。因此期望有一種方法,可移除暴露之 觸孔上的原生氧化物與其他污染物,特別是在高深 次微米特徵結構中的原生氧化物與其他污染物。目 的方法包括濺鍍蝕刻製程與使用氫氟酸的濕蝕刻製 統的濺鍍蝕刻製程不適合用於深寬比小於約4 ·· 1的 構,且其會因物理轟擊而破壞脆弱的矽層。 隨著積體電路的元件尺寸變小,極大型積體電 的接觸阻抗亦隨之提高。造成高接觸阻抗的原因可 原生氧化物生成於接觸表面、污染、隙縫與孔洞的 污染, 面上不 5埃至 程困難 例如, 面(如 内連線 比之特 成暴露 物的金 氧化物 電氣接 皆不期 產率及 上的膜 元件接 寬比之 前採用 程。傳 特徵結 路元件 能包括 形成、 10 1374951At the time, it is possible to get in contact with oxygen. If the surface of the substrate is exposed to etching, it is also possible to form a native oxide. The native oxide is generally the desired film layer on the substrate. The native oxide layer is typically very thin, for example between 20 angstroms, but is sufficient to add to the difficulty of subsequent processes. This system affects the electrical characteristics of the semiconductor component formed on the substrate. When the native yttria layer is formed in the exposed contact "source connection point or drain connection point" as shown in Fig. 1C, an abnormality is caused. Before the metallization process (e.g., electroless plating fill gap), the high deep eigenstructure 1 1 1 and the low aspect ratio feature 11 2 will form a contact surface at the bottom. This contact surface is typically a rapidly growing native oxidizing material. In some cases, the contact surface includes a native, pure tantalum surface that can be grown. Since the native oxide is electrically insulating and increases the contact resistance, the native oxide is expected to be in the contact hole of the element or the interface through which the electrical via is connected. Overheating at the electrical contact hole will reduce the substrate and increase the failure rate. The native oxide layer also prevents subsequent deposition onto the substrate layer. It is therefore desirable to have a method of removing native oxides and other contaminants from exposed contact holes, particularly native oxides and other contaminants in high deep submicron features. The method of sputtering, including the sputter etching process and the wet etching process using hydrofluoric acid, is not suitable for structures having an aspect ratio of less than about 4··1, and it may damage the fragile layer due to physical bombardment. As the component size of the integrated circuit becomes smaller, the contact resistance of the maximum integrated body is also increased. The reason for the high contact resistance is that the primary oxide is formed on the contact surface, the contamination, the gap and the hole contamination, and the surface is not 5 angstroms to the extent of difficulty, for example, the surface (such as the interconnect wire than the special exposed gold oxide electrical Both the yield and the film element width ratio on the previous process are used. The pass-through feature can be included in the formation, 10 1374951

及阻障層阻抗。如上述,原生氧化物可形成在暴露的接觸 表面,如第1C圖之高深寬比之特徵結構111和低深寬比 之特徵結構11 2的底部。先前製程步驟所產生的污染物則 因為難以移除而留在高深寬比之特徵結構1 11内。隙缝可 形成於元件特徵結構(如接觸表面)上的導電層之間。儘管 其上之各膜層的阻抗很低,隙縫仍會導致高接觸阻抗。同 樣地,利用習知CVD法將鎢填入高深寬比之接觸孔時,容 易在接觸孔内形成孔洞。孔洞會大幅提高電阻,且可能置 入後續沉積於元件上的膜層中。元件尺寸越小,阻障層佔 接觸孔或通孔的截面積越多。因阻障層的電阻值普遍不 佳,故採用習知的阻障層時,接觸阻抗會隨著尺寸縮小而 以指數方式增加。 製造極大型積體電路的又一問題為,矽接觸介面的矽 會因矽化反應而匱乏,即藉由填入接觸特徵結構内的導電 材料而擴散至接觸介面,然後與導電填充材料形成矽化物。And barrier impedance. As noted above, the native oxide can be formed on the exposed contact surface, such as the high aspect ratio feature 111 of Figure 1C and the bottom of the low aspect ratio feature structure 112. The contaminants produced by the previous process steps remain in the high aspect ratio feature 1 11 because they are difficult to remove. The slits may be formed between the conductive layers on the feature features of the component, such as the contact surface. Although the impedance of each of the layers above it is low, the gap still causes high contact resistance. Similarly, when tungsten is filled into a contact hole of a high aspect ratio by a conventional CVD method, a hole is easily formed in the contact hole. The holes will greatly increase the resistance and may be placed in the subsequently deposited layer on the component. The smaller the element size, the more the barrier layer occupies the contact hole or the through hole. Since the resistance value of the barrier layer is generally poor, when a conventional barrier layer is used, the contact resistance increases exponentially as the size decreases. A further problem in the fabrication of a very large integrated circuit is that the germanium of the germanium contact interface is depleted by the deuteration reaction, that is, by diffusion into the contact interface by filling the conductive material in the contact feature, and then forming a germanide with the conductive filler material. .

再者,在無電電鍍製程中,尚未開發出具機能性且有 效率的整合式平台,以均勻地沉積各層並產生極少的缺 陷。因此,需要一種結合無電電鍍製程至基材處理平台上 的方法與設備,其能: 形成覆蓋層於内連線特徵結構上,並具極少的缺陷及微 量氧化的内連線特徵結構; 移除高深寬比之特徵結構底部所露出之接觸孔上的原生 氧化物、以及沉積無電鈷或鎳以供填充; 在填充接觸孔後,移除過度生長的無電鈷或鎳;Furthermore, in the electroless plating process, an integrated platform that is functional and efficient has not been developed to evenly deposit layers and produce few defects. Therefore, there is a need for a method and apparatus for combining an electroless plating process onto a substrate processing platform that: forms a cap layer on the interconnect features and has minimal defects and traces of oxidized interconnect features; a high aspect ratio characteristic of the native oxide on the contact hole exposed at the bottom of the structure, and deposition of electroless cobalt or nickel for filling; after filling the contact hole, removing excessively grown electroless cobalt or nickel;

11 1374951 清洗位在源極與汲極連接點間之高摻雜矽基材上的氧化 物、沉積鈷薄膜、鎳薄膜或含鈷與鎳之薄膜於連接點上、 及退火處理基材以形成第一階段矽化物; =沉積阻障層或黏著層,其牢固地與含碳之介電層和後續 沉積之銅層結合; 在沉積銅内連線前,沉積晶種層於基材結構上; 利用無電銅沉積來填充内連線特徵結構;11 1374951 cleaning oxides on a highly doped germanium substrate between a source and a drain connection point, depositing a cobalt thin film, a nickel thin film or a film containing cobalt and nickel at a joint, and annealing the substrate to form The first stage of telluride; = depositing a barrier layer or an adhesive layer that is firmly bonded to the carbon-containing dielectric layer and the subsequently deposited copper layer; depositing the seed layer on the substrate structure before depositing the copper interconnect Filling the interconnect features with electroless copper deposits;

依序沉積晶種層於基材結構上,接著利用無電銅沉積來 填充内連線特徵結構; 依序沉積晶種層於基材結構上,接著利用電化學電鍍 (ECP)銅沉積法填充内連線特徵結構; 依序沉積晶種層於基材結構上、利用無電銅沉積來填充 高深寬比之内連線特徵結構、以及利用ECP過填(overfill) 沉積法填充大的低深寬比之特徵結構;以及 有效率地進行上述製程。The seed layer is sequentially deposited on the substrate structure, and then the interconnect structure is filled with electroless copper deposition; the seed layer is sequentially deposited on the substrate structure, and then filled by electrochemical plating (ECP) copper deposition Wire-characteristic structure; sequentially depositing a seed layer on the substrate structure, filling the high-aspect ratio interconnect feature with electroless copper deposition, and filling the large low aspect ratio with ECP overfill deposition The characteristic structure; and the above process is performed efficiently.

【發明.内容】 本發明之實施例提供整合無電電鍍晶種層與電化學電 鍍(ECP)填充間隙於單一平台上的方法、整合無電電鍍晶種 層與無電填充間隙於單一平台上的方法、於基材上的内連 線之上沉積覆蓋層,且在内連線之間不會形成漏電路徑的 方法、以及整合刷箱裝置與蒸汽乾燥裝置於無電電鍍系統 中,以於沉積後來清洗基材的方法。根據一實施例,提供 一種在單一平台上填充高深寬比與低深寬比之基材特徵結 C 5 12 1374951 構的方法。根據另一實施例,提供一種清洗矽接觸孔及在 接觸孔中形成穩定矽化物而不會接觸空氣的方法。在某些 態樣中,方法包括利用電漿加強乾蝕刻室或超臨界流體室 來進行預沉積清洗處理。[Invention.] Embodiments of the present invention provide a method of integrating an electroless plating seed layer and an electrochemical plating (ECP) filling gap on a single platform, a method of integrating an electroless plating seed layer, and an electroless filling gap on a single platform, a method of depositing a cap layer on an interconnect on a substrate, and forming a leakage path between the interconnects, and integrating the brush box device and the steam drying device in the electroless plating system to deposit the cleaning base Material method. According to one embodiment, a method of filling a substrate with a high aspect ratio and a low aspect ratio substrate feature C 5 12 1374951 is provided. According to another embodiment, a method of cleaning a crucible contact hole and forming a stable telluride in the contact hole without contacting the air is provided. In some aspects, the method includes using a plasma to strengthen the dry etch chamber or the supercritical fluid chamber for pre-deposition cleaning.

本發明之實施例更提供一群集工具,藉由使用一或多 個無電製程室、電化學電鍍室、化學氣相沉積(CVD)室、 及/或原子層沉積(ALD)室,以沉積材料至基材表面。在此 之「群集工具」是指一包括多個基材處理室的基材處理系 統,其中多個處理室可依次於基材進行各種製程,或可同 時於多片基材上進行相同的製程。系統包括一製程主要平 台、至少一位於主要平台上的基材清洗站、以及至少一位 於主要平台上的無電電鍍站。系統還包括一位於主要平台 上的基材傳輸機械手臂,用以於製程室之間傳輸基材。Embodiments of the present invention further provide a cluster tool for depositing materials by using one or more electroless process chambers, electrochemical plating chambers, chemical vapor deposition (CVD) chambers, and/or atomic layer deposition (ALD) chambers. To the surface of the substrate. As used herein, "cluster tool" refers to a substrate processing system that includes a plurality of substrate processing chambers, wherein the plurality of processing chambers can be sequentially processed on a substrate, or the same process can be performed on multiple substrates simultaneously. . The system includes a process primary platform, at least one substrate cleaning station on the primary platform, and at least one electroless plating station on the primary platform. The system also includes a substrate transfer robotic arm on the main platform for transporting the substrate between the process chambers.

本發明之實施例亦提供一混成(hybrid )沉積系統, 以利用無電製程沉積一晶種層至基材上,隨後並利用ECP 室填充基材上的内連線特徵結構。在一實施例中,常見的 整合式斜面清洗(IBC)室與旋轉洗滌乾燥(SRD)室是用於進 行基材之後沉積清洗步驟。 本發明之實施例尚提供一無電電鍍系統,用以於基材 上沉積晶種層、修復現存之晶種層、填充基材上的内連線 特徵結構、或依序沉積晶種層和填充基材上的内連線特徵 結構。在一實施例中,一標準的SRD室是用於進行基材之 後沉積清洗步驟。在另一實施例中,常見的IBC室與SRD 室是用於進行基材之後處理清洗步驟。在又一實施例中, 13 1374951 一混成之IB C/SRD室是用於進行後沉積處理步驟。在另一 實施例中,則是使用刷箱室與SRD室。 本發明之實施例提供一無電電鍍系統,用以於基材内 連線上形成覆蓋層。***包括一蒸汽乾燥裝置,以於沉積 前與沉積後來清洗基材、以及一刷箱裝置,以於沉積後進 行清洗。Embodiments of the present invention also provide a hybrid deposition system for depositing a seed layer onto a substrate using an electroless process, followed by filling the interconnect features on the substrate with an ECP chamber. In one embodiment, a common integrated bevel cleaning (IBC) chamber and a rotary wash drying (SRD) chamber are the deposition cleaning steps after the substrate is applied. Embodiments of the present invention also provide an electroless plating system for depositing a seed layer on a substrate, repairing an existing seed layer, filling interconnect features on the substrate, or sequentially depositing a seed layer and filling An interconnect feature on the substrate. In one embodiment, a standard SRD chamber is used to perform a post deposition cleaning step of the substrate. In another embodiment, a common IBC chamber and SRD chamber are used to perform a substrate post-treatment cleaning step. In yet another embodiment, 13 1374951 a mixed IB C/SRD chamber is used to perform a post deposition processing step. In another embodiment, a brush box chamber and an SRD chamber are used. Embodiments of the present invention provide an electroless plating system for forming a cover layer on a substrate interconnect. The system includes a steam drying unit for cleaning the substrate prior to deposition and deposition, and a boxing device for cleaning after deposition.

本發明之實施例還提供一無電電鍍系統,用以在基材 進行無電電鍍之前,先於基材上形成阻障層與含釕之催化 層。 本發明之實施例更提供一無電電鍍系統,其為利用電 漿加強乾蝕刻室或超臨界清洗室來移除高深寬比之特徵結 構底部的原生氧化物和其他污染物,並沉積無電鈷或鎳以 進行填充。 本發明之實施例亦提供一基材處理室,其整合了無電 電鍍室的功能與IBC室之後沉積清洗斜面的功能。Embodiments of the present invention also provide an electroless plating system for forming a barrier layer and a ruthenium containing catalytic layer prior to the substrate prior to electroless plating. Embodiments of the present invention further provide an electroless plating system for reinforcing a dry etching chamber or a supercritical cleaning chamber by plasma to remove native oxides and other contaminants at the bottom of the high aspect ratio feature structure, and depositing electroless cobalt or Nickel is used for filling. Embodiments of the present invention also provide a substrate processing chamber that integrates the function of the electroless plating chamber with the function of depositing the cleaning bevel after the IBC chamber.

【實施方式】 本發明之實施例大體上為提供利用一或多個無電電 鍍、電化學電鍍(ECP)、化學氣相沉積(CVD)、及/或原子 層沉積(ALD)之製程室來沉積材料到半導體基材上的方 法。特別是,本發明之實施例可使用無電電鍍與ECP製程 來形成具極少缺陷的覆蓋層和微量氧化的内連線特徵結 構、沉積阻障層至基材上、沉積及/或修復基材上的晶種 層、無電填充内連線特徵結構、及依序填充基材上具高與 14 1374951[Embodiment] Embodiments of the present invention generally provide for deposition using one or more electroless plating, electrochemical plating (ECP), chemical vapor deposition (CVD), and/or atomic layer deposition (ALD) process chambers. Method of material onto a semiconductor substrate. In particular, embodiments of the present invention may use an electroless plating and ECP process to form a cap layer with minimal defects and a trace of oxidized interconnect features, deposit a barrier layer onto a substrate, deposit and/or repair a substrate. The seed layer, the electroless filled interconnect features, and the sequentially filled substrate have a high with 14 1374951

低深寬比之内連線特徵結構。本發明之其他實施例可移除 高深寬比之特徵結構底部所露出之接觸孔上的原生氧化物 與其他污染物,接著並沉積鈷及/或鎳以填充此接觸孔。一 方面,矽化鎳是在氧化物清洗步驟之後且在鈷填充步驟之 前才形成,以免擴散至矽接觸孔的鈷產生矽化反應。另一 方面,鈷層或鎳層沉積到矽接觸孔上後再經退火處理,可 形成穩定的第一階段矽化物,其接觸空氣時不會有氧化之 虞。 形成内連線的步驟一般包括沉積一或多層非導電層、 姓刻至少一非導電層以形成一或多個特徵結構於其中、沉 積一阻障層於特徵結構中、以及沉積一或多層導電層(例如 銅)來填入特徵結構。Wiring characteristics within a low aspect ratio. Other embodiments of the present invention remove native oxide and other contaminants from the contact holes exposed at the bottom of the feature of the high aspect ratio feature, and then deposit cobalt and/or nickel to fill the contact holes. In one aspect, the nickel telluride is formed after the oxide cleaning step and before the cobalt filling step to prevent the diffusion of cobalt to the tantalum contact pores to cause a deuteration reaction. On the other hand, a cobalt layer or a nickel layer is deposited on the tantalum contact hole and then annealed to form a stable first-stage germanide which does not have an oxidized enthalpy when exposed to air. The step of forming the interconnects generally includes depositing one or more non-conductive layers, surnamed at least one non-conductive layer to form one or more features therein, depositing a barrier layer in the features, and depositing one or more layers of conductive A layer (such as copper) is used to fill in the features.

第1F圖為基材120的剖面圖,具有一内連線特徵結 構或開口 122,而其係形成於基材120表面上的介電層121 中。基材1 2 0可包含半導體材料,例如矽、鍺或矽鍺材料。 介電層1 2 1可為一絕緣材料,例如二氧化矽、氮化矽、S ΟI、 氮氧化矽、及/或摻雜碳之氧化矽,如 SiOxCy (舉例為 BLACK DIAMONDtm低介電係數材料),其可從美國加州 聖克拉拉的應用材料公司(Applied Materials, Inc.)取得。 開口 1 22形成在基材1 20中,其利用習知顯影(lithography ) 與蝕刻技術來暴露出接觸層123。接觸層123可包含銅、 鶴、銘、或其合金。 為避免銅擴散到介電層121,可形成阻障層124於介 電層121與開口 122之上,如第1G圖所示。阻障層124 15 1374951 的形成方法可採用適當之沉積法,包括ALD、CVD、PVD 或其组合方法。在一實施例中,阻障層124可使用群集工 具内的一製程室來形成之。1F is a cross-sectional view of substrate 120 having an interconnect feature or opening 122 formed in dielectric layer 121 on the surface of substrate 120. Substrate 120 may comprise a semiconductor material such as a tantalum, niobium or tantalum material. The dielectric layer 112 may be an insulating material such as hafnium oxide, tantalum nitride, S Ο I, bismuth oxynitride, and/or lanthanum oxide doped with carbon, such as SiOxCy (for example, BLACK DIAMONDtm low dielectric constant material) ), available from Applied Materials, Inc. of Santa Clara, California. Openings 1 22 are formed in substrate 1 20 that expose contact layer 123 using conventional lithography and etching techniques. Contact layer 123 may comprise copper, crane, mousse, or alloys thereof. To prevent copper from diffusing into the dielectric layer 121, a barrier layer 124 may be formed over the dielectric layer 121 and the opening 122 as shown in FIG. 1G. The method of forming the barrier layer 124 15 1374951 can employ a suitable deposition method including ALD, CVD, PVD, or a combination thereof. In one embodiment, the barrier layer 124 can be formed using a process chamber within the cluster tool.

除了直接沉積大量的導電材料(例如銅)至阻障層 124 上以填充開口 122外,還可先形成一增進黏著性的還原層 126於阻障層124之上,如第1H圖所示。為形成還原層 126於阻障層124上,阻障層124表面會先以電漿沉積製 程加以改質。在一實施例中,電漿沉積製程是在與上述之 阻障層沉積製程相同的沉積室中進行。 為使基材120適於後續無電電鍍及/或電化學電鍍法 沉積導電層,一催化層1 2 8係沉積至阻障層1 2 4上,如第 II圖所示。催化層128乃藉由使還原層126接觸含金屬之 催化前驅物而形成。還原層1 2 6化學性還原含金屬之催化 前驅物,以在阻障層124上形成催化層128。催化層128 包含前驅物的金屬,故可經由無電電鍍及/或電化學電鍍法 來形成導電層於基材上。In addition to directly depositing a large amount of conductive material (e.g., copper) onto the barrier layer 124 to fill the opening 122, a adhesion enhancing layer 126 may be formed over the barrier layer 124, as shown in Figure 1H. To form the reducing layer 126 on the barrier layer 124, the surface of the barrier layer 124 is first modified by a plasma deposition process. In one embodiment, the plasma deposition process is performed in the same deposition chamber as the barrier layer deposition process described above. In order to make the substrate 120 suitable for subsequent electroless plating and/or electrochemical plating deposition of a conductive layer, a catalytic layer 128 is deposited onto the barrier layer 1 24 as shown in FIG. Catalytic layer 128 is formed by contacting reducing layer 126 with a metal-containing catalytic precursor. The reducing layer 1 2 6 chemically reduces the metal-containing catalytic precursor to form a catalytic layer 128 on the barrier layer 124. The catalytic layer 128 contains the metal of the precursor so that the conductive layer can be formed on the substrate via electroless plating and/or electrochemical plating.

分別參照第1J與1K圖,導電層,如晶種層12 9及/ 或塊體層130,可沉積至基材1.20上。或者,晶種層129 可沉積至基材120上,接著再形成塊體導電層,如塊體層 1 3 0 (此型態並未以圖示出)。本發明之實施例可利用無電 電鍍製程來沉積晶種層 1 2 9及/或塊體層 1 3 0。根據一態 樣,塊體層130的沉積方法為電化學電鍍製程。 群集工具 C cluster tool ) 概述 16 1374951Referring to Figures 1J and 1K, respectively, a conductive layer, such as seed layer 12 9 and/or bulk layer 130, may be deposited onto substrate 1.20. Alternatively, seed layer 129 can be deposited onto substrate 120 followed by a bulk conductive layer, such as bulk layer 130 (this pattern is not shown). Embodiments of the present invention may utilize an electroless plating process to deposit a seed layer 1 29 and/or a bulk layer 130. According to one aspect, the deposition method of the bulk layer 130 is an electrochemical plating process. Cluster tool C cluster tool ) Overview 16 1374951

群集工具一般包括一連接基材裝載區域的濕式製程平 台、及一含裝載區域的基材電鍍系統。裝載區域或”乾燥側” 一般用於容納含基材之卡匣,並傳輸卡匣中的基材到濕式 製程平台以進行濕式製程。裝載區域一般包括”乾燥側”製 程室,如阻障層沉積室與退火室,以於濕式製程進行前及/ 或後來處理基材。乾燥側尚包括一機械手臂,以傳輸基材 於卡匣、濕式製程平台與乾燥側製程室之間。濕式製程平 台一般包括至少一基材傳輸機械手臂及複數個基材處理 室,例如電化學電鍍(ECP)室、整合式斜面清洗(IBC)室、 旋轉洗滌乾燥(SRD)室' 無電電鍍室等。各實施例可結合 不同的濕式與乾式基材處理室。根據一態樣,群集工具可 預處理一乾燥基材(如沉積阻障層)、濕式處理基材(如沉積 晶種層)、電化學及/或無電填充間隙、表面及/或斜面清洗 與乾燥、以及其他必要的後沉積處理(如退火)。上述適合 基材結構沉積的製程包括沉積阻障層、沉積無電晶種層、 修復無電晶種層、無電晶種化與無電填充内連線(如塊體填 充)、無電晶種化與E C P填充内連線、無電覆蓋沉積、以 及無電填充高深寬比之内連線後,再以ECP填充低深寬比 之内連線。 第2圖繪示群集工具200之一實施例,其可進行無電 電鍍製程。群集工具200包括一工作介面230,其包括複 數個基材裝載台234來連繫並放置基材之卡匣。一工作介 面機械手臂232位於工作介面230内,並接取、傳送基材 226進出基材裝載台234上的卡E。工作介面機械手臂232 17 1374951The cluster tool typically includes a wet process platform coupled to the substrate loading area and a substrate plating system including the loading area. The loading area or "dry side" is typically used to hold the substrate-containing cassette and transport the substrate from the cassette to the wet process platform for wet processing. The loading area typically includes a "dry side" process chamber, such as a barrier layer deposition chamber and an annealing chamber, for processing the substrate before and/or after the wet process. The dry side also includes a robotic arm for transporting the substrate between the cassette, the wet process platform, and the dry side process chamber. The wet process platform generally includes at least one substrate transfer robot and a plurality of substrate processing chambers, such as an electrochemical plating (ECP) chamber, an integrated bevel cleaning (IBC) chamber, and a rotary washing and drying (SRD) chamber. Wait. Embodiments can incorporate different wet and dry substrate processing chambers. According to one aspect, the cluster tool can pretreat a dry substrate (such as a deposited barrier layer), a wet treated substrate (such as a deposited seed layer), electrochemical and/or electroless fill gaps, surface and/or bevel cleaning With drying, as well as other necessary post-deposition treatments (such as annealing). The above processes suitable for substrate structure deposition include depositing a barrier layer, depositing an electroless seed layer, repairing an electroless seed layer, electroless seeding and electroless filling interconnects (eg, bulk filling), electroless seeding, and ECP filling. After the interconnect, the electroless overlay deposition, and the uncharged fill of the high aspect ratio of the interconnect, the ECP fills the low aspect ratio of the interconnect. Figure 2 illustrates an embodiment of a cluster tool 200 that can be subjected to an electroless plating process. The cluster tool 200 includes a working interface 230 that includes a plurality of substrate loading stations 234 for attaching and placing cassettes of the substrate. A working interface robot arm 232 is located within the working interface 230 and receives and transports the substrate E into and out of the substrate E on the substrate loading station 234. Working interface robot arm 232 17 1374951

還可延伸至一連接工作介面230與濕式製程平台213 結通道215。工作介面機械手臂232是配置在可取出 裝載台 234中之基材的位置,並可傳送基材 226至 (in-station)972 (參見第2A圖的内站972位置),而内站 係設於濕式製程平台213上,且通常位在製程站214 或附近(繪示於第2A及9A圖的内站972)。同樣地, 介面機械手臂232可傳送基材226進出製程站214、 或製程站235、235a。製程站235、235a可包括一或 堆疊之乾式製程室,例如退火室、阻障層沉積室、催 沉積室、超臨界清洗室、或乾蝕刻室。阻障層與催化 在濕式處理基材前沉積,而退火製程一般為在濕式處 進行。本發明各實施例之退火室將配合第2 3圖描述於 且可進一步參見美國專利申請案號1 0/996,342,申請 20 04年1 1月22曰的申請案,其一併附上供作參考。 材226移出製程站214、216、235或235a後,工作介 械手臂232接著可將乾淨且乾燥之基材226傳回基材 台234上的卡匣,而使基材226離開群集工具200。 濕式製程平台 2丨3(亦稱主要平台)包括一位於中 主要平台機械傳輸裝置,如主要平台機械手臂 220。 平台機械手臂220 —般包括一或多個葉片222、224, 支撐與傳送基材。此外,主要平台機械手臂220與 222、224 —般可獨立延伸、轉動、軸轉與垂直移動, 要平台機械手臂 220可同時移動基材進出濕式製程 213 上的多個製程站 202 '204'206、208、210、212、2 的連 基材 内站 972 上方 工作 216 多個 化層 層為 理後 後, 曰為 將基 面機 裝載 央的 主要 用以 葉片 故主 平台 :1 4 ' 18 1374951 216。同樣地’工作介面機械手臂232亦具有轉動、延伸、 軸轉與垂直移動其基材支撐葉片的能力,且可沿著從工作 介面230延伸至濕式製程平台213的機械軌道250b而線性 移動。It can also be extended to a connection working interface 230 and a wet process platform 213 junction channel 215. The working interface robot arm 232 is disposed at a position of the substrate in the removable loading table 234, and can transport the substrate 226 to (in-station) 972 (see the position of the internal station 972 in FIG. 2A), and the internal station is provided. On the wet process platform 213, and typically at or near the process station 214 (shown in the internal station 972 of Figures 2A and 9A). Similarly, the interface robot 232 can transport the substrate 226 into and out of the process station 214, or the process stations 235, 235a. Process stations 235, 235a may include one or stacked dry process chambers, such as an anneal chamber, a barrier layer deposition chamber, a deposition chamber, a supercritical cleaning chamber, or a dry etch chamber. The barrier layer and catalysis are deposited prior to wet processing of the substrate, and the annealing process is typically carried out in a wet manner. The anneal chambers of the various embodiments of the present invention will be described in conjunction with FIG. 2 and may be further described in U.S. Patent Application Serial No. 10/996,342, the entire disclosure of which is incorporated herein by reference. reference. After the material 226 is removed from the process station 214, 216, 235 or 235a, the working medium arm 232 can then transfer the clean and dry substrate 226 back to the cassette on the substrate table 234 leaving the substrate 226 exiting the cluster tool 200. The wet process platform 2丨3 (also known as the main platform) includes a mechanical transmission device located in the main platform, such as the main platform robot arm 220. The platform robot arm 220 generally includes one or more blades 222, 224 for supporting and transporting the substrate. In addition, the main platform robot arms 220 and 222, 224 can be independently extended, rotated, pivoted, and moved vertically. The platform robot arm 220 can simultaneously move the substrate into and out of the plurality of process stations 202 '204' on the wet process 213. 206, 208, 210, 212, 2 of the base station 972 above the work 216 multiple layers of the layer after the rationale, the main machine for loading the base machine for the blade, the main platform: 1 4 ' 18 1374951 216. Similarly, the working interface robot arm 232 also has the ability to rotate, extend, pivot and vertically move its substrate support blades and can move linearly along the mechanical track 250b extending from the working interface 230 to the wet process platform 213.

一般而言,基材處理系統中的製程站2 02、2 04、2 06、 208、210、212、214、216可為任意數量。尤其是,整合 式濕式製程平台的製程室可為ECP室、洗滌室、IBC室、 SRD至、基材表面清洗室(其整體包括清洗室、洗縣室和钱 刻室)、無電電鍍室(其包括前清洗與後清洗室、活化室' 沉積室等)' 刷箱室、及蒸汽乾燥室。濕式製程平台與工作 介面的各種配置結構將描述於下。In general, the process stations 202, 02, 206, 208, 210, 212, 214, 216 in the substrate processing system can be any number. In particular, the process chamber of the integrated wet process platform can be an ECP chamber, a washing chamber, an IBC chamber, an SRD to, a substrate surface cleaning chamber (which includes a cleaning chamber, a washing chamber, and a money chamber), and an electroless plating chamber. (This includes the front cleaning and post-cleaning chambers, the activation chamber's deposition chamber, etc.) 'brush chamber, and steam drying chamber. Various configurations of the wet process platform and the working interface will be described below.

每一製程站 202、 204、 206、 208、 210、 212、 214、 216、工作介面機械手臂232與主要平台機械手臂220通常 連繫於一系統控制器2 11,其可為一微處理控制系統,用 以接收使用者及/或群集工具200上之各種感測器的輸入 值,並根據輸入值及/或預定製程參數來適當控制、操作群 集工具 200。另夕卜,製程站 202、204、206、208、210、212、 2 1 4、2 1 6亦連繫於一流體輸送系統(未繪示),以於製程進 行時提供各製程站所需流體,流體輸送系統一般受控於系 統控制器2 11。流體輸送系統的例子可參見美國專利申請 案號1 0/43 8,624,名稱「多重化學作用之電化學製程系統 (Multi-Chemistry Electrochemical Processing System)」,申 請曰為2 003年5月14曰的申請案,其一併附上供作參考。 (S ) 19 1374951 群集工具配置 為使群集工具可沉積晶種層至基材結構上、可填入金 屬至高深寬比與低深寬比之内連線特徵結構中、及/或可選 擇性形成覆蓋層於内連線特徵結構上,因而可提出多種群 集工具的實施例。這些實施例可進行一或多個上述製程, 並具有高產率、少缺陷、極少氧化的銅内連線特徵結構、 且沉積層間有較佳的黏著性。 A.混成(hybrid)之無電電鍍/電化學電鍍系統 1.群集工具的配置應用Each process station 202, 204, 206, 208, 210, 212, 214, 216, working interface robot arm 232 and main platform robot arm 220 are typically coupled to a system controller 2 11, which may be a microprocessor control system The input values of the various sensors on the user and/or cluster tool 200 are received, and the cluster tool 200 is appropriately controlled and operated according to the input values and/or predetermined process parameters. In addition, the process stations 202, 204, 206, 208, 210, 212, 2 1 4, and 2 16 are also connected to a fluid delivery system (not shown) to provide the various process stations required during the process. Fluid, fluid delivery systems are typically controlled by system controller 2 11. An example of a fluid delivery system can be found in U.S. Patent Application Serial No. 10/43, 624, entitled "Multi-Chemistry Electrochemical Processing System", filed on May 14, 2010. The application is attached for reference. (S) 19 1374951 The cluster tool is configured to enable the cluster tool to deposit a seed layer onto the substrate structure, to fill the metal to high aspect ratio and low aspect ratio interconnect features, and/or to be selective The cover layer is formed on the interconnect features and thus various embodiments of the cluster tool can be proposed. These embodiments can be subjected to one or more of the above processes and have high yield, less defects, minimally oxidized copper interconnect features, and better adhesion between deposited layers. A. Hybrid electroless plating / electrochemical plating system 1. Configuration application of cluster tools

根據第2圖之實施例,群集工具200 —般包括無電電 鍍室、電化學電鍍室、基材斜面清洗室、及旋轉洗蘇乾操 室。或者在進行濕式製程之前,製程站2 3 5可包括ALD阻 障製程室及/或催化層沉積製程室。或者在沉積阻障層或催 化層之前,製程站2 3 5 a可包括電漿加強乾蝕刻室,以移除 原生氧化物。此電鍍群集工具200之配置可在單一群集工 具中依序沉積多層膜層至基材上,例如一形成於基材結構 上的ALD或CVD阻障層(如氮化钽(TaN))、一形成於基材 結構或阻障層上的無電銅晶種層、和填入基材之内連線特 徵結構内的ECP銅層。在一實施例中,催化層為含釕之膜 層,其沉積時不使用含碳之前驅物。含釕之催化層與金屬 層間的黏著性比先前技術佳。 相較於傳統上在個別基材處理系統中沉積阻障層、晶 種層與填入間隙,群集工具200的配置方式可減少基材處 20 1374951According to the embodiment of Fig. 2, the cluster tool 200 generally includes an electroless plating chamber, an electrochemical plating chamber, a substrate bevel cleaning chamber, and a rotary sacrificial drying chamber. Alternatively, prior to the wet process, the process station 253 may include an ALD barrier process chamber and/or a catalytic layer deposition process chamber. Alternatively, prior to depositing the barrier or catalytic layer, the process station 2 35 a may include a plasma enhanced dry etch chamber to remove native oxide. The electroplating cluster tool 200 is configured to sequentially deposit a plurality of layers onto a substrate in a single cluster tool, such as an ALD or CVD barrier layer (such as tantalum nitride (TaN)) formed on the substrate structure, An electroless copper seed layer formed on the substrate structure or barrier layer, and an ECP copper layer filled in the interconnect features of the substrate. In one embodiment, the catalytic layer is a ruthenium containing film that is deposited without the use of a carbonaceous precursor. The adhesion between the catalytic layer containing ruthenium and the metal layer is better than in the prior art. Compared to conventional deposition of barrier layers, seed layers and fill gaps in individual substrate processing systems, the cluster tool 200 can be configured to reduce substrate 20 1374951

理時間、及大幅降低硬體成本。並且相較於經由多個基材 處理系統才形成之金屬層,依電鏟群集工具200配置所沉 積的金屬層具有較佳的電學特性、較佳的缺陷管理與較好 的黏著性。在相同的製程室中(即未破壞真空)依序形成還 原層及/或催化層於阻障層上,可於沉積晶種層前,大幅減 少阻障層接觸氧氣與濕氣的機會,故可改善後續形成之金 屬層的黏著性。因間隙填充步驟為緊接在形成晶種層之 後,因此可在沉積填充間隙前,控制與降低晶種層表面的 氧化。相較於在多個處理系統中處理基材,在單一群集工 具中處理基材則產生較少缺陷。是以此配置方式提供較佳 的元件效能、較低的基材處理成本、且處理方式也比先前 技術簡單。Time, and significantly reduce hardware costs. And the deposited metal layer according to the shovel cluster tool 200 has better electrical properties, better defect management and better adhesion than the metal layer formed through the plurality of substrate processing systems. Forming a reducing layer and/or a catalytic layer on the barrier layer in the same process chamber (ie, without breaking the vacuum) can greatly reduce the chance of the barrier layer contacting oxygen and moisture before depositing the seed layer. The adhesion of the subsequently formed metal layer can be improved. Since the gap filling step is immediately after the formation of the seed layer, it is possible to control and reduce the oxidation of the surface of the seed layer before depositing the filling gap. Processing the substrate in a single cluster tool produces fewer defects than processing the substrate in multiple processing systems. This configuration provides better component performance, lower substrate processing costs, and a simpler processing approach than the prior art.

根據一態樣,此配置方式可依序沉積四種膜層於基材 上:阻障層、及/或形成於基材結構上的無電晶種層、其次 利用無電填充基材上的高深寬比之次微米特徵結構,如第 1 C圖的高深寬比特徵結構11 1、然後利用ECP填充基材上 的低深寬比特徵結構,如第 1C圖的低深寬比特徵結構 112。此配置方式可在無電製程填充完基材上的高深t比特 徵結構後,立即以ECP過填(overfill )製程來填充基材上 的低深寬比特徵結構。ECP過填製程的結果顯示於第 1E 圖。參照第1E圖,其繪示沉積完無電晶種層(未繪示)、無 電銅層115與ECP層116的基材結構110。高深寬比特徵 結構1 1 1和低深寬比特徵結構1 1 2均填入銅,且無電銅層 1 1 5與ECP層11 6之間很少產生氧化。因此在此實施例中, 21 !,5 1374951 四個沉積步騍可在同一群集工具中依序進行,故可減少所 需製程平台數量、降低各沉積步驟間的氧化量及氧化差異 量、改善缺陷性質、增進金屬層與阻障層間的黏著性 '及 改善沉積金屬層的電學特性。 2.群集工具的配置說明According to one aspect, the arrangement can deposit four layers on the substrate in sequence: the barrier layer, and/or the electroless seed layer formed on the substrate structure, and secondly, the high depth and width on the substrate using the electroless filling. The low aspect ratio features 112, such as the low aspect ratio features 112 of FIG. 1C, are then filled with ECP to fill the low aspect ratio features of the substrate, as compared to the submicron features, such as the high aspect ratio features of FIG. 1C. This configuration allows the ECP overfill process to fill the low aspect ratio features of the substrate immediately after the electroless process fills the high t-bit structure on the substrate. The results of the ECP overfill process are shown in Figure 1E. Referring to FIG. 1E, a substrate structure 110 in which an electroless seed layer (not shown), an electroless copper layer 115, and an ECP layer 116 are deposited is illustrated. The high aspect ratio feature structure 1 1 1 and the low aspect ratio feature structure 1 1 2 are both filled with copper, and little oxidation occurs between the electroless copper layer 1 15 and the ECP layer 116. Therefore, in this embodiment, 21!, 5 1374951 four deposition steps can be sequentially performed in the same cluster tool, thereby reducing the number of required process platforms, reducing the amount of oxidation and oxidation difference between deposition steps, and improving The nature of the defect, the adhesion between the metal layer and the barrier layer is improved, and the electrical properties of the deposited metal layer are improved. 2. Configuration instructions for the cluster tool

第2圖繪示群集工具2 0 0之一實施例。在此實施例中, 製程站235可為ALD室或CVD室,以在進行濕式製程之 前,沉積阻障層及/或催化層。ALD室的例子將配合第14 與14A圖詳述於後。根據一實施例,製程站235a可包括 電漿加強乾蝕刻室,以在沉積阻障層前,移除原生氧化物。 乾蝕刻室的例子將配合第19圖詳述於後。參照第2圖,製 程站214、216可設在濕式製程平台213與電鍍群集工具 200中工作介面230内的乾式製程站之間。如此,基材可 藉由放置到支承位置而進入濕式製程平台 2 1 3,支承位置 即第9 A圖之内站9 7 2,其可托住基材以進行濕式製程。内 站972 —般位在製程站214、216上方或下方。在此配置下, 製程站21 4、2 1 6可包括S RD室,以於基材離開濕式製程 平台213前,針對基材來進行最後的濕式製程步驟。SRD 室的例子將配合第4圖詳述於後。 在一實施例中,製程站202、204為一無電電鍍雙製程 室,製程站206、208為標準IBC室,而製程站210、212 為二ECP室。其配置結構亦繪示於第2A圖。無電電鍍雙 製程室、IBC室和ECP室的例子將詳述於下。這些製程站 22 1374951FIG. 2 illustrates an embodiment of a cluster tool 200. In this embodiment, process station 235 can be an ALD chamber or a CVD chamber to deposit a barrier layer and/or a catalytic layer prior to performing a wet process. An example of an ALD chamber will be detailed later in conjunction with Figures 14 and 14A. According to an embodiment, the process station 235a may include a plasma enhanced dry etch chamber to remove native oxide prior to depositing the barrier layer. An example of a dry etch chamber will be described later in conjunction with Figure 19. Referring to Figure 2, the process stations 214, 216 can be disposed between the wet process platform 213 and the dry process station within the working interface 230 of the electroplating cluster tool 200. Thus, the substrate can be placed into the wet process platform 2 1 3 by being placed in a support position, i.e., station 9 7 2 in Figure 9A, which can hold the substrate for a wet process. The internal station 972 is generally above or below the process stations 214, 216. In this configuration, the process stations 21 4, 2 16 may include an S RD chamber to perform a final wet process step for the substrate before the substrate exits the wet process platform 213. An example of an SRD room will be detailed later in conjunction with Figure 4. In one embodiment, the process stations 202, 204 are an electroless plating dual process chamber, the process stations 206, 208 are standard IBC chambers, and the process stations 210, 212 are two ECP chambers. Its configuration is also shown in Figure 2A. Examples of electroless plating dual process chambers, IBC chambers, and ECP chambers will be detailed below. These process stations 22 1374951

2 0 2/204、206/208、210/212可重新排列而不會影響本發明 之機能’上述配置僅為說明本發明之精神而已。例如為了 使基材產率最佳化,成對之製程站 2 0 2/2 04與製程站 20 6/208可皆為無電電鍍雙製程室,製程站210/212可由二 ECP室組成,而製程站214、216可各自為單一 SRD室與 IBC室。設在製程站202、204的無電電鍍雙製程室為位在 一製程封閉區302(將描述於下)内,並可包括一内部基材 傳輸裝置605(將描述於下),以傳輸基材於封閉區3〇2内 的第一與第二製程站間。設在製程站210、212的ECP室 一般位在製程封閉區3 0 2外’且其間通常不需要内部基材 傳輸裝置605。 3.製程順序 a)無電晶種化(s e e d)與e C P填充間隙2 0 2/204, 206/208, 210/212 may be rearranged without affecting the function of the present invention. The above configuration is merely illustrative of the spirit of the present invention. For example, in order to optimize the substrate yield, the paired process stations 2 0 2/2 04 and the process stations 20 6 / 208 can both be electroless plating double process chambers, and the process stations 210 / 212 can be composed of two ECP chambers, and The process stations 214, 216 can each be a single SRD chamber and an IBC chamber. The electroless plating dual process chambers disposed at the process stations 202, 204 are located within a process enclosure 302 (described below) and may include an internal substrate transfer device 605 (described below) for transporting the substrate Between the first and second process stations in the enclosed area 3〇2. The ECP chambers disposed at the process stations 210, 212 are typically located outside of the process enclosure 3 ' and the internal substrate transport device 605 is typically not required therebetween. 3. Process sequence a) Electroless seeding (s e e d) and e C P filling gap

混成之無電電鍍/電化學電鍍平台處理基材的典型順 序描述於第10圖的流程圖,其沉積無電晶種層與ECP填 充間.隙層於基材上。如上述例子,混成之無電電鍍/電化學 電鐘平台具有當作無電電鍍雙製程室的製程站2〇2、2 〇4、 當作1BC室的製程站206、208、當作ECP室的製程站210、 212、和結合SRD室/内站的製程站214、216(參見第2及 2A圓)°或者’製程站235可為ALD/CVD預處理室,而製 程站235a可4乾蝕刻室或超臨界清洗室。 在步鄉1〇00中,可依需求而於設在製程站235a的乾 #刻室或超81界清洗室内移除基材上的原生氧化物與其他 23 1374951 污染物,接著在進行濕式製程前,於設在製程站235的製 程室内預形成阻障層、還原層、及/或催化層至基材上。沉 積阻障層、還原層與催化層至基材上的步驟將參照第 1F-1K圖描述於下。乾蝕刻室與其製程將配合第19圖描述 於後。超臨界清洗室將配合第20圖描述於後。A typical sequence for processing a substrate by a hybrid electroless plating/electrochemical plating platform is depicted in the flow chart of Figure 10, which deposits an electroless seed layer and an ECP fill layer on the substrate. As in the above example, the hybrid electroless plating/electrochemical clock platform has a process station 2〇2, 2〇4 as an electroless plating double process chamber, a process station 206, 208 as a 1BC chamber, and a process as an ECP chamber. Stations 210, 212, and process stations 214, 216 (see circles 2 and 2A) combined with the SRD chamber/internal station, or 'the process station 235 can be an ALD/CVD pretreatment chamber, and the process station 235a can be 4 dry etching chambers Or supercritical cleaning chamber. In Step 1 00, the raw oxides on the substrate and other 23 1374951 contaminants can be removed from the dry or engraved chambers located in the process station 235a as needed, followed by wet Before the process, a barrier layer, a reduction layer, and/or a catalytic layer are pre-formed on the substrate in a process chamber disposed in the process station 235. The steps of depositing the barrier layer, the reducing layer and the catalytic layer onto the substrate will be described below with reference to Figures 1F-1K. The dry etch chamber and its process will be described later in Figure 19. The supercritical cleaning chamber will be described later in conjunction with Figure 20.

在步驟1001中,工作介面機械手臂232(亦稱”乾”機 械手臂)將基材放置到連接製程站214或216的内站。在步 驟1002中,主要平台機械手臂220(亦稱”濕”機械手臂)將 基材傳送到無電電鍍雙製程室的製程站 202。所有無電電 鍍製程均在一無電電鍍製程站中進行,例如製程站 202、 2 04,基材則可依需求藉由内部基材傳輸裝置605而於製程 站202、2 04間移動。若是進行活化類型的製程,如預備清 洗步驟、活化步驟與後活化清洗步驟,則活化類型的製程 可在無電電鍍雙製程室的第一製程站(製程站 202)中進 行,而無電電鍍步驟可在第二製程站(製程站204)中進行。In step 1001, the working interface robot 232 (also referred to as the "dry" robotic arm) places the substrate into an internal station that connects to the process station 214 or 216. In step 1002, the main platform robot arm 220 (also referred to as the "wet" robot arm) transfers the substrate to the process station 202 of the electroless plating dual process chamber. All electroless plating processes are performed in an electroless plating process station, such as process stations 202, 024, and the substrate can be moved between process stations 202, 204 by internal substrate transfer device 605 as desired. If an activation type process, such as a preliminary cleaning step, an activation step, and a post-activation cleaning step, is performed, the activation type process can be performed in the first process station (process station 202) of the electroless plating double process chamber, and the electroless plating step can be performed. This is done in the second process station (process station 204).

某些情況下,還原層與催化層的形成步驟可在第一製 程站(製程站 202)中進行,而無電電鍍步驟可在.第二製程 站(製程站2〇4)中進行。 當使用的清洗液、活化液與電鍍液沒有相容性問題 時,所有無電電鍍步驟可在單一製程站中進行。製程站 202、204則當作二個獨立的無電鍍室。在此狀況下,步驟 1 002包括利用主要平台機械手臂220將基材從其中之一内 站輸送到製程站202或製程站204。再者,若在進行濕式 製程前,基材已於製程站 235中預形成催化層,製程站 24 -β.' 1374951 202、204亦可當作二個獨立的無電電鍍室。 在步驟1003中’主要平台機械手臂220將基材輪送到 製程站210或212的ECP室,以進行ECP間隙填充製程來 填充内連線特徵結構(如第1A與1B圖之平行内連線1〇卜 102 、 103)。 在步驟1004中’一旦完成ECP沉積步驟,基材隨之 送到製程站206或208的IBC室來移除基材邊緣與斜面上 多餘的沉積物。IBC室與其製程將配合第3圖描述於後。 IBC至與其製程的例子還可參見美國專利申請案號 10/826,492 ’名稱「整合式斜面清洗室(Integrate(j Bevei Clean Chamber)」’申請曰為2004年4月16曰的申請案, 其一併附上做為參考。 在步驟1005中’主要平台機械手臂22〇將基材輸送到 製程站214或216的SRD室,以進行最終洗滌與乾燥。Srd 室與其製程的例子將配合第4圖描述於後。可用於本發明 實施例的SRD室還可參見美國專利申請案號1 〇/6丨6 284, 名稱「多重化學作用之電鍍系統(Multi_ Chemistry PlatingIn some cases, the step of forming the reducing layer and the catalytic layer may be performed in the first process station (process station 202), and the electroless plating step may be performed in the second process station (process station 2〇4). When there is no compatibility problem with the cleaning solution, activation solution and plating solution used, all electroless plating steps can be performed in a single process station. The process stations 202, 204 act as two separate electroless plating chambers. In this case, step 1 002 includes utilizing the primary platform robot arm 220 to transport the substrate from one of the stations to the process station 202 or the process station 204. Furthermore, if the substrate has been pre-formed into the process station 235 prior to the wet process, the process station 24 - β. ' 1374951 202, 204 can also be considered as two separate electroless plating chambers. In step 1003, the main platform robot arm 220 transfers the substrate to the ECP chamber of the process station 210 or 212 for an ECP gap fill process to fill the interconnect features (eg, parallel interconnects of Figures 1A and 1B). 1〇 102, 103). In step 1004, once the ECP deposition step is completed, the substrate is then sent to the IBC chamber of process station 206 or 208 to remove excess deposits from the edge and slope of the substrate. The IBC room and its process will be described in conjunction with Figure 3. For an example of the IBC to its process, see also US Patent Application No. 10/826,492, entitled "Integrate (j Bevei Clean Chamber)", filed on April 16, 2004, And attached as a reference. In step 1005, the main platform robot arm 22 transports the substrate to the SRD chamber of the process station 214 or 216 for final washing and drying. The Srd chamber and its process examples will be combined with Figure 4. The SRD chamber that can be used in the embodiments of the present invention can also be found in U.S. Patent Application Serial No. 1/6,6, 284, entitled "Multi-Chemistry Plating System" (Multi_ Chemistry Plating)

System)」,申請曰為2004年7月8曰的申請案,其一併附 上供作參考。 在步驟1006中在完成srd製程後,工作介面機械 手臂232將基材移出SRD室與濕式製程平台213〇 因此’本實施例之電鍍群集工具200可依序沉積無電 電鍵晶種層於基材上,接著並以ECP填充基材上的内連線 特徵結構。或者’在進行濕式製程前,可先沉積阻障層或System)", the application is filed on July 8, 2004, which is attached for reference. After the srd process is completed in step 1006, the working interface robot 232 moves the substrate out of the SRD chamber and the wet process platform 213. Therefore, the electroplating cluster tool 200 of the present embodiment can sequentially deposit an electroless bond seed layer on the base. The interconnect features on the substrate are then filled with ECP. Or 'deposit a barrier layer or before depositing a wet process

25 137495125 1374951

催化層至基材上,以增進後續形成之金屬層的 配置方式還可降低晶種層在填充間隙前的氧化 異量,及減少完成三道沉積步驟所需的製程平 在一實施例中,為提高基材處理效率,群 可用二個無電電鍍雙製程室來替代一個無電 室。如此,群集工具例如可包括二個設在製程i 2 0 6/208的無電電鍍雙製程室、二個設在製程 的ECP室、單個設在製程站216的IBC室、和 程站214的SRD室。其可採用與第10圖相同 順序。 b)無電填充間隙與ECP過填(overfill) 或者,混成之無電電鍍/電化學電鍍平台可 充高深寬比之特徵結構,然後以ECP過填低深 結構,如第1E圖所示。除了步驟1 002,其基 類似第1 0圖的順序。除ALD沉積阻罩層及無 層外,步驟1 00 2還包括無電填充基材上高深寬 構。故在此的群集工具200可依序沉積ALD阻 電鍍晶種層與ECP填充間隙層,或者其可依J 阻障層、無電電鍍晶種層、及無電電鍍填充具 特徵結構與ECP填充大且具低深寬比的特徵結 例皆可降低第一銅層在ECP填充間隙前的氧化 異量,並且只需單一製程平台即可完成3-4道 金屬層與TaN阻障層間的黏著性亦可改善。 一方面,無電電鍍填充連接源極連接點或 黏著性。此 量與氧化差 台數目。 集工具200 電鍍雙製程 ΐ 202/204 、 站 210/212 單個設在製 的基材處理 用來無電填 寬比之特徵 材處理順序 電電鍍晶種 比之特徵結 障層、無電 宇沉積 ALD 高深寬比的 構。各實施 量與氧化差 沉積步驟。 汲極連接點 26 1374951The catalytic layer to the substrate to enhance the subsequent formation of the metal layer can also reduce the oxidation of the seed layer before filling the gap, and reduce the process required to complete the three deposition steps in one embodiment, In order to improve the processing efficiency of the substrate, the group can replace two electroless chambers with two electroless plating double process chambers. As such, the cluster tool can include, for example, two electroless plating dual process chambers disposed in process i 2 0 6/208, two ECP chambers disposed in the process, a single IBC chamber disposed at process station 216, and an SRD at station 214. room. It can be in the same order as in Fig. 10. b) Unfilled gap and ECP overfill or mixed electroless plating/electrochemical plating platform can fill the high aspect ratio feature structure and then overfill the low depth structure with ECP, as shown in Figure 1E. Except for step 1 002, its base is similar to the order of Figure 10. In addition to the ALD deposition barrier layer and the non-layer, step 00 2 also includes a high-depth structure on the electroless filled substrate. Therefore, the cluster tool 200 herein can sequentially deposit the ALD resistive plating layer and the ECP filled gap layer, or it can be filled with the ECP filling layer according to the J barrier layer, the electroless plating seed layer, and the electroless plating filler feature. The feature set with low aspect ratio can reduce the oxidation of the first copper layer before the ECP filling gap, and the adhesion between the 3-4 metal layer and the TaN barrier layer can be completed by a single process platform. Can be improved. On the one hand, electroless plating fills the connection point or adhesion of the source. This amount is related to the number of oxidation tables. Set tool 200 Electroplating double process ΐ 202/204, station 210/212 Single substrate processing for the material-free filling ratio of the material processing sequence Electroplating seed crystal ratio characteristic barrier layer, no electric deposition ALD high depth The structure of the width ratio. Each embodiment is inferior to the oxidation deposition step. Bungee connection point 26 1374951

的高深寬比接觸孔可包括選擇性沉積鈷基或鎳基合金。此 高深寬比接觸孔類似第1 F圖的開口 1 2 2與接觸層1 2 3,除 了本實施例的接觸層1 2 3是由摻雜矽的源極連接點或汲極 連接點構成11較佳地,錄薄層或钻薄層為先沉積至源極或 汲極接觸孔底部,以形成覆蓋接觸層123的矽化鎳或矽化 鈷。由於矽化鎳可阻擋塊體層130擴散到接觸層123,故 可防止源極或汲極(即接觸層 1 2 3)再矽化,並避免在後續 製程中形成矽化物,是以源極或汲極連接點與塊體導電層 (即第1K圖的塊體層130)間不需形成阻障層。較佳地,在 形成鎳薄層或鈷薄層於高深寬比接觸孔底部後,即在同一 製程室中沉積塊體層 1 3 0,以減少氧化發生。這兩個沉積 步驟均在第10圖的步驟1002進行。The high aspect ratio contact holes can include selective deposition of cobalt or nickel based alloys. The high aspect ratio contact hole is similar to the opening 1 2 2 of the FIG. 1F and the contact layer 1 2 3 except that the contact layer 1 2 3 of the present embodiment is composed of a source connection point or a drain connection point of the doped germanium 11 Preferably, the thin layer or the thin layer is deposited first to the bottom of the source or drain contact hole to form nickel or cobalt telluride covering the contact layer 123. Since the nickel telluride can block the diffusion of the bulk layer 130 to the contact layer 123, the source or the drain (ie, the contact layer 1 2 3) can be prevented from being deuterated, and the formation of germanide in the subsequent process is avoided, which is the source or the drain. There is no need to form a barrier layer between the connection point and the bulk conductive layer (i.e., the bulk layer 130 of FIG. 1K). Preferably, after forming a thin layer of nickel or a thin layer of cobalt at the bottom of the high aspect ratio contact hole, i.e., depositing a bulk layer 130 in the same process chamber to reduce oxidation. Both deposition steps are performed in step 1002 of Figure 10.

另一方面,第1C圖所示之無電電鍍填充高深寬比特 徵結構1 1 1可藉由選擇性無電電鍍製程完成。其基材處理 順序類似第1 0圖的順序,除了步驟1 0 〇 2為採用由下而上 (bottom-up)的無電電鍵填充製程,而非共形(conformal) 填充製程。用於本發明實施例之由下而上的沉積製程例子 可參見美國專利申請案號60/663,493,名稱「用於高深寬 比接觸孔的沉積製程(Deposition Processes Within a High Aspect Ratio Contact)」,申請曰為2005年3月18曰的申 請案,其一併附上供作參考。 4.製程室說明 本發明實施例包括將多個基材製程室合併至單一群集 27 1374951 工具,製程室包括ECP室、IBC室、SRD室、無電電鍍室、 電漿加強乾蝕刻室、及ALD室或CVD室。這些製程室與 其進行之製程的例子將說明於下。 a) ECP 室On the other hand, the electroless plating filling of the high-depth width bit structure 1 1 1 shown in Fig. 1C can be completed by a selective electroless plating process. The substrate processing sequence is similar to that of Figure 10 except that step 10 〇 2 is a bottom-up electroless fill process rather than a conformal fill process. An example of a bottom-up deposition process for use in embodiments of the present invention can be found in U.S. Patent Application Serial No. 60/663,493, entitled "Deposition Processes Within a High Aspect Ratio Contact". The application was filed on March 18, 2005 and is attached for reference. 4. Process Room Description Embodiments of the invention include incorporating a plurality of substrate processing chambers into a single cluster 27 1374951 tool, including an ECP chamber, an IBC chamber, an SRD chamber, an electroless plating chamber, a plasma enhanced dry etching chamber, and ALD Room or CVD room. Examples of these process chambers and the processes they perform will be described below. a) ECP room

根據本發明之一態樣,步驟1 003為在ECP室中進行, 以填入導電材料(例如銅)至基材上的内連線結構。ECP製 程一般為兩階段製程。首先,利用PVD、CVD或ALD製 程形成一晶種層至基材之特徵結構的表面。然後使基材之 特徵結構表面接觸電解液,並施加電偏壓於晶種層與電解 液内的銅陽極之間。電解液包含待電鍍至基材表面的離 子,施加陰極型偏壓會促使電解液的離子電鍍至晶種層 上。習知ECP室一般使用具電鍍液(通稱陰極電解液)之溢 流堰型電鍍裝置。電鍍時,基材面在陰極電解液中為朝下, 且電鍍偏壓是施加於基材與ECP室下部中的陽極之間。此 偏壓還原了陰極電解液的金屬離子,因而電鍍離子至基材 上。傳送基材進出此面朝下之電鍍室結構一般需使用一機 械手臂,如主要平台機械手臂 220,其可將基材由面朝上 轉成面朝下或由面朝下轉成面朝上。 第 2 B圖為電鍍室之一實施例的剖面圖,以下稱之為 電鍍室200B。電鍍室200B —般包括一電鍍頭組件210B、 一框架構件203B、一外盆201B、及一位在外盆201B中的 内盆 202B。電鍍頭組件 210B 包括一可旋轉之接觸環 211B,以於沈浸至陰極電解液與電鍍時來支撐與轉動基 材。可旋轉之接觸環211B可電氣接觸基材周圍,藉以施 28 1374951 加所需電鍍偏壓到基材。電鍍室200B的框架構件2〇3b支 撐一環形基底構件204B於其上部。基底構件2〇4b包括一 盤形陽極205B。内盆2〇2B 一般含有在電化學電鍍過程中 用來電鍍金屬(例如銅)至基材上的陰極電解液。在電鍍 時’電鍍液一般為連續供應給内盆2〇2B,因此電鍍液為持 續溢流出内盆202B的最上端206B(—般稱為,,堰,,),並由 外盆2〇1B收集後排出以供化學處理與回收。電鍍室2〇〇b 可傾斜設置,即,可提高電鍍室2〇〇B框架構件2〇3B的一 側,而使電鍍室200B的元件傾斜約3度至約3〇度。由於 框架構件203B的_側已提高,故基底構件2〇4B上表面一 般會對應框架構件203B的傾角而相對於水平傾斜相同角 度。 根據一 ECP製程例子,-基材可傳送到電锻室内,如 電鍍室200B,且面朝下置於可旋轉之接觸環ha上。電 鍍頭組件210B向下移動,直到基材浸入内盆“π内的陰 極電解液,且其由可旋轉之接觸帛2Ub轉動的速度通常 為介於約5㈣至約60 rpm之間。陰極電解液可含約5克 /公升(g/Ι)至50 g/1的硫酸、濃度約Λ J 马 25 g/1 至 70 g/1 的 銅、與濃度約為3Gppm至6Gppm的氣。陰極電解液還可 含其他添加劑’例如校準劑、抑制劑或加速劑。電艘時, -般為施加約! VDC至約10VDC的偏墨至基材。可旋轉 之接觸環2UB在電鍵過程中轉動基材的轉速為約1〇 — 至約1〇0 π"1。電锻時間約為3〇秒至5分鐘,其視欲電鍵 之膜層厚度而;t。接著停止施加電鍍偏壓,並將基材放置 29 1374951 到陰極電解液與内盆202B最上端206B的上方,以將基材 移出電鍍室200B。在移出電鍍室20〇b前,基材還可以約 100 rpm至約1〇〇〇 rpin的轉速旋轉約1秒至1〇秒,以移 除基材上多餘的陰極電解液s ECP室與其製程的例子更可 參見美國專利申請案號1 0/627,3 36,名稱「電化學製程室 (Electrochemical Processing Cell)」,申請日為 2003 年 7In accordance with one aspect of the invention, step 1 003 is performed in an ECP chamber to fill a conductive material (e.g., copper) to an interconnect structure on the substrate. The ECP process is generally a two-stage process. First, a seed layer is formed using a PVD, CVD or ALD process to the surface of the features of the substrate. The characteristic surface of the substrate is then brought into contact with the electrolyte and an electrical bias is applied between the seed layer and the copper anode in the electrolyte. The electrolyte contains ions to be electroplated to the surface of the substrate, and application of a cathodic bias causes ion plating of the electrolyte onto the seed layer. The conventional ECP chamber generally uses an overflow type electroplating apparatus having a plating solution (commonly referred to as a catholyte). During electroplating, the substrate side is facing down in the catholyte and the plating bias is applied between the substrate and the anode in the lower portion of the ECP chamber. This bias reduces the metal ions of the catholyte and thus ionizes the ions onto the substrate. Transferring the substrate into and out of the face-down plating chamber generally requires the use of a robotic arm, such as a main platform robot arm 220, which can turn the substrate from face up to face down or face down to face up . Figure 2B is a cross-sectional view of one embodiment of a plating chamber, hereinafter referred to as a plating chamber 200B. The plating chamber 200B generally includes a plating head assembly 210B, a frame member 203B, an outer basin 201B, and an inner basin 202B in the outer basin 201B. The plate head assembly 210B includes a rotatable contact ring 211B for supporting and rotating the substrate upon immersion in the catholyte and plating. The rotatable contact ring 211B can be electrically contacted around the substrate by applying 28 1374951 to the substrate with the desired plating bias. The frame member 2〇3b of the plating chamber 200B supports an annular base member 204B at an upper portion thereof. The base member 2〇4b includes a disk-shaped anode 205B. The inner basin 2〇2B typically contains a catholyte that is used to electroplate metal (e.g., copper) onto the substrate during electrochemical plating. During electroplating, the electroplating solution is generally continuously supplied to the inner pot 2〇2B, so the plating solution is continuously overflowing from the uppermost end 206B of the inner pot 202B (generally called, 堰,,), and by the outer pot 2〇1B After collection, it is discharged for chemical treatment and recovery. The plating chamber 2〇〇b may be disposed obliquely, i.e., one side of the plating chamber 2〇〇B frame member 2〇3B may be raised, and the elements of the plating chamber 200B may be inclined by about 3 degrees to about 3 degrees. Since the _ side of the frame member 203B has been raised, the upper surface of the base member 2 〇 4B generally is inclined at the same angle with respect to the horizontal with respect to the inclination of the frame member 203B. According to an ECP process example, the substrate can be transferred to an electric forging chamber, such as electroplating chamber 200B, and placed face down on a rotatable contact ring ha. The plate head assembly 210B is moved downward until the substrate is immersed in the catholyte within the inner pot "π, and its speed of rotation by the rotatable contact 帛 2Ub is typically between about 5 (four) to about 60 rpm. Catholyte It may contain about 5 g/liter (g/Ι) to 50 g/1 of sulfuric acid, a concentration of about 马J horse 25 g/1 to 70 g/1 of copper, and a concentration of about 3 Gppm to 6 Gppm of gas. Catholyte It may also contain other additives such as calibrators, inhibitors or accelerators. In the case of electric boats, it is generally applied to the substrate from about VDC to about 10 VDC. The rotatable contact ring 2UB rotates the substrate during the keying process. The rotational speed is about 1 〇 - to about 1 〇 0 π " 1. The electrical forging time is about 3 sec to 5 minutes, which depends on the thickness of the film of the key; t. Then stop applying the plating bias and base The material is placed 29 1374951 above the catholyte and the uppermost end 206B of the inner pot 202B to move the substrate out of the plating chamber 200B. The substrate may also be from about 100 rpm to about 1 rpin before the plating chamber 20 〇b is removed. The rotation speed is about 1 second to 1 second to remove excess catholyte on the substrate. ECP chamber and its process example See also US Patent Application No. 1 0/627,3 36, entitled "Electrochemical Processing Cell", application dated 2003 7

月24日的申請案’其一併附上供作參考。 b) IBC 室 根據本發明之一態樣’ IBC室是用來移除基材邊緣或 斜面上的沉積物和基材背面的污染物,並且不會破壞基材 表面上的結構。此製程一般是在導電材料沈積至基材上之 後(如ECP製程或無電電鍍製程之後)來處理基材。IBC室 一般包括一容器;一可旋轉之基材支撐物,其係位於容器 中且可以較高轉速(即500 rpm或更快)來轉動基材;及一 流體輸送裝置,用以準確輸送蝕刻液到基材周圍,並輸送 洗滌劑(如去離子水)給整個基材。The application on the 24th of the month is attached for reference. b) IBC Chamber According to one aspect of the invention, the IBC chamber is used to remove deposits from the deposits on the edges or slopes of the substrate and the back side of the substrate without damaging the structure on the surface of the substrate. This process typically treats the substrate after the conductive material has been deposited onto the substrate (e.g., after an ECP process or an electroless plating process). The IBC chamber generally includes a container; a rotatable substrate support positioned in the container and capable of rotating the substrate at a higher rotational speed (ie, 500 rpm or faster); and a fluid delivery device for accurate transport etching The liquid is applied around the substrate and a detergent such as deionized water is delivered to the entire substrate.

操作時’ IBC室可用來洗滌與清洗基材。清洗步驟可 同時實施在基材的製造面與非製造面、或個別實施在基材 的製造面或非製造面。清洗室亦可用於清洗基材斜面上多 餘的材料,即沉積於基材製造面周圍或頂邊附近與部分沉 積於背面的導電層《此製程通常在半導體技藝又稱為斜面 清洗或邊緣珠狀物(edge bead)移除。在另一實施例中,IBC 室可當作一結合之IBC/SRD室,其中SRD室(將配合第4 圖說明於下)的最終洗滌與乾燥功能合併到IB C室3 0 0之一 30 1374951 實施例(將配合第3圖說明於下)。下述IBC室300之實施 例並不需要額外的特徵即可進行SRD室的最終洗滌與乾 燥功能。The IBC chamber can be used to wash and clean the substrate during operation. The cleaning step can be carried out simultaneously on the manufactured side and the non-manufactured side of the substrate, or individually on the manufactured or non-manufactured side of the substrate. The cleaning chamber can also be used to clean excess material on the slope of the substrate, that is, deposited around the top or near the top side of the substrate and partially deposited on the back side. This process is usually referred to as a bevel cleaning or edge beading in semiconductor technology. The edge bead is removed. In another embodiment, the IBC chamber can be used as a combined IBC/SRD chamber, wherein the final washing and drying function of the SRD chamber (which will be described below in conjunction with Figure 4) is incorporated into one of the IB C chambers. 1374951 Example (to be explained below in conjunction with Figure 3). The following embodiment of the IBC chamber 300 does not require additional features to perform the final washing and drying functions of the SRD chamber.

第3圖為IBC室300之一實施例的立體示意圖。IBC 室3 00上層元件一般包括一碗狀室體或具連接於内壁301 下部之排水盆309的室體。碗狀室體一般採用塑膠材料、 尼龍型材料、或塗佈有非金屬之金屬材料。且材料通常不 與用於移除基材表面材料的蝕刻液反應。排水盆3 0 9 —般 用來接收其上之處理流體,並將處理流體導向一流體排水 設備(未繪示)。排水盆309中央部分包括一基材吸座303, 其係用以轉動製程室内待處理之基材及/或垂直移動基 材。排水盆309還包括複數個向上延伸的基材置中銷304。 基材置中銷 3 0 4 —般為等距放射狀排列在排水盆 3 09四 周,並準確放置基材於製程室内,以利進行斜面之最佳化 清洗。IB C室3 0 0更包括至少一洗滌液分配臂3 0 5及至少 一蝕刻液分配臂3 0 6。一般而言,洗滌液分配臂3 0 5與蝕 刻液分配臂306為樞接至IBC室300周圍部份,且包括一 末端具有至少一流體分散喷嘴的縱向延伸臂。喷嘴係定位 於能將處理流體分散到位於基材吸座3 0 3上之基材的第一 側或上側。洗滌液分配臂3 0 5與蝕刻液分配臂3 0 6 —般受 控於一系統控制器,藉由各分配臂的轉動與垂直移動而精 確定位各分配臂末端於待處理基材的特定徑向位置之上, 故各分配臂 3 0 5、3 0 6末端之噴嘴所分散的流體可分配到 IBC室300内待處理基材的精確徑向位置上。分配至基材 31 1374951FIG. 3 is a perspective view of an embodiment of an IBC chamber 300. The IBC chamber 300 upper element generally includes a bowl-shaped chamber body or a chamber body having a drain basin 309 attached to the lower portion of the inner wall 301. The bowl-shaped chamber body is generally made of a plastic material, a nylon type material, or a metal material coated with a non-metal. And the material typically does not react with the etchant used to remove the surface material of the substrate. The drain basin is generally used to receive the treatment fluid thereon and direct the treatment fluid to a fluid drainage device (not shown). The central portion of the drain basin 309 includes a substrate holder 303 for rotating the substrate to be treated and/or the vertical moving substrate within the process chamber. The drain basin 309 also includes a plurality of upwardly extending substrate centering pins 304. The substrate centering pin 3 0 4 is generally arranged equidistantly in the drain basin for 3 09 four weeks, and the substrate is accurately placed in the process chamber to facilitate optimal cleaning of the bevel. The IB C chamber 300 further includes at least one wash liquid dispensing arm 305 and at least one etchant dispensing arm 306. In general, the wash liquid dispensing arm 305 is pivotally coupled to the periphery of the IBC chamber 300 and the etchant dispensing arm 306 includes a longitudinally extending arm having at least one fluid dispersing nozzle at the end. The nozzle system is positioned to disperse the treatment fluid onto the first side or the upper side of the substrate on the substrate holder 310. The washing liquid dispensing arm 305 and the etchant dispensing arm 306 are generally controlled by a system controller, and the specific diameter of each dispensing arm end to the substrate to be treated is precisely positioned by the rotation and vertical movement of the respective dispensing arms. Above the position, the fluid dispersed by the nozzles at the ends of each of the dispensing arms 3 0 5 , 3 0 6 can be dispensed into the precise radial position of the substrate to be treated in the IBC chamber 300. Dispensing to substrate 31 1374951

的流體可為洗滌液(如去離子水)或酸液(如含硫酸之 液)。再者,洗滌液分配臂3 0 5與蝕刻液分k臂3 06可包 一機構,當喷嘴未啟動時,可避免流體從喷嘴溢漏出來 例如*喷嘴可包括真空埠或吸止閥(未繪示),以於關閉 接收不欲流出之液滴。或者,喷嘴可包括氣體開口 ,以 掉基材表面上多餘的流體。 在典型的斜面清洗或IBC製程中,基材是面朝上放 於IBC室内(如IBC室300)。放置基材至IBC室内的 驟一般包括***、置中和吸住。***步驟包括使用基材 輸機械手臂,如主要平台機械手臂 220。置中步驟包括 用基材置中銷 3 04。然後真空吸座在整個製程中維持基 位置。預洗滌步驟包括以約1 5 0 r p m至約2 5 0 r p m的轉 轉動基材約8秒至2 0秒,且利用洗滌液分配臂3 0 5分配 1公升/分鐘(Ι/min)至2 Ι/min的去離子水至基材上側。 著以約2 0 0 0 r p m至約3 5 0 0 r p m的轉速轉動基材约5秒 移除殘留的去離子水。其次,利用蝕刻液分配臂3 06分 流速約20 cc/min至40 cc/min的钱刻液至基材斜.面約 秒至2 5秒。蝕刻液可流過相當細的喷嘴,其開口内徑為 0.2 5英吋至0.5英吋。喷嘴距基材表面約1毫米至3毫^ 以精確分配蝕刻液至基材斜面上。典型蝕刻液組成包含 1 5 - 2 5份的硫酸、約3 5 0 - 4 5 0份的過氧化氫、和約1 4 0 0 的水。分配完蝕刻液後,接著分配流速約 1 Ι/min至: 1 / m i η的洗蘇液至基材上側約3秒至1 0秒,而基材的轉 為約100 rpm至3 00 rpm。完成洗滌步驟後即終止所有 溶 括 〇 時 吹 置 步 傳 使 材 速 約 接 而 配 10 約 ;1 約 份 :_5 速 液 32 1374951 體分配步驟’此時基材 '迷马約400 rpm至約4000 rpm,用以部分或完全乾燥基材。 IB C室與斜面清洗方 壬的例子插述於美國專利申請案 號10/826,492,名稱「替入 口式,面凊洗室(Integrated Beve】 Clean Chamber) , » 止 甲清曰為2004年4月μ曰的申請案, 其一併附上做為參考。 除了基材斜面清洗The fluid may be a washing liquid (such as deionized water) or an acid liquid (such as a solution containing sulfuric acid). Furthermore, the washing liquid dispensing arm 305 and the etching liquid sub-arm 3 06 can include a mechanism to prevent fluid from leaking out of the nozzle when the nozzle is not activated. For example, the nozzle can include a vacuum or a suction valve (not Shown), in order to close the receiving droplets that do not want to flow out. Alternatively, the nozzle may include a gas opening to remove excess fluid from the surface of the substrate. In a typical bevel cleaning or IBC process, the substrate is placed face up in the IBC chamber (e.g., IBC chamber 300). The steps of placing the substrate into the IBC chamber generally include insertion, centering, and aspiration. The insertion step includes the use of a substrate transport robot, such as a main platform robot arm 220. The centering step involves centering the pin 3 04 with the substrate. The vacuum holder then maintains the base position throughout the process. The pre-washing step includes rotating the substrate from about 1500 rpm to about 205 rpm for about 8 seconds to 20 seconds, and dispensing 1 liter/minute (Ι/min) to 2 with the washing liquid dispensing arm 3 0 5 . Ι/min of deionized water to the upper side of the substrate. The substrate was rotated at a speed of from about 20,000 r p m to about 3,500 m p m for about 5 seconds to remove residual deionized water. Next, use the etchant dispensing arm to dispense a flow rate of about 20 cc/min to 40 cc/min to the substrate slope for about seconds to 25 seconds. The etchant can flow through a relatively thin nozzle with an opening diameter of 0.2 5 inches to 0.5 inches. The nozzle is about 1 mm to 3 mm from the surface of the substrate to precisely dispense the etchant onto the substrate ramp. A typical etchant composition comprises from 1 5 to 25 parts of sulfuric acid, from about 3,500 to about 450 parts of hydrogen peroxide, and from about 14,000 water. After the etchant is dispensed, a flow rate of about 1 Ι/min to 1 / m i η of the soaking solution is applied to the upper side of the substrate for about 3 seconds to 10 seconds, and the substrate is rotated to about 100 rpm to 300 rpm. After the completion of the washing step, all the sputum sputum is terminated, and the blasting step is carried out to make the material speed approximately 10 minutes; 1 aliquot: _5 quick liquid 32 1374951 body dispensing step 'At this time the substrate' is about 400 rpm to about 4000 rpm to partially or completely dry the substrate. An example of an IB C chamber and a bevel cleaning method is described in U.S. Patent Application Serial No. 10/826,492, entitled "Integrated Beve Clean Chamber", »Agilent Clearance was April 2004 The μ曰 application, which is attached as a reference, except for the substrate bevel cleaning

洗條和乾燥,上述第3圖之IBC 至亦可用來在基材上谁 _ 丁八他濕式製程,例如用以移除有 機污染物的S C -1清法制< 所有製程將配合第21A-21F圖說 製程、氟化氫基之原生氧化物的清 洗製程、或酸剝除製程, 明於後。 c) SRD 室 根據本發明之一訾a X知例(即混成之無電電鍍/電化學電 鐘平〇 ),s R D室可於渴★制&Washing and drying, IBC of Figure 3 above can also be used on the substrate. For example, the SC-1 clearing process for removing organic contaminants will be used in conjunction with the 21st -21F illustrates the process, the cleaning process of the native oxide of hydrogen fluoride, or the acid stripping process, as shown later. c) SRD chamber According to one of the inventions 訾a X (i.e., mixed electroless plating/electrochemical clock 〇), the s R D chamber can be used in thirst &

I、式製程之後,用來最終洗滌與旋乾 基材。操作時,SRD它 A 至一般為接收一基材於其中、使用洗 蘇流體洗將基材、及兹山 稽由旋轉基材使流體因離心力離開基 材表面而乾燥基材, 亚選擇性分散乾燥氣體至具基材的製 程室内以促進乾燥製鞀 , ^ # 。此製程一般是在完成所有濕式製 程後緊接在基材移出群集工具的濕式處理區域前進行。 第圖為基材旋轉洗滌乾燥(SRD)室400之一實施例 的部分剖面示素圖。Sp 、 至4〇〇包括一流體碗狀物件401。 SRD室4〇〇更句括—热*λ 旋敎402,其係位在流體碗狀物件4〇 i 中間。旋轂4〇2 一般合. I括上平面,並具有複數個背側流體 分散喷嘴408與至少—痛触、 、 氣體分散喷嘴410形成其上。複數 33 1374951 個直立的基材支撐指狀物件403敌射狀設置在旋轂4〇2周 圍。指狀物件403是用來轉動支撐基材404的斜邊,、 以便 於SRD室400 _進行處理° ^體分配臂45〇可拖 钱於側 壁,而使具流體分散喷嘴之分配臂末端可辕ε葡# ^ 何上裏程室内待 處理基材的上方。流體分配臂450可向外抵 ’ 得主基柯表面 上方,並分配處理流體(通常為去離子水〗5杏 ^ ^主靠近基材中心 的表面上。如上述基材電链群集工具的訾奸/ (I, after the process, used to finally wash and spin dry the substrate. In operation, the SRD is generally a substrate in which a substrate is received, a substrate is washed with a washing fluid, and the substrate is dried by a rotating substrate to cause the fluid to leave the surface of the substrate by centrifugal force, and the substrate is selectively dispersed. Dry the gas into the process chamber with the substrate to promote drying, ^ #. This process is typically performed immediately after all wet processes have been completed before the substrate is removed from the wet processing area of the cluster tool. The Figure is a partial cross-sectional illustration of one embodiment of a substrate spin wash drying (SRD) chamber 400. Sp, up to 4 includes a fluid bowl 401. The SRD chamber 4 〇〇 — 热 热 热 热 热 热 热 热 热 , , , , , , , , , , , , 敎 敎 敎 敎 敎The hub 4〇2 generally includes an upper plane and has a plurality of backside fluid dispersion nozzles 408 and at least a pain-contacting, gas-dispersing nozzle 410 formed thereon. A plurality of 33 1374951 upright substrate supporting finger members 403 are disposed in an enemy manner around the hub 4〇2. The finger member 403 is used to rotate the beveled edge of the supporting substrate 404, so that the SRD chamber 400 can be processed. The body dispensing arm 45 can be dragged to the side wall, and the end of the dispensing arm with the fluid dispersing nozzle can be smashed. ε 葡 # ^ Where is the top of the substrate to be treated in the mileage room. The fluid dispensing arm 450 can be outwardly biased to the top of the surface of the substrate and dispensed with a treatment fluid (usually deionized water). The surface of the substrate is close to the center of the substrate. / (

J Τ %例所言,SRD 室400的SRD功能可整合到IBC室,日τ &J Τ % Example, SRD function of SRD room 400 can be integrated into IBC room, day τ &

且不需再更改IBC 室300 〇 SRD室的例子可參見美國直w ± ^ ^ $ @專利申請案號 1 0/6 1 6,284 , 名稱 「多重化學作田 邛用之電鍍系統 (Multi-Chemistry Plating System)」’中請日為 2〇〇3 年 7 月 8曰的申請案。 在典型的SRD製程中’基材是面朝上敌置在srd室 (如SRD室400)的支撐指狀物件403上。A , 丄 在預洗滌步驟中, 旋毅402以約900 rpm至1700 rpm的轉速轉動基材約2秒 至約6秒,而流體分配臂450與背側流體分散喷嘴4〇8分 配約600毫升至約15〇〇毫升的流體至基材上側與背面。每 背面清洗步驟中’旋轂402以約40 rpm s n ± ^For examples of the 300 〇SRD room in the IBC room, please refer to the US Patent Application No. 1 0/6 1 6,284, entitled "Multi-Chemistry Plating System". )"" The application for the day is July 2, 2008. In a typical SRD process, the substrate is a support finger 403 that faces upside down in a srd chamber (e.g., SRD chamber 400). A, 丄 In the pre-washing step, the spinner 402 rotates the substrate at a speed of about 900 rpm to 1700 rpm for about 2 seconds to about 6 seconds, while the fluid dispensing arm 450 and the backside fluid dispersion nozzle 4〇8 dispense about 600 ml. Up to about 15 milliliters of fluid to the top and back of the substrate. In each back cleaning step, the hub 402 is approximately 40 rpm s n ± ^

Pm至9〇 rpm的轉速 轉動基材約10秒至約20秒,且約2〇〇辜| 笔升至500毫升的 清洗液(如EleCtraCleanTM溶液)分配至基材背面、以及約 W00毫升至約1 500毫升的洗滌液分配至 夂巷·材上側。在後 洗滌步驟中’約1000毫升至約1500毫弁 $什的洗滌液分配至 基材上側,且約6 0 0毫升至約1 〇 〇 〇毫升的,+ $开的洗滌液分配至基 材背面,而基材是以約40 rpm至90 rpm认uRotating the substrate from Pm to 9 rpm for about 10 seconds to about 20 seconds, and about 2 〇〇辜 | pen to 500 ml of cleaning solution (such as EleCtraCleanTM solution) is dispensed to the back of the substrate, and about W00 ml to about 1 500 ml of washing liquid is distributed to the upper side of the alley and material. In the post-washing step, 'about 1000 ml to about 1500 mTorr of the washing liquid is dispensed to the upper side of the substrate, and about 600 ml to about 1 ml of the washing liquid is dispensed to the substrate. The back side, while the substrate is recognized at about 40 rpm to 90 rpm

Pm的轉速轉動約10 34 1374951 秒至約1 6秒。在乾燥步驟中,停止流入所有流體,且基材 以約2000 rpm至3000 rpm的轉速轉動約1 0秒至約20秒。 或者,可在此步驟中引入約2cfm至約4cfm的乾燥清淨氣 體(purge gas )至製程室内約4秒,以加強基材乾燥步驟。 d)無電電鍍室The rotational speed of the Pm is rotated by about 10 34 1374951 seconds to about 16 seconds. In the drying step, all of the fluid flow is stopped, and the substrate is rotated at a speed of about 2000 rpm to 3000 rpm for about 10 seconds to about 20 seconds. Alternatively, from about 2 cfm to about 4 cfm of dry purge gas may be introduced into the process chamber for about 4 seconds in this step to enhance the substrate drying step. d) Electroless plating chamber

一般而言,群集工具之實施例包括至少一無電電鍍 室。根據一態樣,一對無電電鍍室聚集在一起以利進行無 電電鍍製程來處理基材。一對無電電鍍室或無電電鍍雙製 程室包含二個基材處理室,位於製程封閉區302内的濕式 製程平台213上(參見第2圖)。製程封閉區302將配合第 6圖詳述於後。各對製程室可包括無電鍍室或電鍍支援 室,如無電電錢室、無電活化室、及/或基材洗蘇或清洗室。 在一實施例中,各製程封閉區3 0 2可具二個獨立的無 電電鍍室,其中所需的預沉積、沉積、與後沉積步驟全在 各製程室内進行。在此配置下,基材是獨立進出各製程室 並進行處理。In general, embodiments of the cluster tool include at least one electroless plating chamber. According to one aspect, a pair of electroless plating chambers are brought together to facilitate the electroless plating process to treat the substrate. A pair of electroless plating chambers or electroless plating dual chambers includes two substrate processing chambers located on the wet process platform 213 within the process enclosure 302 (see Figure 2). The process enclosure 302 will be detailed later in conjunction with Figure 6. Each pair of process chambers may include an electroless plating chamber or an electroplating support chamber, such as an electroless fuel chamber, an electroless activation chamber, and/or a substrate sacrificial or cleaning chamber. In one embodiment, each process enclosure 30 2 can have two separate electroless plating chambers, with the desired pre-deposition, deposition, and post-deposition steps all performed in each process chamber. In this configuration, the substrate is independently accessed and processed in each process chamber.

或者,製程封閉區3 02中的二製程室可包括一連續的 無電電鍍雙製程室,其中一製程室為活化室,而另一製程 室為無電電鍍室,基材則藉由製程封閉區302内部的機械 手臂從活化室移到沉積室。如此,用來無電電鍍至基材的 整套製程(即活化、預清洗、無電電鍍、及後清洗)為在單 一製程封閉區3 02進行,而單獨製程則分在二個具無電電 鍍雙製程室的製程室中進行。 第6圖為無電雙製程室之一實施例的立體示意圖,為 35 1374951 清楚表示,其省略繪示無電電鍍室的基材處理硬體設 在此實施例中’製程站210、212(如第2圖所定義)位 製程封閉區302中,然濕式製程平台2丨3上的其他製 亦可依不同實施方式來當作無電電鍍雙製程室。製程 區302定義出一對製程站21〇、212周圍的製程控制環 製程封閉區302可包括一中央内壁6〇8,其一般將製 境分為二個相同大小的製程空間6丨2、6 1 3。儘管中央 608可有可無’但採用中央内壁6〇8時,一般會在製 210上方形成製程空間612,而在製程站212上方形成 空間613。製程空間612、613實質上被中央内壁阳 然中央内壁608之下部包括-狹縫61〇。狹縫6丨〇尺 依一位於製程站210、212之間的内部基材傳輸裝置 決定。内部基材傳輸裝置605 一般用來在各製裎站間 基材(製程站210〇製程站212),而不需使用主要平台 手臂220。内部基材傳輸裝置6〇5可為真空吸座型基 撐構件因其可以_點為轴心旋轉&内部基材傳輸 605之-基材支偉末端可依箭頭6。3所指方向(如第2 示)移動,進而傳送基材於各製程站2i〇、an之間。 空間612、6 13還包括一閥門6〇4,用以使一機械手臂 主要平〇機械手臂22〇,進入各製程空間612 613來 入或取出基材》 每製程封閉區302尚包括一位於製程空間612 上部的環控裝置615(參見第6圖,且為清楚表示,係 控裝置615移開而不接觸製程封閉區3〇2)。環控裝置 備。 在一 程站 封閉 :境。 程環 内壁 程站 製程 备開, 寸可 605 傳送 機械 材支 裝置 圖所 製程 ,如 放置 ' 613 將環 36 615 12、1374951Alternatively, the two process chambers in the process enclosure 302 may include a continuous electroless plating dual process chamber, wherein one of the process chambers is an activation chamber and the other process chamber is an electroless plating chamber, and the substrate is closed by the process enclosure 302. The internal robotic arm moves from the activation chamber to the deposition chamber. Thus, the entire process for electroless plating to the substrate (ie, activation, pre-cleaning, electroless plating, and post-cleaning) is performed in a single process enclosure 3 02, while the separate process is divided into two electroless plating dual process chambers. In the process room. Figure 6 is a perspective view of an embodiment of an electroless dual process chamber, clearly shown in 35 1374951, which omits the substrate processing hardware of the electroless plating chamber. In this embodiment, the process stations 210, 212 (such as In the bit process enclosure 302, the other system on the wet process platform 2丨3 can also be regarded as an electroless plating double process chamber according to different implementation manners. The process area 302 defines a pair of process stations 21, 212 around the process control loop. The process enclosure 302 can include a central inner wall 6 〇 8 which generally divides the environment into two process spaces of the same size 6 丨 2, 6 1 3. Although the central 608 may or may not be used, the process space 612 is generally formed over the system 210 and the space 613 is formed above the process station 212 when the central inner wall 6〇8 is employed. The process spaces 612, 613 are substantially covered by the lower portion of the central inner wall inner central wall 608 by a slit 61. The slits 6 are determined by an internal substrate transport device located between the process stations 210, 212. The internal substrate transfer device 605 is typically used to interconnect substrates (process stations 210, process stations 212) between the various stations without the use of the main platform arm 220. The inner substrate transfer device 6〇5 may be a vacuum suction type base support member because it can rotate as a shaft center & the inner substrate transfer 605-the base material support end can be in the direction indicated by the arrow 6.3 ( Moving as shown in Fig. 2, the substrate is transferred between the respective process stations 2i, an. The space 612, 6 13 further includes a valve 6〇4 for allowing a robot arm to directly align the robot arm 22 to enter each process space 612 613 to enter or remove the substrate. Each process closure 302 further includes a process. The upper enclosure 615 of space 612 (see Figure 6, and for clarity, the control 615 is removed without contacting the process enclosure 3〇2). Environmental control device. At the station, it is closed: The process of the inner wall of the process ring is ready to open, the inch can be 605 to transfer the mechanical material of the device. If the process is placed, '613 will ring 36 615 12, 1374951

包括一製程氣體源,用以提供製程氣體給製程空間 6 613。製程氣體源一般為提供一定量的惰性氣體(如氮 氦氣、氫氣、氬氣)、及/或其混合氣體 '或其他半導 程常用之氣體至製程空間612、613。藉此,環控裝置 將可能惡化無電鍍製程之氣體(例如氧氣)排出製程 612、613。環控裝置615更包括一粒子過濾系統,如 率微粒空氣(HEPA)過濾系統。粒子過濾系統是用來移 入製程空間612、613的氣體所含之污染微粒。粒子過 統亦用來產生一普遍線性且相同流量之製程氣體給其 製程站。環控裝置615更可包括控制各製程空間612 之溼度、溫度、壓力等條件的元件。系統控制器21 1 來調節環控裝置615與排放口 614之操作、和群集工具 中的其他元件(參照第2圖),以依據製程條件或接收 空間6 1 2、6 1 3中之感測器或偵測器(未繪示)的輸入值 控制製程空間6 1 2、6 1 3的含氧量。製程封閉區3 02内 製程站(第 2圖之製程站 210、212)還包括至少一排 6 1 4,使環控裝置 6 1 5供應之製程氣體均勻流入各製 2 1 0、2 1 2内.。或者,多個放射狀排列之排放口(未繪b 設在製程站210、212周圍。 環控裝置6 1 5、排放口 6 1 4與系統控制器2 1 1的 亦使群集工具200得以在進行特定製程步驟時,控制 空間612、613的含氧量,其中一製程步驟需要一第一 量來得到最佳效果,而另一製程步驟需要一第二含氧 得到最佳效果,且第一含氧量不同於第二含氧量。除 氣、 體製 6 15 空間 南效 除流 渡系 下的 > 613 可用 200 製程 ,來 的各 放口 程站 Μ可 組合 製程 含氧 量來 含氧 37 1374951 量外,***控制器211還可依特定製程來控制製程封閉區 内的其他參數,如溫度、溼度、壓力等。參數可由加熱器、 冷卻器、濕濶器、除濕器、真空幫浦、氣體源、空氣濾淨 器、風扇等裝置控制,這些裝置可設於環控裝置615内, 而與製程空間6 1 2、6 1 3為流體相通,且受控於系統控制器 2U。故製程封閉區302提供給設置於其中之各無電電鍍室 一個環控之封閉區。A process gas source is included to provide process gas to the process space 6 613. The process gas source is typically supplied to a process space 612, 613 by providing a quantity of inert gas (e.g., nitrogen helium, hydrogen, argon), and/or a mixture thereof' or other gases commonly used in the semi-duct. Thereby, the environmental control device discharges the gases (e.g., oxygen) which may deteriorate the electroless plating process to the processes 612, 613. The environmental control device 615 further includes a particle filtration system, such as a particulate air (HEPA) filtration system. The particle filtration system is a contaminant particle contained in the gas used to move into the process spaces 612, 613. Particle processes are also used to generate a generally linear and identical flow of process gas to the process station. The environmental control device 615 may further include components that control conditions such as humidity, temperature, pressure, and the like of the respective process spaces 612. The system controller 21 1 adjusts the operation of the environmental control device 615 and the discharge port 614, and other components in the cluster tool (refer to FIG. 2) to sense in accordance with the process conditions or the receiving space 6 1 2, 6 1 3 The input value of the detector or detector (not shown) controls the oxygen content of the process space 6 1 2, 6 1 3 . The process block in the process enclosure 312 (the process stations 210, 212 in FIG. 2) further includes at least one row of 6 1 4, so that the process gas supplied by the environment control device 615 is uniformly flowed into each system 2 1 0, 2 1 2 Inside.. Alternatively, a plurality of radially arranged discharge ports (not shown b are disposed around the process stations 210, 212. The environmental control device 615, the discharge port 161 and the system controller 2 1 1 also enable the cluster tool 200 to When a specific process step is performed, the oxygen content of the space 612, 613 is controlled, wherein one process step requires a first amount to obtain the best effect, and the other process step requires a second oxygen content to obtain the best effect, and the first The oxygen content is different from the second oxygen content. Degassing, system 6 15 Space South effect removal system> 613 can be used in 200 processes, each of the venting stations can be combined with the process oxygen content to oxygen 37 1374951 In addition, the system controller 211 can also control other parameters in the process enclosure, such as temperature, humidity, pressure, etc. according to a specific process. Parameters can be heater, cooler, humidifier, dehumidifier, vacuum pump Controlled by gas source, air filter, fan, etc., these devices can be placed in the environmental control device 615, and are in fluid communication with the process space 6 1 2, 6 1 3 and controlled by the system controller 2U. Process enclosure 302 is provided Each of the electroless plating chambers placed therein is enclosed in a closed area.

i)具選擇性之無電電鍍製程i) Selective electroless plating process

具選擇性之無電電鍍製程(如形成覆蓋層或由下而上 填充接觸孔)一般包括預備清洗、無電電鍍、後沉積清洗、 及選擇性清洗基材斜邊。根據一態樣,具選擇性之沉積製 程可包括活化與後活化清洗步驟。具選擇性之無電電鍍製 程可於上述之製程站 210、212的無電電鍍雙製程室中進 行。此外,蒸汽乾燥基材也可做為製程的一部分,其可在 預備清洗前或各預備清洗步驟間、恰在無電電鍍步驟前、 或接續在基材斜面清洗步驟後來進行。蒸汽乾燥方法與裝 置的例子將描述於後。 用來形成覆蓋層至填入銅之内連線上的具選擇性無電 電鍍製程的流程5 0 0將參照第5 A圖說明於下。 步驟501為預備清洗。在選擇性沉積膜層至内連線特 徵結構上後與在進行無電電鍍前,必須進行預備清洗步驟 來確保無金屬殘留在基材結構所暴露的介電表面。參照第 1 A與1 B圖,若金屬殘留物未移除,則覆蓋材料可能無電 電鍍到金屬殘留物上,而導致基材結構 1 〇 0上的元件短 38 1374951Selective electroless plating processes (e.g., forming a cap layer or filling a contact hole from bottom to top) typically include preliminary cleaning, electroless plating, post deposition cleaning, and selective cleaning of the substrate bevel. According to one aspect, the selective deposition process can include an activation and post-activation cleaning step. A selective electroless plating process can be performed in the electroless plating dual process chamber of the process stations 210, 212 described above. In addition, the vapor dried substrate can also be used as part of the process which can be carried out prior to the preliminary cleaning or between the preliminary cleaning steps, just prior to the electroless plating step, or subsequent to the substrate bevel cleaning step. Examples of steam drying methods and apparatus will be described later. The flow of a selective electroless plating process for forming a cap layer to fill a copper interconnect is described below with reference to Figure 5A. Step 501 is preparatory cleaning. A preliminary cleaning step must be performed after selective deposition of the film layer to the interconnect features and prior to electroless plating to ensure that no metal remains on the dielectric surface exposed by the substrate structure. Referring to Figures 1A and 1B, if the metal residue is not removed, the covering material may be electrolessly plated onto the metal residue, resulting in a short component on the substrate structure 1 38 0 38 1374951

路。預備清洗還可移除先前步驟遺留在基材結構之 面上的氧化物與殘留物,其可能會抑制無電電鍍製 備清洗步驟可包括施加介電清洗液至基材結構、 (in-situ)或外部刷箱室中刷洗基材表面、施加兆音 音波能量至基材結構、及施加金屬清洗液至基材結 滌與蒸汽乾燥步驟一般是在這些步驟後進行。 在典型的預備清洗製程中,基材為先送入無電 或活化室内(將配合第7與8圖而說明如下),接著 2 0 °C的介電清洗液到基材表面,然後以洗滌液洗滌 清洗液可包含一或多種酸,例如檸檬酸、氫氟酸、J 酸、和腐蝕抑制劑,以防止基材所暴露的導電表面 較佳的預清洗水溶液可包含檸檬酸,其pH值為約1 3.0。氧化愈嚴重之表面通常需要較長的清洗時間2 低的pH值之預清洗溶液。洗條液一般為去離子水 加介電清洗液與洗滌液時,一可旋轉之基材支撐物 50 rpm至200 rpm的轉速轉動基材,隨後以約500 2000 rpm的轉速旋轉而移除洗蘇液。介電清洗液與 的施加方法可利用一或多個流體分配臂(將參照第 敘述如下)。清洗液的施加次數與濃度可視基材結 與平行内連線101、102、103(參見第1A圖)的材料 一般而言,平行内連線101、102、103和基材結構 介電清洗液蝕刻的厚度約小於5 0埃。 介電溶液化學組成與清洗基材結構的方法可參 專利申請案號1 0/970,839,名稱「鈷合金之無電電 金屬表 程。預 在原位 波或超 構。洗 電鍍室 施加約 。介電 1 /或鹽 腐钱。 .7至約 I /或較 。在施 可以約 rpm至 洗蘇液 8 圖而 構 100 而定。 100被 見美國 鍍製程 (S ) 39 1374951road. The preliminary cleaning may also remove oxides and residues left over from the previous steps on the surface of the substrate structure, which may inhibit electroless plating. The cleaning step may include applying a dielectric cleaning solution to the substrate structure, or (in-situ) or Brushing the surface of the substrate in the outer brush chamber, applying megasonic energy to the substrate structure, and applying a metal cleaning fluid to the substrate to clean and vapor dry the steps are typically performed after these steps. In a typical preparatory cleaning process, the substrate is first fed into an electroless or activation chamber (as explained in conjunction with Figures 7 and 8), followed by a dielectric cleaning solution at 20 °C to the surface of the substrate, followed by a wash solution. The wash cleaning solution may comprise one or more acids, such as citric acid, hydrofluoric acid, J acid, and a corrosion inhibitor, to prevent the exposed surface of the substrate from being exposed. The preferred pre-cleaning aqueous solution may comprise citric acid at a pH of About 1 3.0. The more severely oxidized surface typically requires a longer cleaning time 2 low pH pre-cleaning solution. When the washing liquid is generally deionized water plus a dielectric cleaning liquid and a washing liquid, the substrate is rotated by a rotatable substrate support at a speed of 50 rpm to 200 rpm, and then rotated at a speed of about 500 2000 rpm to remove the washing. Su liquid. The method of applying the dielectric cleaning fluid and the one or more fluid dispensing arms (refer to the following description). The number of times the cleaning solution is applied and the concentration can be seen from the material of the substrate and the parallel interconnects 101, 102, 103 (see Figure 1A). In general, the parallel interconnects 101, 102, 103 and the substrate structure dielectric cleaning solution The thickness of the etch is less than about 50 angstroms. The chemical composition of the dielectric solution and the method of cleaning the structure of the substrate can be referred to Patent Application No. 10/970,839, entitled "Cobalt-free metal-free metal range. Pre-in-situ wave or super-structure. Appropriate application in the plating chamber. Electricity 1 / or salt rot money. .7 to about I / or comparison. The application can be about rpm to the sputum solution 8 and the structure is 100. 100 is seen in the US plating process (S) 39 1374951

(Electroless Cobalt Alloy Deposition Process)」,申請日 2 004年10月21曰' 和美國專利申請案號10/967,644,名 稱「選擇性自行起始且以含钻合金無電覆蓋銅層(Selective Self-Initiating Electroless Capping of Copper With Cobalt-Containing Alloys)」,申請日為 2004 年 10 月 15 日 的申請案,二者均一併附上供作參考。原位刷洗基材的設 備與方法、及適合的金屬清洗液例子揭露於美國專利申請 案號1 1/004,0 14 ’名稱「無電覆蓋層的蒸汽乾燥方法與設 備(Method And Apparatus For Electroless Capping With Vapor Drying)」,申請曰為2004年12月2曰的申請案, 其一併附上供作參考。若非在無電電鍍雙製程室中進行原 位刷洗,則可參照下述第8A圖之用於刷洗基材的刷箱室 實施例。(Electroless Cobalt Alloy Deposition Process), filed on October 21, 004, and US Patent Application No. 10/967,644, entitled "Selective Self-Initiation and Uncovering Copper Layer with Diamond-Containing Alloy (Selective Self-Initiating) Electroless Capping of Copper With Cobalt-Containing Alloys), the application date is October 15, 2004, both of which are attached for reference. An apparatus and method for in-situ scrubbing of a substrate, and a suitable example of a metal cleaning fluid are disclosed in U.S. Patent Application Serial No. 1 1/004, No. 0, entitled "Method & Apparatus For Electroless Capping". With Vapor Drying), the application is filed on December 2, 2004, which is attached for reference. If the in-situ brushing is not performed in the electroless plating double process chamber, reference may be made to the brush chamber embodiment for brushing the substrate in Fig. 8A below.

步驟502為活化。採用活化步驟時,無電電锻製程一 般包括施加活化液至基材結構表面,以沉積活化金屬晶種 層至基材結構上所有暴露出的金屬區域,例如第1A圖之 平行内連線101、102、103的上表面。基材結構所暴露出 的金屬區域在上述清洗步驟後產生的氧化反應將不利於沉 積活化晶種層。因此’較佳為預備清洗基材與形成活化晶 種詹間的等待時間很短(即少於1 5秒),且這兩個步驟較佳 是在同一製程室中依序進行。在預備清洗基材後及在沉積 活化晶種層前’存在於一實質惰性氣體的環境下亦可減少 暴露的金屬表面氧化。由於金屬清洗步驟與活化步驟所使 用的化學劑一般為不相容,故在某些實施例中’這些步驟 40 1374951Step 502 is activation. In the activation step, the electroless forging process generally includes applying an activation liquid to the surface of the substrate structure to deposit the activated metal seed layer to all exposed metal regions on the substrate structure, such as the parallel interconnect 101 of FIG. 1A, The upper surface of 102,103. The oxidation of the metal regions exposed by the substrate structure after the above-described cleaning step will be detrimental to the deposition of the activated seed layer. Therefore, it is preferred that the waiting time between the preliminary cleaning of the substrate and the formation of the activated seed crystal is short (i.e., less than 15 seconds), and the two steps are preferably carried out sequentially in the same process chamber. The exposed metal surface oxidation can also be reduced in the presence of a substantially inert gas after the substrate is cleaned and before the deposition of the activated seed layer. Since the metal cleaning step is generally incompatible with the chemical used in the activation step, in some embodiments, these steps 40 1374951

是在二或更多不同的製程站中進行,如環控製程封閉區 302的製程站210、212(第2圖)。如此,一無電電鐘雙製 程室允許預備清洗與活化步驟在個別的製程站中處理基 材’並且只產生極少的氧化現象。製程站21〇、212進行之 製程間的等待時間很短’乃基材在製裎站21〇、212間的移 動只需幾秒鐘。製程封閉區302的低含氧環境更可減少基 材結構在製程站21〇預備清洗後與在製程站212沉積活化 晶種層前的不當氧化。須注意的是,若無電電锻製程各步 驟(即介電清洗、金屬清洗、活化、後活化清洗、沉積、及 後!儿積清洗)所使用的化學劑沒有相容性問題,則整個無電 電鍍製程可在同一製程站中進行。因此,製程站210、212 可做為二個獨立的無電電鍍製程站,且基材不需在此二製 程站間移動。It is carried out in two or more different process stations, such as process stations 210, 212 of the loop control zone 302 (Fig. 2). Thus, a dual-electroless clockless process allows the preparatory cleaning and activation steps to process the substrate in individual process stations' and produces only minimal oxidation. The waiting time between the processes performed by the process stations 21A, 212 is very short, and the movement of the substrate between the manufacturing stations 21, 212 is only a few seconds. The low oxygen environment of the process enclosure 302 further reduces the improper oxidation of the substrate structure after the process station 21 is ready for cleaning and prior to deposition of the activated seed layer at the process station 212. It should be noted that if there is no compatibility problem with the chemical used in each step of the electro-electric forging process (ie, dielectric cleaning, metal cleaning, activation, post-activation cleaning, deposition, and post-cleaning), then there is no electricity. The electroplating process can be carried out in the same process station. Therefore, the process stations 210, 212 can be used as two independent electroless plating process stations, and the substrate does not need to be moved between the two process stations.

在典型的活化製程中,基材恰輸送到上述之無電電鍍 室。基材轉速約為50-200 rpm,而由一或多個流體分配臂 (配合參照第8圖)施加之活化液溫度為約2 〇 。形成適當 之活化金屬晶種層所需的時間依活化液濃度與組成而定, 通常為約3 0秒至1分鐘。活化製程方法與所使用之化學劑 可參見先前提及之美國專利申請案號1 0/9 70,839,名稱「鈷 合金之無電電鍵製程(Electroless Cobalt Alloy Deposition Process)」、和美國專利申請案號10/967,644,名稱為「選 擇性自行起始且以含姑合金無電覆蓋銅層(Selective Self-Initiating Electroless Capping of Copper With Cobalt-Containing Alloys)」的申請案。In a typical activation process, the substrate is delivered to the electroless plating chamber described above. The substrate speed is about 50-200 rpm, and the temperature of the activation solution applied by one or more fluid dispensing arms (with reference to Figure 8) is about 2 。. The time required to form a suitable activated metal seed layer depends on the concentration and composition of the active solution, typically from about 30 seconds to about 1 minute. The activation process and the chemical used can be found in the previously mentioned U.S. Patent Application Serial No. 10/9,70,839, entitled "Electroless Cobalt Alloy Deposition Process", and U.S. Patent Application Serial No. 10/967,644, entitled "Selective Self-Initiating Electroless Capping of Copper With Cobalt-Containing Alloys".

(S 41 1374951(S 41 1374951

步驟5 Ο 3為後活化清洗。後活化清洗包括施加後 清洗液至基材結構、選擇性刷洗基材結構、及/或施加 波或兆音波能量至基材結構。後活化清洗液一般包含 多種酸,此步驟只在使用相容化學劑的製程站210或 進行。如上所述,若各製程站間無化學相容性問題, 個無電電鍍製程可在製程站210或212進行。 對未包括刷洗基材結構或施加超音波或兆音波能 後活化清洗製程而言,基材一般是藉由可旋轉之基材 物以約5 0 - 2 0 0 r p m的轉速轉動,而後活化清洗液是利 或多個流體分配臂施加到基材表面且隨後被洗掉。後 清洗液的供應時間依活化液與後活化清洗液的濃度與 而定,通常為約3 0秒至2分鐘。基材刷洗及/或超音 兆音波清洗可在專用清洗室中進行,如第8 A圖所述 箱室。後活化清洗可移除多餘的活化液,故當沉積覆 時,活化金屬晶種層主要留在暴露出的内連線特徵 上。若活化液殘留在基材結構的介電區域會引起不必 無電電鍍反應》活化與後活化清洗製程間的等待時間 也有助於無電電鍍製程’,且'這兩個製程一般是在同一 站中依序進行。 步驟504為無電電鍍。藉由施加無電電鍍液至基 構可沉積導電層。若有採用活化步驟,則沉積層是形 活化金屬晶種層上。此步驟可在製程封閉區3 02的製 210及/或製程站212進行。可沉積的金屬包括銅、鈷 鎳等。因無電電鍍製程深受溫度影響,故控制基材與 活化 超音 一或 2 12 則整 量的 支撐 用一 活化 組成 波或 之刷 蓋層 結構 要的 很短 製程 材結 成在 程站 、及 沉積 C S ) 42 1374951 液溫度對製程而言相當重要,而無電$ 电鐘製程站之實施例 的控溫裝置與方法將配合第7及8圖_ Μ砰述於後。用以沉積 活化金屬晶種層、完成後活化清洗步驟、和沈積一無電層 的化學劑、製程與方法可參見先前提及之美國專利申請案 號1 0/9 70,839 ’名稱「钻合金之無電電鍍製程(Electr〇丨ess Cobalt Alloy Deposition Process)」、和美國專利申請案號Step 5 Ο 3 for post-activation cleaning. Post-activation cleaning includes applying a post-cleaning solution to the substrate structure, selectively scrubbing the substrate structure, and/or applying wave or megasonic energy to the substrate structure. The post-activation cleaning solution typically contains a plurality of acids, and this step is only carried out at a process station 210 using a compatible chemical. As noted above, if there is no chemical compatibility problem between the various process stations, an electroless plating process can be performed at process station 210 or 212. For activated cleaning processes that do not include brushing the substrate structure or applying ultrasonic or megasonic energy, the substrate is typically rotated by a rotatable substrate at a speed of about 50-200 rpm, followed by activation cleaning. The liquid is applied to the surface of the substrate by a plurality of fluid dispensing arms and subsequently washed away. The supply time of the post-cleaning solution depends on the concentration of the activation liquid and the post-activation cleaning liquid, and is usually about 30 seconds to 2 minutes. Substrate scrubbing and/or ultrasonic megasonic cleaning can be performed in a dedicated cleaning chamber, as described in Figure 8A. Post-activation cleaning removes excess activation fluid, so when deposited, the activated metal seed layer remains primarily on the exposed interconnect features. If the activation solution remains in the dielectric region of the substrate structure, it will cause unnecessary electroless plating reaction. The waiting time between the activation and post-activation cleaning processes also contributes to the electroless plating process, and the two processes are generally in the same station. The order is carried out. Step 504 is electroless plating. A conductive layer can be deposited by applying an electroless plating solution to the substrate. If an activation step is employed, the deposited layer is on the activated metal seed layer. This step can be performed at process 210 and/or process station 212 of process enclosure 302. Metals that can be deposited include copper, cobalt, and the like. Since the electroless plating process is deeply affected by the temperature, the control substrate and the activated supersonic one or 2 12 integral support are formed into a station, and deposited by a short process material of an activated composition wave or a brush cap layer structure. CS ) 42 1374951 The liquid temperature is important for the process, and the temperature control device and method of the embodiment without the electric clock process station will be described in conjunction with Figures 7 and 8 _. For the deposition of an activated metal seed layer, a post-activation cleaning step, and a chemical layer, process and method for depositing an electroless layer, see the previously mentioned U.S. Patent Application Serial No. 10/9,70,839, entitled "Drilling Alloy No Power" Electros〇丨 Cobalt Alloy Deposition Process, and US Patent Application No.

10/967,644 ’名稱「選擇性自行起始且以含鈷合金無電覆 蓋銅層(Selective Self-Initiating Electroless Capping of10/967,644 ‘Selective Self-Initiating Electroless Capping of Selective Self-Initiating Electroless Capping of

Copper With Cob alt -Containing Alloys)」,申請日為 2004 年10月21曰的申請案。Copper With Cob alt -Containing Alloys), the application date is October 21, 2004.

步驟505為後沉積清洗。如上述,移除無電電鍍製程 累積在介電基材結構上的導電材料,對形成覆蓋層而言是 很重要的。後沉積清洗製程可包括在無電電鍍製程後,施 加後沉積清洗液至基材結構上。後沉積清洗液可利用一成 多個流體輸送臂供應至基材表面約1-60秒,而基材轉速約 為 5 0-5 00 rpm。後沉積清洗液可為弱酸性清洗液,例如 ElectraCleanTM溶液(其可從美國加州聖克扭拉的應用材料 公司(Applied Materials, Inc.)取得)、或 CX-100 溶液(其对" 從美國維吉尼亞州裡奇蒙的和光化學公司(Wak〇 Chemicals USA,Inc.)取得)。或者,後沉積清洗液可具弱 驗性,即p Η值為介於約7.5至9.5之間。另外,使用類似 刷子的材料來洗刷基材表面及/或施加音波能量至基材姑 構亦可屬於後沉積清洗製程的一部分。基材刷洗與音波清 洗均可原位(in-situ)進行,但一般是在專門的後沉積清洗 43 1374951 室(如刷箱室)中進行。Step 505 is a post deposition cleaning. As described above, removing the electroless plating process The conductive material accumulated on the dielectric substrate structure is important for forming the cap layer. The post-deposition cleaning process can include depositing a cleaning solution onto the substrate structure after the electroless plating process. The post-deposition cleaning fluid can be supplied to the surface of the substrate by a plurality of fluid delivery arms for about 1-60 seconds, while the substrate rotation speed is about 50-500 rpm. The post-deposition cleaning solution can be a weakly acidic cleaning solution, such as an ElectraCleanTM solution (available from Applied Materials, Inc., California), or a CX-100 solution (which is for " from the U.S. Wak〇 Chemicals USA, Inc., obtained from Richmond. Alternatively, the post-deposition cleaning solution may be weak, i.e., the p-thickness is between about 7.5 and 9.5. Alternatively, the use of a brush-like material to scrub the surface of the substrate and/or the application of sonic energy to the substrate may also be part of a post-deposition cleaning process. Both substrate scrubbing and sonic cleaning can be performed in-situ, but typically in a special post-deposition cleaning chamber 43 1374951 (such as a brush box).

在典型的後沉積清洗製程中,基材是藉由可旋轉之基 材支#物以約50-200 rpm的轉速於沉積室内旋轉,而無電 電鍍液是利用一或多個流體分配臂提供到表面約1秒至6 0 秒。接著,原位沖洗基材,即洗滌液施加到基材表面時, 基材的轉速為約50-200 rpm。其次,基材以約500 rpm至 約2 000 rpm的轉速旋轉約5秒至60秒而旋乾。基材隨後 移出無電電鍍室,並傳送到一刷箱室,其整合至本發明之 濕式製程平台上且位於無電電鍍雙製程室外。在刷箱室 中,基材表面的刷洗為使用滾筒型刷洗裝置。刷箱室之一 實施例將配合第 8A圖描述於下。此製程所用之溶液、原 位刷洗基材的設備與方法、及適合之金屬清洗液的例子可 參見前述提及之美國專利申請案號1 1/0 0 4,014,名稱為「無 電覆蓋層的蒸汽乾燥方法與設備(Method And Apparatus For Electroless Capping With Vapor Drying)」的申請案。In a typical post-deposition cleaning process, the substrate is rotated in the deposition chamber at about 50-200 rpm by a rotatable substrate, while the electroless plating solution is supplied to the chamber using one or more fluid dispensing arms. The surface is about 1 second to 60 seconds. Next, the substrate is rinsed in situ, i.e., when the wash liquid is applied to the surface of the substrate, the rotational speed of the substrate is about 50-200 rpm. Next, the substrate is spun dry by rotating at a speed of from about 500 rpm to about 2 000 rpm for about 5 seconds to 60 seconds. The substrate is then removed from the electroless plating chamber and transferred to a brush chamber which is integrated into the wet process platform of the present invention and is located outside of the electroless plating dual process chamber. In the brush box chamber, the surface of the substrate is brushed using a roller type brushing device. One of the brush box embodiments will be described below in conjunction with Figure 8A. Examples of the solution used in the process, the apparatus and method for in-situ scrubbing of the substrate, and the suitable metal cleaning solution can be found in the aforementioned U.S. Patent Application Serial No. 1 1/0 0 4,014, entitled "Steam-free Coating Steam Application of Apparatus and Apparatus For Electroless Capping With Vapor Drying.

步驟506為斜面清洗。沉積於基材上邊緣附近、基材 斜面、與部分沉積於基材背面的導電層可利用上述第.3圖 之IBC室移除或於原位移除之。此步驟亦可移除基材背面 多餘的殘留物與沉積物。用在IBC室進行斜面清洗製程的 方法與設備可參照以上第3圖的說明。 或者,可參照第5 C圖之流程5 2 0,其說明具選擇性之 無電電鍍製程順序,以將鎳或鈷鎢合金由下而上填入接觸 扎(如第1C圖的高深寬比特徵結構111)中。第5C圖的各 步驟將分別描述於下。 44 1374951Step 506 is a bevel cleaning. The conductive layer deposited near the upper edge of the substrate, the bevel of the substrate, and partially deposited on the back side of the substrate can be removed using the IBC chamber of Figure 3 above or removed in situ. This step also removes excess residue and deposits from the back side of the substrate. The method and apparatus for performing the bevel cleaning process in the IBC room can be referred to the description in Figure 3 above. Alternatively, reference may be made to Scheme 5 2 0 of Figure 5C, which illustrates a selective electroless plating process sequence for filling a nickel or cobalt tungsten alloy from bottom to top (as in the high aspect ratio feature of Figure 1C). In structure 111). The steps of Figure 5C will be described separately below. 44 1374951

步驟521為預處理。在進行無電電鍍前須先移 表面上的原生氧化物,使高深寬比特徵結構得到可 接觸阻抗。本發明實施例可採用下述第1 9圖之電漿 蝕刻室、或下述第 2 0圖之超臨界清洗室,其位於 235或235a,用以移除原生氧化物。或者,電漿預 程,如電榮浸潰(plasma-soak)製程,可在具電漿氣 能力的製程室中進行,其中接觸表面於製程站235 之ALD或CVD室暴露於還原電漿或還原蒸汽。本 電漿預處理製程與蒸汽預處理製程的實施例將配4 與14A圖描述於後,其也可參考前述美國專利申請 60/663,493 [9916L]的申請案。在另一實施例中,基 經濕式清洗處理而移除其上的原生氧化物。濕式清 可與後續進行之無電電鍍製程在相同之製程室中而 行。 步驟5 22為形成含釕(Ru)之膜層。含釕膜層較 化釕,其是藉由將基材暴露在四氧化釕蒸汽中而選 積至接觸表面上。含釕膜層可利用氣相沉積製程(如 生製程)或液相沉積製程(如水溶液或懸浮液)而沉 材上。前者可在設於製程站235或235a的ALD或 進行,且較佳為在與步驟521相同的製程室中進行 (即液相沉積製程)可在與步驟5 2 1所述之水清洗法 製程室中進行。四氧化釕為強氧化劑,故會與暴露 氧化層(如氧化鎢與其他接觸層材料)快速反應,而 形成與氧化釕一致的催化性活化層於接觸孔底部。 除接觸 接受的 加強乾 製程站 處理製 相沉積 或 23 5a 發明之 h第 14 案號為 材表面 洗製程 原位進 佳為氧 擇性沉 原位發 積至基 CVD室 。後者 相同的 的金屬 選擇性 形成含 45 1374951 釕膜層於基材上的方法將配合第i4A圖詳述於後,並可參 考前述美國專利申請案號為60/663,493 [9916L]的申請案。Step 521 is preprocessing. The primary oxide on the surface must be removed prior to electroless plating to provide a high aspect ratio feature with contact resistance. In the embodiment of the present invention, the plasma etching chamber of the following Figure 9 or the supercritical cleaning chamber of Figure 0 below may be employed, which is located at 235 or 235a for removing native oxide. Alternatively, a plasma pre-process, such as a plasma-soak process, can be performed in a plasma-capable process chamber wherein the contact surface is exposed to the reduced plasma in the ALD or CVD chamber of the process station 235 or Restore steam. Embodiments of the plasma pretreatment process and the steam pretreatment process are described in conjunction with Figures 4 and 14A, which are also described in the aforementioned U.S. Patent Application Serial No. 60/663,493, filed on Jan. In another embodiment, the native oxide thereon is removed by a wet cleaning process. The wet cleaning can be carried out in the same process chamber as the subsequent electroless plating process. Step 5 22 is to form a film layer containing ruthenium (Ru). The ruthenium containing layer is ruthenium which is selected onto the contact surface by exposing the substrate to ruthenium tetroxide vapor. The ruthenium-containing film layer can be deposited on a vapor deposition process (e.g., a green process) or a liquid deposition process (e.g., an aqueous solution or suspension). The former may be performed in ALD or at process station 235 or 235a, and preferably in the same process chamber as step 521 (ie, a liquid phase deposition process) may be performed in the water cleaning process described in step 521. In the room. As a strong oxidant, ruthenium tetroxide reacts rapidly with exposed oxide layers (such as tungsten oxide and other contact layer materials) to form a catalytically active layer consistent with yttrium oxide at the bottom of the contact hole. In addition to the contact with the enhanced dry process station to process the phase deposition or 23 5a invention h No. 14 case material surface cleaning process in-situ good oxygen selective deposition in situ to the base CVD chamber. The latter metal selective formation of a 45 1374951 ruthenium film on a substrate will be described in conjunction with Figure i4A, and reference is made to the aforementioned U.S. Patent Application Serial No. 60/663,493 [9916 L].

步驟523為還原含釕之膜層。含釕膜層較佳為氧化 釕,其暴露於還原劑後會形成催化性金屬釕層於接觸孔底 面。氧化釕層可暴露在還原電漿(如含氫之電漿)中,藉以 將接觸孔底面的含釕膜層轉變成金屬釕層。此製程可在設 於製程站235或235a的ALD或CVD室進行,且較佳為在 與步驟522相同的製程室中進行。或者,氧化訂層可藉由 氣相沉積製程來移除氧後,而於接觸孔底面形成金屬釕 層;較佳地’其是在與步驟522相同的氣相沉積室中進行。 電漿預處理製程與蒸汽預處理製程的實施例將配合第i 4 圖描述於後’其也可參考前述美國專利申請案號為 60/663,493 [9916L]的申請案。Step 523 is to reduce the film layer containing ruthenium. The ruthenium-containing film layer is preferably ruthenium oxide which, upon exposure to a reducing agent, forms a catalytic metal ruthenium layer on the bottom surface of the contact hole. The ruthenium oxide layer may be exposed to a reducing plasma (e.g., a hydrogen-containing plasma) to convert the ruthenium-containing layer on the bottom surface of the contact hole into a metal ruthenium layer. This process can be carried out in an ALD or CVD chamber located at process station 235 or 235a, and preferably in the same process chamber as step 522. Alternatively, the oxidized layer may be formed by a vapor deposition process to form a metal ruthenium layer on the bottom surface of the contact hole; preferably, it is carried out in the same vapor deposition chamber as in step 522. An example of a plasma pretreatment process and a steam pretreatment process will be described in conjunction with FIG. 4, which is also described in the aforementioned U.S. Patent Application Serial No. 60/663,493 [9916 L].

步驟524為無電電鍍。此步驟類似上述之無電電鐘步 驟504’除了其選用鎳或鈷鎢合金做為由下而上填充高深 寬比接觸孔的較佳材料。由下而上填充接觸孔的化學劑、 製程與方法可參見前述美國專利申請案號為6〇/663,493 [9916L]的申請案。 步驟5 2 5為後沉積清洗。此步驟類似上述步驟5 〇 5。 或者在具刷箱室的實施例中,可進行後沉積清洗來處理基 材’其中過度生長的無電鈷與鎳係藉由最佳化刷箱製程移 除。若無電電鍍鈷及/或鎳為用於填充接觸孔,則此最終清 洗步驟可免於額外進行化學機械研磨(CMP)製程。 ii)具非選擇性之無電電鍍製程Step 524 is electroless plating. This step is similar to the above-described electroless clock step 504' except that it uses nickel or a cobalt-tungsten alloy as the preferred material for filling the high aspect ratio contact holes from the bottom up. The chemical agents, processes and methods for filling the contact holes from the bottom up can be found in the aforementioned U.S. Patent Application Serial No. 6/663,493 [9916 L]. Step 5 2 5 is post-deposition cleaning. This step is similar to step 5 上述 5 above. Alternatively, in an embodiment with a brush box chamber, a post-deposition cleaning can be performed to treat the substrate. The over-grown electroless cobalt and nickel are removed by an optimized brush box process. If electroless plating of cobalt and/or nickel is used to fill the contact holes, this final cleaning step is exempt from additional chemical mechanical polishing (CMP) processes. Ii) non-selective electroless plating process

C S 46 1374951 非選擇性無電電鍍金屬層至基材上通常包括於基材上 形成催化層、及無電電鍍金屬層至催化層上。非選擇性無 電電鍍流程5 1 0為參照第5 B圖說明於下。C S 46 1374951 A non-selective electroless plating of a metal layer onto a substrate typically comprises forming a catalytic layer on the substrate and electrolessly plating the metal layer onto the catalytic layer. The non-selective electroless plating process 5 1 0 is described below with reference to Figure 5B.

步驟511為形成還原層。在一些實施例中,還原層可 在進行非選擇性無電電鍍之前,先形成於基材上。還原層 可藉由電漿沉積製程改質基材表面而形成至基材上。在基 材進行濕式製程之前,可在ALD室或CVD室中形成還原 層。形成還原層的方法與設備之一實施例將配合第1F-1K 與 14 圖描述於後,其並可參見美國專利申請案號 6 0/64 8,004 [9 9 06 L],名稱「銅金屬化製程之沉積過渡催化 層至阻障層上的方法(Deposition of an Intermediate Catalytic Layer on a Barrier Layer for Copper Metallization)」,發明人 Timothy W. Weidman,申請曰為 2 005年1月27日的申請案,其一併附上供作參考。Step 511 is to form a reducing layer. In some embodiments, the reduction layer can be formed on the substrate prior to non-selective electroless plating. The reducing layer can be formed onto the substrate by a plasma deposition process to modify the surface of the substrate. A reducing layer can be formed in the ALD chamber or the CVD chamber before the substrate is subjected to a wet process. An embodiment of a method and apparatus for forming a reduced layer will be described in conjunction with Figures 1F-1K and 14 and can be found in U.S. Patent Application Serial No. 60/64, 004 [9,06, L], entitled "Copper Metallization". Deposition of an Intermediate Catalytic Layer on a Barrier Layer for Copper Metallization, inventor Timothy W. Weidman, application for January 27, 2005 It is attached for reference.

步驟 512為形成催化層。催化層可藉由不同方法形 成。在一實施例中,催化層是在ALD室或CVD室中由步 驟511的還原層所形成。電漿加強ALD室與其製程的例子 將配合第1 Η與1 4圖描述於後。在另一實施例中,催化層 可利用液相沉積製程而由步驟5 1 1的還原層所形成。在此 實施例中,催化層可在無電電鍍室中形成至基材上,例如 無電電鍍雙製程室的其中一個製程站(如製程站 210)。在 又一實施例中,催化層可在無電電鍍雙製程室的其中一個 製程站(如製程站 210)中利用液相沉積製程而直接形成在 基材上。此製程所用的金屬離子來源可為鎮、銘、把、釘、 47 1374951 銶、及/或銅。此製程所用的金屬來源可為硫酸鹽、氣化物 或硝酸鹽。無電電鍍製程接著可在無電電鍍雙製程室的第 二製程站(如製程站212)完成。參照下述第14圖之再一實 施例,含釕之催化層可在無還原層的情況下,直接形成在 基材上。Step 512 is to form a catalytic layer. The catalytic layer can be formed by different methods. In one embodiment, the catalytic layer is formed from the reduced layer of step 511 in an ALD chamber or a CVD chamber. An example of a plasma-enhanced ALD chamber and its process will be described later in conjunction with Figures 1 and 14. In another embodiment, the catalytic layer can be formed from the reduced layer of step 51 using a liquid phase deposition process. In this embodiment, the catalytic layer can be formed onto the substrate in an electroless plating chamber, such as one of the process stations (e.g., process station 210) of the electroless plating dual process chamber. In yet another embodiment, the catalytic layer can be formed directly on the substrate using a liquid deposition process in one of the electroless plating dual process chambers (e.g., process station 210). The source of metal ions used in this process can be town, inscription, handle, nail, 47 1374951 銶, and/or copper. The metal source used in this process can be a sulfate, a vapor or a nitrate. The electroless plating process can then be completed in a second process station (e.g., process station 212) in an electroless plating dual process chamber. Referring to still another embodiment of Figure 14 below, the rhodium-containing catalytic layer can be formed directly on the substrate without a reducing layer.

步驟513為無電電鍍。此步驟類似上述無電電鍍步驟 504。導電層的沉積方法可包括施加無電電鍍液至基材結 構。沉積現象是發生在催化晶種層上。此步驟可在製程封 閉區302的製程站210及/或製程站212進行。沉積無電層 的化學劑、製程與方法可參見先前提及之美國專利申請案 號1 0/970,839,名稱「鈷合金之無電電鍍製程(Electroless Cobalt Alloy Deposition Process)」、和美國專利申請案號 1 0/967,644 >名稱「選擇性自行起始且以含鈷合金無電電 錢覆蓋銅層(Selective Self-Initiating Electroless Capping of Copper With Cobalt-Containing Alloys)」的申請案。Step 513 is electroless plating. This step is similar to the electroless plating step 504 described above. The method of depositing the conductive layer may include applying an electroless plating solution to the substrate structure. The deposition phenomenon occurs on the catalytic seed layer. This step can be performed at the process station 210 and/or the process station 212 of the process enclosure 302. The chemical agent, process and method for depositing an electroless layer can be found in the aforementioned U.S. Patent Application Serial No. 10/970,839, entitled "Electroless Cobalt Alloy Deposition Process", and U.S. Patent Application Serial No. 0/967,644 > The application "Selective Self-Initiating Electroless Capping of Copper With Cobalt-Containing Alloys".

步驟 5 06為斜面清洗。其同於上述第 5A圖之步驟 5 06。另外,蒸汽乾燥基材步驟亦可接續在基材斜面清洗步 驟後而當作此流程的一部分。適當的蒸汽乾燥製程與設備 將配合第9圖描述於後。 iii)無電電鍍室 第 7圖為無電電鍍雙製程室之一實施例的立體示意 圖,且為清楚表示,其移開了製程封閉區302。操作時, 沉積站7 0 0可用來進行介電清洗製程、金屬清洗製程、無 電活化製程、催化層沉積製程、無電電鍍製程、後清洗製 48 1374951Step 5 06 is a bevel cleaning. It is the same as step 5 06 of Figure 5A above. Alternatively, the step of vapor drying the substrate may be continued as part of the process after the substrate bevel cleaning step. Appropriate steam drying processes and equipment will be described later in conjunction with Figure 9. Iii) Electroless Plating Chamber Figure 7 is a perspective view of one embodiment of an electroless plating dual process chamber, and for clarity, it removes the process enclosure 302. During operation, the deposition station 700 can be used for dielectric cleaning processes, metal cleaning processes, electroless activation processes, catalytic layer deposition processes, electroless plating processes, and post-cleaning systems.

程、後沉積斜面清洗製程、及/或其他用於無電製程的步 驟。沉積站7〇〇_般代表第2圖與第6圖之製程室的實施 例。無電製程站7〇2、704分別對應無電製程站210'212。 沉積站700中的製程站702、704可分別為一無電活化站及 一無電電鍍站。或者,製程站702、7 04可各自進行無電電 鍍製程的所有步驟。内部基材傳輸裝置 605設於製程站 702、704間,以傳送基材於各製程站702、704之間。每 一製程站702、704包括一可旋轉之基材支撐裝置714,當 一基材701於各站中處理時,將其以面朝上之方向支撐, 即基材701之處理面為背對基材支撐裝置714。在其他實 施例中’製程室也可採用面朝下的配置結構,此並不脫離 本發明之基本精神與範圍。在第7圖中,製程站702之基 材支樓裝置714上並無繪示基犲701,而製程站704之基 材支撐裝置714上卻繪有基材701,此為分別表示各站中 裝載與卸空的狀態。一般而言’各製程站702' 704之硬體 設計是相同的,但本發明之實施例不限於此。例如,電鍍 站(即製程站704)可結合IBC室的功能(將描述於後),但活 化站(即製程站702)可以不具有後電鍍斜面清洗的能力。 製程站702、704 —般配有基材支擇裝置714,其包括 基材支撐指狀物件712與升降裝置713(參見第8圖),以 傳送基材至製程站,並將基材準確置於製程站的中心位 置。製程站702、704分別包括流體分配臂706、708,樞 並於製程進行時,其係可於基材701上方樞轉,而分配處 理流體至基材701前端或製造面上。流體分配臂7〇6、708 49 亦I設置而準確垂直於基材。分配臂7 Ο 6、7 Ο 8之流體分配 處的垂直位置與配置角度可依需求而於處理基材時進行調 祭。分配臂706 ' 708可包括一個以上的流體導管,如此分 配臂706、708可分配多種處理流體至基材7〇1上。在一實 施例中,分配臂7 06及/或分配臂7 0 8包括流體導管與流體 分散喷嘴,以於無電電鍍製程後,進行原位斜面清洗製程 及/或最終洗滌製程。 第8圖為一組製程站702、704之實施例的剖面圖。第 8圖亦顯示,用來定義製程空間612、613的製程封閉區302 是由中央内壁608所隔開(如同上述第6圖)。因基材溫度 是無電製程的關鍵要素之一,故每一製程站702、704設有 一基材處理平台組件703,當製程進行時,其形成一實質 上水平且鄰近基材下方的上表面(第8圖)。平台組件703 上表面具有一擴散構件703Α,用以均勻地分散流體至基材 背面。 在典型的無電電鍍製程中,基材701(參見第7圖)為 送到製程站7 0 4内且由指狀物件7丨2固定。指狀物件7丄2 將基材701垂直放置於平台組件703的正上方。由於此製 程易受溫度影響,因此基材與分散至基材表面的流體均宜 加以控溫。可利用連接平台組件703的導管709將控溫流 體填入流體擴散構件與基材70 1間的區域來控制基材溫 度。控溫流體接觸基材7〇1背面而將熱轉移,進而在無電 電鍍製程中加熱基材701,並維持基材溫度,且較佳溫度 為約70 °C至約8 5 °C。指狀物件7 1 2接著以適當轉速(如 50 1374951Process, post-deposition bevel cleaning process, and/or other steps for electroless process. The deposition station 7 _ generally represents an embodiment of the process chambers of Figs. 2 and 6. The electroless process stations 7〇2, 704 correspond to the electroless process stations 210'212, respectively. The process stations 702, 704 in the deposition station 700 can each be an electroless activation station and an electroless plating station. Alternatively, process stations 702, 74 can each perform all of the steps of the electroless plating process. An internal substrate transport device 605 is disposed between the process stations 702, 704 for transporting substrates between the various process stations 702, 704. Each of the process stations 702, 704 includes a rotatable substrate support device 714. When a substrate 701 is processed in each station, it is supported in a face-up direction, that is, the processing surface of the substrate 701 is back-facing. Substrate support device 714. In other embodiments, the process chamber may also be configured with a face down configuration without departing from the spirit and scope of the invention. In the seventh embodiment, the substrate 701 is not shown on the substrate supporting device 714 of the processing station 702, and the substrate supporting device 714 of the processing station 704 is painted with a substrate 701, which is respectively indicated in each station. Loading and unloading status. In general, the hardware design of the respective process stations 702' 704 is the same, but embodiments of the present invention are not limited thereto. For example, the electroplating station (i.e., process station 704) can incorporate the functionality of the IBC chamber (described later), but the activation station (i.e., process station 702) may not have the ability to post-plate bevel cleaning. The process stations 702, 704 are generally provided with a substrate-receiving device 714 comprising a substrate support finger member 712 and a lifting device 713 (see Figure 8) for transporting the substrate to the process station and accurately placing the substrate The central location of the process station. The process stations 702, 704 include fluid dispensing arms 706, 708, respectively, that pivotally rotate over the substrate 701 as the process progresses, dispensing processing fluid to the front end or substrate of the substrate 701. The fluid dispensing arms 7〇6, 70849 are also disposed to be exactly perpendicular to the substrate. The vertical position and arrangement angle of the fluid distribution of the distribution arms 7 Ο 6, 7 Ο 8 can be adjusted as needed when handling the substrate. The dispensing arms 706 ' 708 can include more than one fluid conduit such that the dispensing arms 706, 708 can dispense a plurality of processing fluids onto the substrate 7〇1. In one embodiment, the dispensing arm 76 and/or the dispensing arm 708 includes a fluid conduit and a fluid dispensing nozzle for performing an in situ ramp cleaning process and/or a final cleaning process after the electroless plating process. Figure 8 is a cross-sectional view of an embodiment of a set of process stations 702, 704. Figure 8 also shows that the process enclosure 302 used to define the process spaces 612, 613 is separated by a central inner wall 608 (as in Figure 6 above). Since the substrate temperature is one of the key elements of the electroless process, each of the process stations 702, 704 is provided with a substrate processing platform assembly 703 which, when the process is performed, forms a substantially horizontal and adjacent upper surface beneath the substrate ( Figure 8). The upper surface of the platform assembly 703 has a diffusing member 703 用以 for uniformly dispersing the fluid to the back of the substrate. In a typical electroless plating process, substrate 701 (see Figure 7) is sent to process station 74 and is held by finger member 7丨2. The finger member 7丄2 places the substrate 701 vertically above the platform assembly 703. Since the process is susceptible to temperature, the substrate and the fluid dispersed to the surface of the substrate are preferably temperature controlled. The temperature of the substrate can be controlled by filling a temperature-controlled fluid into the region between the fluid diffusion member and the substrate 70 1 using a conduit 709 connecting the platform assembly 703. The temperature-control fluid contacts the back side of the substrate 7〇1 to transfer heat, thereby heating the substrate 701 in an electroless plating process, and maintaining the substrate temperature, and preferably at a temperature of from about 70 ° C to about 85 ° C. Finger member 7 1 2 then at the appropriate speed (eg 50 1374951

30-100 rpm)轉動基材701,以均勻分散其上的分配流體, 流體分配臂7 0 8則在基材7 0 1上方轉動,並分配約1 5 0毫 升的無電電鏟液至基材701的前端或製造面約5秒至20 秒。施加無電電鍍液至基材表面後,當開始電鍍基材時, 基材轉速會降到低於1 〇 rp m,並旋轉約3 0秒至約7 0秒。 無電電鍍液電鍍至基材的時間與基材和無電電鍍液的溫 度、及無電電鍍液的濃度和組成息息相關。無電電鍍液的 溫度可為約80°C至約95°C,且包含調節溶液(conditioning s ο 1 u t i ο η )、含始溶液與缓衝還原溶液,其混合在去離子水 的體積比為2 : 1 : 1 : 6。以覆蓋層為例,沉積速度通常介 於約100埃/分鐘至約200埃/分鐘之間。基材隨後以SRD 製程(如上述第4圖之SRD製程)來洗滌與乾燥。30-100 rpm) to rotate the substrate 701 to evenly disperse the dispensing fluid thereon, the fluid dispensing arm 708 rotates over the substrate 706 and dispenses about 150 ml of electroless shovel to the substrate. The front end or manufacturing side of the 701 is about 5 seconds to 20 seconds. After applying the electroless plating solution to the surface of the substrate, when the substrate is started to be plated, the substrate rotation speed is lowered to less than 1 〇 rp m and rotated for about 30 seconds to about 70 seconds. The time during which the electroless plating solution is electroplated to the substrate is closely related to the temperature of the substrate and the electroless plating solution, and the concentration and composition of the electroless plating solution. The electroless plating solution may have a temperature of about 80 ° C to about 95 ° C and includes a conditioning solution (conditioning s ο 1 uti ο η ), a solution containing a start solution and a buffer reduction solution, and the volume ratio of the mixed solution to the deionized water is 2 : 1 : 1 : 6. In the case of a cover layer, the deposition rate is usually between about 100 angstroms/minute and about 200 angstroms/minute. The substrate is then washed and dried by an SRD process (such as the SRD process of Figure 4 above).

用於本發明實施例之無電電鍍雙製程室的例子說明可 參見美國專利申請案號10/996,342,名稱「無電電鍍金屬 至半導體基材上的方法與設備(Method And Apparatus For Electroless Deposition of Metals Onto Semiconductor Substrates)」,申請曰為2004年11月22曰的申請案,其 一併附上供作參考。 e)沉積阻障層、還原層與催化層的製程室 ί)製程室概述 為降低電子漂移性與增進後續金屬層的黏著性,本發 明實施例包括在濕式製程平台2 1 3進行濕式製程前先預處 理基材,例如沉積阻障層、還原層、及/或催化層。在一實 施例中,這些預處理步驟可在單一 ALD室、CVD室或氣An example of an electroless plating dual process chamber for use in an embodiment of the present invention is described in U.S. Patent Application Serial No. 10/996,342, entitled "Method and Apparatus For Electroless Deposition of Metals Onto" Semiconductor Substrates), the application was filed on November 22, 2004, which is attached for reference. e) Process chamber for depositing barrier layer, reduction layer and catalytic layer ί) Process chamber Overview In order to reduce electron drift and improve adhesion of subsequent metal layers, embodiments of the present invention include wet processing on wet process platform 2 1 3 The substrate is pretreated prior to the process, such as depositing a barrier layer, a reduction layer, and/or a catalytic layer. In one embodiment, these pre-treatment steps can be in a single ALD chamber, CVD chamber, or gas

51 1374951 相沉積室中進行,其較佳實施例將描述於下。在另一實施 例中,阻障層及/或還原層可利用 ALD、CVD或氣相沉積 製程來形成於基材上,而催化層可在上述流體處理室中形 成。51 1374951 The phase deposition chamber is carried out, the preferred embodiment of which will be described below. In another embodiment, the barrier layer and/or the reduction layer may be formed on the substrate by an ALD, CVD or vapor deposition process, and the catalyst layer may be formed in the fluid processing chamber described above.

在一實施例中,一標準的電容耦合或感應耦合電漿沉 積室可用來沉積阻障層、還原層與催化層至基材上。此種 製程室一般包括一位於控溫基材支撐物上方且位於導電噴 灑頭下方的次大氣壓處理區域,其做為控制電漿的裝置。 一製程氣體供應源透過喷灑頭提供製程氣體給處理區域。 另一實施例則可使用遠端電漿源。在又一實施例中,沉積 室包括四氧化釕產生設備(將配合第1 4 A圖描述於後),其 係適以沉積含釕膜層於基材表面,且不需使用含碳的前驅 物。 //)阻障層、還原層與催化層的沉積製程In one embodiment, a standard capacitively coupled or inductively coupled plasma deposition chamber can be used to deposit the barrier layer, the reduction layer, and the catalytic layer onto the substrate. Such a process chamber typically includes a sub-atmospheric treatment zone located above the temperature-control substrate support and below the conductive showerhead as a means of controlling the plasma. A process gas supply source provides process gas to the processing zone through the showerhead. Another embodiment may use a remote plasma source. In yet another embodiment, the deposition chamber includes a osmium tetroxide generating device (described later in connection with Figure 14A) adapted to deposit a ruthenium-containing layer on the surface of the substrate without the use of a carbon-containing precursor Things. //) Deposition process of barrier layer, reduction layer and catalytic layer

參照第1 F -1 K圖,預處理基材1 2 0 —般可包括沉積阻 障層124至基材表面、暴露阻障層124於浸潰(soak)製程 以形成還原層126、使還原層126暴露於含金屬之催化前 驅物而沉積催化層128至阻障層124上、及沉積導電層(如 晶種層1 2 9及/或塊體層1 3 0)至催化層1 2 8上。在一實施例 中,阻障層124(如TaN)的沉積方法為ALD或CVD製程。 在浸潰製程中,阻障層124暴露於還原劑,其可包括膦、 二硼烷或矽烷。還原層接著形成於阻障層上。還原層 126 接觸含金屬之催化前驅物而沉積催化層128至阻障層124 上。根據一實施例,含金屬之催化前驅物是在上述無電電Referring to FIG. 1 F -1 K, the pretreatment of the substrate 120 may generally include depositing the barrier layer 124 to the surface of the substrate, exposing the barrier layer 124 to a soak process to form the reduction layer 126, and reducing The layer 126 is exposed to the metal-containing catalytic precursor to deposit the catalytic layer 128 onto the barrier layer 124, and a conductive layer (such as the seed layer 1 29 and/or the bulk layer 1 30) is deposited onto the catalytic layer 1 28 . In one embodiment, the barrier layer 124 (e.g., TaN) is deposited by an ALD or CVD process. In the impregnation process, barrier layer 124 is exposed to a reducing agent, which may include phosphine, diborane or decane. A reducing layer is then formed on the barrier layer. The reducing layer 126 contacts the metal-containing catalytic precursor to deposit the catalytic layer 128 onto the barrier layer 124. According to an embodiment, the metal-containing catalytic precursor is in the above-mentioned electroless

F 52 1374951F 52 1374951

鍍雙製程室中藉由液相沉積製程導引到基材。根據另一實 施例,含金屬之催化前驅物較佳是在與沉積基材120上之 阻障層124和還原層126相同的製程室中藉由氣相沉積製 程而導引到基材。此實施例更可減少阻障層1 2 4接觸氧氣 或濕氣的機會,進而改善後續金屬詹的黏著性。在另一實 施例中,含釕之催化層1 2 8可在無還原層1 2 6的情況下, 直接沈積在阻障層124或介電層121上。此實施例不需使 用含碳之前驅物來形成催化層128,故可改善後續導電層 的黏著性。催化層128具有催化金屬,其可包括釕、鈷、 銶、銀、錦、叙、麵、其合金、或其組合物。隨後,導電 層(如晶種層129及/或塊體層130)沉積至催化層128上。 例如,晶種層12 9可為銅或釕晶種層、或第二阻障層,如 磷化鈷鎢層。塊體層130可為利用無電電鍍法或電化學電 鍍法形成的含銅導電層。其製程順序將參照第1 F-1 K圖之 各階段的基材結構剖面說明於後。或者,還原層、催化層 與導電層可在無阻障層的情況下依上述順序沉積至基材結 構上。 如第1G圖所示,阻障層124可形成在介電層121上 和開口 122中。阻障層124可包含一或多種阻障材料,如 钽、氮化钽、氮化矽钽、鈦、氮化鈦、氮化矽鈦 '氮化鎢、 氮化碎、氣化釕、其衍生物、其合金、及其組合物。阻障 層124的形成方法可為適當之沉積製程,包括ALD、CVD、 PVD、或其組合製程。例如,钽(Ta)及/或氮化钽(TaN)可利 用ALD製程而沈積為阻障層1 24,此可參見美國專利申請 53 1374951 案號10/2 81,079,申請曰為2002年10月25曰的申請案, 其一併附上供作參考。根據一實施例,Ta/TaN雙層可沈積 為阻障層 124,其中鈕層與氮化钽層為個別利用 ALD、 CVD,及/或PVD製程沉積而得=上述ALD製程可在群集 工具200的乾燥側之預處理室中進行,例如設於製程站235 的ALD室。The double plating process chamber is guided to the substrate by a liquid deposition process. According to another embodiment, the metal-containing catalytic precursor is preferably directed to the substrate by a vapor deposition process in the same process chamber as the barrier layer 124 and the reduction layer 126 on the deposition substrate 120. This embodiment further reduces the chance of the barrier layer 1 24 contacting oxygen or moisture, thereby improving the adhesion of the subsequent metal. In another embodiment, the ruthenium containing catalytic layer 128 can be deposited directly on the barrier layer 124 or the dielectric layer 121 without the reduction layer 126. This embodiment does not require the use of a carbon-containing precursor to form the catalytic layer 128, so that the adhesion of the subsequent conductive layer can be improved. Catalytic layer 128 has a catalytic metal that may include ruthenium, cobalt, rhodium, silver, ruthenium, ruthenium, alloys thereof, or combinations thereof. Subsequently, a conductive layer (e.g., seed layer 129 and/or bulk layer 130) is deposited onto catalytic layer 128. For example, the seed layer 12 9 may be a copper or twin seed layer, or a second barrier layer such as a cobalt cobalt phosphide layer. The bulk layer 130 may be a copper-containing conductive layer formed by electroless plating or electrochemical plating. The process sequence will be described later with reference to the substrate structure profile at each stage of the 1st F-1 K diagram. Alternatively, the reducing layer, the catalytic layer and the conductive layer may be deposited onto the substrate structure in the above-described order without a barrier layer. As shown in FIG. 1G, a barrier layer 124 may be formed on the dielectric layer 121 and in the opening 122. The barrier layer 124 may comprise one or more barrier materials such as tantalum, tantalum nitride, tantalum nitride, titanium, titanium nitride, tantalum nitride titanium nitride, tungsten nitride, gasified germanium, and derivatives thereof. , alloys thereof, and combinations thereof. The method of forming the barrier layer 124 can be a suitable deposition process, including ALD, CVD, PVD, or a combination thereof. For example, tantalum (Ta) and/or tantalum nitride (TaN) may be deposited as a barrier layer 1 24 using an ALD process, see US Patent Application No. 53 1374951, file number 10/2 81,079, filed on October 2002. The 25-inch application is attached for reference. According to an embodiment, the Ta/TaN bilayer may be deposited as a barrier layer 124, wherein the button layer and the tantalum nitride layer are separately deposited by ALD, CVD, and/or PVD processes = the above ALD process may be used in the cluster tool 200 The drying side of the pretreatment chamber is carried out, for example, in an ALD chamber of the process station 235.

如上述,ALD使用二種反應物脈衝(pulse)來沉積氛化 钽二元化合物,其中一”脈衝”是指間歇或非連續引入製程 室反應區域中的特定化合物含量。若沉積其他元素或化合 物,也可使用兩種以上的反應物脈衝。例如,形成氮化砍 钽三元化合物的 ALD製程可使用钽、矽與氮的前驅物脈 衝。As noted above, ALD uses two reactant pulses to deposit the enthalpy of the enthalpy, wherein a "pulse" refers to the amount of a particular compound that is introduced into the reaction zone of the process chamber, either intermittently or discontinuously. Two or more reactant pulses can also be used if other elements or compounds are deposited. For example, an ALD process for forming a nitrided chopped ternary compound can use precursor pulses of ruthenium, osmium, and nitrogen.

利用 ALD製程沉積TaN阻障層一般包括提供含钽之 化合物脈衝,如PDMAT(Ta[NMe2]5),其流量為約20 seem 至約1 0 0 0 s c c m,脈衝時間為約2秒或更短。氨脈衝的供 應流量為約2 0 s c c m至約1 0 0 0 s c c m,脈衝時間為約1秒或 更短。氬氣淨化氣體的流量可為約 1〇〇 seem至約 1000 seem,且可連續地或間歇地流入製程室内。含组之化合物 脈衝與含氮之化合物脈衝的間隔時間為約5秒或更短,較 佳為介於約0.5秒至約2秒之間。基材較佳為維持在約5 0 t:至約 3 5 0°C之間,室壓則維持在約 1.0托耳(Torr)至約 5 0.0托耳。ALD形成阻障層至基材上的方法與其使用的前 驅物更描述於美國專利中請案號 60/648,004 [9906L],名 稱「銅金屬化製程之沉積過渡催化層至阻障層上的方法 (S ) 54 1374951 (Deposition of an Intermediate Catalytic Layer on a Barrier Layer for Copper Metallizati〇n)」,申請日為 2〇〇5 年1月27日的申請案’其一併附上供作參考。 為形成還原層126至阻障層124上,如第1H圖所示, 阻障層124晷露在揮發性還原前驅物(νρ_ρ)的環境下,其 較佳為二硼烧、膦、矽烧、聯氨、氫、或其组合物。此亦 稱為浸潰製程。用來形成還原層126的浸潰製程可包括使 阻障層124直接暴露於VRP或稀釋於氣相沉積室的載氣 中。is:潰裝程可在與阻障層沉積製程相同的製程室進行。 或者’還原層1 26可利用電漿浸潰製程而形成至阻障層124 上。電毁浸潰製程包括使阻障層124接觸還原電漿(即還原 劑或其電漿態衍生物)以形成還原層1 2 6。較佳地,還原劑 為矽烷、二硼烷、膦、或其組合物。此實施例需使用可進 行電漿氣相沉積的製程室;例如,基材係置入電衆加強 ALD(PE-ALD)室、電漿加強CVD(PE-CVD)室、或高密度電 聚CVD(HDP-CVD)至。電漿氟相沉積室的例子將說明於後。 典型形成還原層126至阻障詹124上的方法是將阻障 層1 2 4暴路於電漿浸潰製程一段時間。浸潰製程進行的時 間約5分鐘或更短。在進行浸潰製程時,基材為維持在約 2 0 C至約3 5 0 C之間’室壓則維持在約〇 · 1托耳至約7 5 〇 托耳。VRP可稀釋在載氣中,例如氦氣、氬氣或氮氣◊載 氣的供應>瓜量為約100 seem至約5000 seem。VRP的供應 流量為約5 seem至約500sccm»電漿可由電毁室之電衆產 生裝置(如電容耦合室之喷灑頭)所輸出的RF功率形成,其 55 1374951 中RF功率範圍為約100瓦(w)至約1〇〇〇〇瓦,RF頻率則 介於約0.4 kHz至約1〇 GHz之間。形成還原層至基材上的 方法與其使用的前驅物可參見前述美國專利申請案號 60/648,004 [9906L],名稱「鋼金屬化製程之沉積過渡催化 層至阻障層上的方法(Deposition of an Intermediate Catalytic Layer on a Barrier Layer for Copper Metallization) j 的中請案。Deposition of a TaN barrier layer using an ALD process generally involves providing a pulse of a compound containing ruthenium, such as PDMAT (Ta[NMe2]5), having a flow rate of from about 20 seem to about 1000 sccm, with a pulse time of about 2 seconds or less. . The supply flow rate of the ammonia pulse is from about 20 s c c m to about 1 0 0 s c c m, and the pulse time is about 1 second or less. The flow rate of the argon purge gas may range from about 1 seem to about 1000 seem and may flow continuously or intermittently into the process chamber. The group-containing compound is pulsed with the nitrogen-containing compound pulse for an interval of about 5 seconds or less, preferably between about 0.5 seconds and about 2 seconds. Preferably, the substrate is maintained between about 50 t: and about 350 ° C and the chamber pressure is maintained between about 1.0 Torr to about 5 0.0 Torr. The method of forming a barrier layer onto a substrate by ALD and the precursors therefor are described in U.S. Patent Application Serial No. 60/648,004, the disclosure of which is incorporated herein to (S) 54 1374951 (Deposition of an Intermediate Catalytic Layer on a Barrier Layer for Copper Metallizati〇n), the application date of January 27, 2005 is attached for reference. To form the reduction layer 126 onto the barrier layer 124, as shown in FIG. 1H, the barrier layer 124 is exposed to the environment of the volatile reduction precursor (νρ_ρ), which is preferably diboron, phosphine, or bismuth. , hydrazine, hydrogen, or a combination thereof. This is also known as the impregnation process. The impregnation process used to form the reduction layer 126 can include exposing the barrier layer 124 directly to the VRP or to the carrier gas diluted in the vapor deposition chamber. Is: The crushing process can be performed in the same process chamber as the barrier layer deposition process. Alternatively, the reduction layer 126 may be formed onto the barrier layer 124 by a plasma immersion process. The electro-destruction process includes contacting the barrier layer 124 with a reducing plasma (i.e., a reducing agent or a plasma derivative thereof) to form a reducing layer 126. Preferably, the reducing agent is decane, diborane, phosphine, or a combination thereof. This embodiment requires the use of a process chamber for plasma vapor deposition; for example, the substrate is placed in a plasma enhanced ALD (PE-ALD) chamber, a plasma enhanced CVD (PE-CVD) chamber, or a high density electropolymer CVD (HDP-CVD) to. An example of a plasma fluorine phase deposition chamber will be described later. The method of forming the reduction layer 126 to the barrier 124 is typically to storm the barrier layer 1 24 into the plasma immersion process for a period of time. The dipping process takes about 5 minutes or less. During the impregnation process, the substrate is maintained between about 20 C and about 350 C. The chamber pressure is maintained at about 〇 1 Torr to about 75 Torr. The VRP can be diluted in a carrier gas, such as a helium, argon or nitrogen helium carrier gas supply > a melon amount of from about 100 seem to about 5000 seem. The supply flow rate of the VRP is from about 5 seem to about 500 sccm. The plasma can be formed by the RF power output from the electricity generation device of the electric destruction chamber (such as the shower head of the capacitive coupling chamber), and the RF power range in the 55 1374951 is about 100. The tile (w) is about 1 watt and the RF frequency is between about 0.4 kHz and about 1 GHz. The method of forming a reduction layer onto a substrate and the precursors used therefor can be found in the aforementioned U.S. Patent Application Serial No. 60/648,004 [9906 L], entitled "Deposition of a Process for Depositing a Transition Catalytic Layer to a Barrier Layer in a Steel Metallization Process" (Deposition of An Intermediate Catalytic Layer on a Barrier Layer for Copper Metallization) j.

如第II圖所示,催化層丨28為沉積至阻障層124上。 催化層128乃藉由使還原層126暴露於含金屬之摧化前驅 物而形成。還原層i 26化學性還原含金屬之催化前驅物, 以在阻障層124上形成催化層128,而阻障層124與前驅 物各自含有金屬。在一實施例中’含金屬之催化前驅物是 由氣相沉積製程(如ALD製程或CVD製程)輸送到還原層 126。此製程室可為alD'CVD或PVD製程一般所使用的 氣相沉積室。較佳地,形成催化層的製程室與亦沉積於基 材上之阻障層和還原層的製程室為同一製程室。或者,含As shown in FIG. II, the catalytic layer 丨 28 is deposited onto the barrier layer 124. Catalytic layer 128 is formed by exposing reducing layer 126 to a metal-containing catalyzed precursor. The reducing layer i 26 chemically reduces the metal-containing catalytic precursor to form a catalytic layer 128 on the barrier layer 124, and the barrier layer 124 and the precursor each contain a metal. In one embodiment, the metal-containing catalytic precursor is delivered to the reduction layer 126 by a vapor deposition process such as an ALD process or a CVD process. The process chamber can be a vapor deposition chamber typically used in alD'CVD or PVD processes. Preferably, the process chamber forming the catalytic layer is the same process chamber as the process chamber of the barrier layer and the reduction layer also deposited on the substrate. Or, including

金屬孓催化前驅物是由液相沉積製程(如具前驅物溶解其 中的水溶液)輸送到還原層1 2 6。根據利用液相沉積製程來 形成催化層128之實施例,此製程為在上述之無電電鍵室 進行。 催化層128包括至少一催化金屬,且通常含有還原層 1 26的氧化殘留物。催化金屬可包括釕、鈷、鍊 '銥、鎮、 纪、鉑、餓、其合金、或其組合物。一般而言,還原層126 與含金屬之催化前驅物間的反應會生成金屬態的催化金屬 (S ) 56 1374951 (如RuQ或CoQ)及/或硼化物 '磷化物、矽化物、氮化物或 其组合物。催化層黏著於阻障層與後續沉積之導電層(如晶 種層129或塊體層130)上,其分別繪示於第1J及1K圖。The metal ruthenium catalytic precursor is transported to the reduction layer 126 by a liquid phase deposition process (e.g., an aqueous solution having a precursor dissolved therein). According to an embodiment in which the catalytic layer 128 is formed by a liquid deposition process, the process is carried out in the above-described electroless key chamber. Catalytic layer 128 includes at least one catalytic metal and typically contains an oxidized residue of reduced layer 126. The catalytic metal may include ruthenium, cobalt, chain '铱, 镇, 纪, platinum, hungry, alloys thereof, or combinations thereof. In general, the reaction between the reducing layer 126 and the metal-containing catalytic precursor produces a metallic catalytic metal (S) 56 1374951 (such as RuQ or CoQ) and/or a boride 'phosphide, telluride, nitride or Its composition. The catalytic layer is adhered to the barrier layer and the subsequently deposited conductive layer (e.g., seed layer 129 or bulk layer 130), which are shown in Figures 1J and 1K, respectively.

典型的形成催化層1 2 8至阻障層1 2 4上的方法包括使 還原層126暴露於揮發性含金屬之催化前驅物。氣相沉積 製程的製程溫度為足以揮發含金屬之催化前驅物、及驅使 還原反應完成。溫度範圍依沉積製程所用的含金屬之催化 前驅物而有所不同。一般而言,基材為維持在約2 5 °C至約 3 5 0 °C之間,較佳為約 5 0 °C至約 2 5 0 °C之間。製程室可為 ALD、CVD或PVD製程所使用的典型氣相沉積室。製程室 相應於溫度、前驅物與特定製程而維持在一定壓力。一般 而言,壓力範圍為介於約〇. 1托耳至約7 5 0托耳之間。含 金屬之催化前驅物暴露於還原層1 2 6的時間為約1秒至約 120秒。含金屬之催化前驅物可直接使用或稀釋於載氣, 如氮氣、氫氣、氬氣、氦氣、或其組合物。在一實施例中, 還原電漿暴露於基材的時間為約 10秒、流量為約 5 0 0 seem、且包含450 seem的It氣載氣與50 seem的石夕坑。 晶種層1 2 9係沈積於催化層1 2 8上而作為導電層,其 沉積方法可採用習知沉積技術,如ALD法、CVD法、PVD 法、無電電鍍法、或電化學電焱法。較佳地,晶種層 129 為緊接在形成催化層128後沉積,以減少催化層128的氧 化及增進後續沉積之導電層的整體黏著性。故在本發明一 較佳實施例中,晶種層1 2 9是在與沉積催化層1 2 8相同的 群集工具中形成,更佳則是在同一製程室中形成》根據一 57 1374951A typical method of forming the catalytic layer 128 to the barrier layer 124 includes exposing the reducing layer 126 to a volatile metal-containing catalytic precursor. The process temperature of the vapor deposition process is sufficient to volatilize the metal-containing catalytic precursor and drive the reduction reaction to completion. The temperature range will vary depending on the metal-containing catalytic precursor used in the deposition process. Generally, the substrate is maintained between about 25 ° C and about 350 ° C, preferably between about 50 ° C and about 250 ° C. The process chamber can be a typical vapor deposition chamber used in ALD, CVD or PVD processes. The process chamber is maintained at a certain pressure in response to temperature, precursors, and specific processes. Generally, the pressure range is between about 1 Torr to about 750 Torr. The metal-containing catalytic precursor is exposed to the reducing layer 1 2 6 for a time of from about 1 second to about 120 seconds. The metal-containing catalytic precursor can be used directly or diluted to a carrier gas such as nitrogen, hydrogen, argon, helium, or combinations thereof. In one embodiment, the reducing plasma is exposed to the substrate for a time of about 10 seconds, a flow rate of about 50,000, and comprises 450 seem of an air carrier gas and 50 seem of a Xi Xikeng. The seed layer 1 2 9 is deposited on the catalytic layer 128 as a conductive layer, and the deposition method can be performed by a conventional deposition technique such as ALD method, CVD method, PVD method, electroless plating method, or electrochemical electrophoresis method. . Preferably, the seed layer 129 is deposited immediately after the formation of the catalytic layer 128 to reduce oxidation of the catalytic layer 128 and to enhance the overall adhesion of the subsequently deposited conductive layer. Therefore, in a preferred embodiment of the invention, the seed layer 1 29 is formed in the same cluster tool as the deposition catalyst layer 128, and more preferably in the same process chamber. According to a 57 1374951

實施例,若含金屬之催化前驅物是由液相沉積製程输送到 還原層1 2 6,則晶種層1 2 9為在與沉積催化層1 2 8相同的 無電電鍍雙製程室中利用無電電鍍製程而沉積至基材上的 銅晶種層。晶種層1 2 9厚度約為單一分子層厚至約1 0 0埃。 一般而言,晶種層129包含銅、釕、鈷、鈕、或其他與接 續沈積之塊體層130具有良好黏著性的金屬或合金。利用 無電電鍍製程來沉積晶種層1 2 9的方法與設備可參照上述 第7與8圖之說明。 氧化釕可用來形成催化層及/或塊體導電層,而製程所 使用的釕化合物較佳為四氧化釕(Ru〇4)。四氧化釕可由一 原位產生製程而將金屬釕源接觸氧化性氣體(如臭氧)而 得,其將配合第1 4 A圖描述於後。原位產生的四氧化釕立 即引入製程室。四氧化釕為強氧化劑,故會與還原層快速 反應而於阻障層或介電層上形成含釕之催化層。 ί·ί〇釕層的沉積製程In the embodiment, if the metal-containing catalytic precursor is transported to the reduction layer 122 by a liquid deposition process, the seed layer 1 29 is an electroless plating in the same electroless plating double process chamber as the deposition catalyst layer 128. The process is deposited onto a copper seed layer on the substrate. The seed layer 1 29 has a thickness of about a single molecular layer to about 1000 angstroms. In general, seed layer 129 comprises copper, ruthenium, cobalt, knobs, or other metals or alloys that have good adhesion to successively deposited bulk layers 130. The method and apparatus for depositing the seed layer 1 29 using an electroless plating process can be referred to the description of Figures 7 and 8 above. Cerium oxide can be used to form the catalytic layer and/or the bulk conductive layer, and the cerium compound used in the process is preferably ruthenium tetroxide (Ru〇4). The ruthenium tetroxide can be obtained by contacting the ruthenium gas source (e.g., ozone) with an in situ generation process, which will be described later in connection with Figure 14A. The in-situ generated osmium tetroxide is immediately introduced into the process chamber. As a strong oxidant, ruthenium tetroxide reacts rapidly with the reduction layer to form a ruthenium-containing catalytic layer on the barrier layer or the dielectric layer. ί·〇钌 layer deposition process

含釕膜層可使用含四氧化釕之氣體而選擇性或非選擇 性沉積於基材表面的元件特徵結構上。含釕膜層以選擇性 或非選擇性沉積到基材表面係與暴露於含四氧化釕之氣體 的表面溫度與形態息息相關。此外,藉由控制基材溫度低 於特定溫度以下(如 1 8 0 °C ),釕層將會選擇性沉積於特定 形態的表面。較高溫度時(如高於 1 8 0 °C ),使用含四氧化 釕之氣體的釕沉積製程會變得較不具選擇性,因而將形成 圍包層(blanketfilm)於所有形態的表面上。在一實施例 中,沉積含釕膜層是為了增進後續形成於基材表面之膜層 58 1374951The ruthenium-containing film layer may be selectively or non-selectively deposited on the element features of the surface of the substrate using a gas containing osmium tetroxide. The selective or non-selective deposition of the ruthenium-containing layer onto the surface of the substrate is closely related to the surface temperature and morphology of the gas exposed to osmium tetroxide. In addition, by controlling the substrate temperature below a certain temperature (e.g., 180 ° C), the tantalum layer will selectively deposit on a particular surface. At higher temperatures (e.g., above 180 °C), the ruthenium deposition process using a ruthenium tetroxide-containing gas becomes less selective and thus forms a blanket film on all surfaces. In one embodiment, the ruthenium-containing film layer is deposited to enhance the subsequent formation of a film layer on the surface of the substrate.

的黏著性與填充能力。在另一實施例中,沉積於基材表面 的含釕膜層性質可依基材表面上的元件需求進行調整。一 般希望得到的性質包括形成結晶或無晶形的金屬釕層於基 材表面,如此所形成的膜層可做為阻障層、適合後續無電 電鍍或電化學電鍍製程的催化層、或甚至可用來填充特定 元件特徵結構。另亦期望基材表面上的含釕膜層為二氧化 釕層(Ru〇2),以促進選擇性由下而上生成無電電鍍層及/ 或電鍍層、或形成與各種微機電系統(MEMS)元件之鐵電材 料(如鈦酸锶鋇(BST)等)或壓電材料(如锆鈦酸鉛(PZT)等) 相容的電極。Adhesion and filling ability. In another embodiment, the properties of the ruthenium containing layer deposited on the surface of the substrate can be tailored to the component requirements on the surface of the substrate. Properties which are generally desired include the formation of a crystalline or amorphous metal tantalum layer on the surface of the substrate, such that the formed film layer can serve as a barrier layer, a catalytic layer suitable for subsequent electroless plating or electrochemical plating processes, or even Fill in specific component features. It is also desirable that the ruthenium-containing layer on the surface of the substrate be a ruthenium dioxide layer (Ru 〇 2) to promote selective electroless plating and/or electroplating from bottom to top, or to form with various microelectromechanical systems (MEMS). A ferroelectric material (such as barium titanate (BST)) or a piezoelectric material (such as lead zirconate titanate (PZT), etc.) compatible electrode.

一般而言,具期望性質之含釕催化層是藉由產生含四 氧化釕之氣體及使控溫的基材表面接觸此氣體,而形成於 阻障層或介電層上。其包括形成四氧化釕氣體、收集該氣 體至來源容器、清除來源容器中多餘的氧氣、加熱來源容 器、以及輸送含四氧化釕之氣體至製程室以形成催化層。 如上述本發明之各實施態樣,金屬釕層或二氧化釕層可選 擇性或非選擇性形成於基材表面,進而形成含釕膜層。產 生含四氧化釕之氣體以形成含釕膜層於基材表面的方法與 設備將舉例說明於下。 根據氣相沉積製程之一實施例,含四氧化釕之沉積氣 體係輸送到具還原層的基材表面,其中還原層上具有P-H 官能基。具P-Η官能基的還原層可採用膦浸潰製程或膦電 漿浸潰製程形成之。在此過程中,基材溫度為維持在約200 °C。當還原層暴露於含四氧化釕之氣體約6 0秒後,磷化釕 59 1374951 ΗIn general, a ruthenium-containing catalytic layer having a desired property is formed on a barrier layer or a dielectric layer by generating a gas containing ruthenium tetroxide and contacting the surface of the temperature-controlled substrate with the gas. It includes forming a ruthenium tetroxide gas, collecting the gas to a source vessel, removing excess oxygen from the source vessel, heating the source vessel, and transporting the ruthenium tetroxide-containing gas to the process chamber to form a catalytic layer. As in the above embodiments of the present invention, the metal ruthenium layer or the ruthenium dioxide layer is selectively or non-selectively formed on the surface of the substrate to form a ruthenium-containing layer. A method and apparatus for producing a gas containing osmium tetroxide to form a ruthenium-containing layer on a substrate surface will be exemplified below. According to one embodiment of the vapor deposition process, the osmium tetroxide-containing deposition gas system is transported to the surface of the substrate having the reduced layer, wherein the reduced layer has a P-H functional group. The reduced layer having a P-germanium functional group can be formed by a phosphine impregnation process or a phosphine plasma impregnation process. During this process, the substrate temperature was maintained at about 200 °C. After the reducing layer is exposed to the gas containing osmium tetroxide for about 60 seconds, phosphide 钌 59 1374951 Η

層會形成在阻障層上。或者,含釕催化層 情況下直接形成在阻障層或介電層上。 ζ·ν)阻障層、還原層與催化層沉積 上述阻障層、還原層與催化層的沉積 處理室進行。第14圖繪示電容耦合電漿室 製程室1450。側壁1405、頂壁1406與基肩 程室1450而形成一製程區1421。用於支相 溫基材座1415設置於製程室1450的基底 浦1435控制製程室1450之壓力,室壓一 5毫托耳(mT)。氣體分散喷灑頭1410包括 源1 4 2 5的氣體分散空間1 4 2 0,且透過多個 而連繫基材1422上方的處理區域1427。d 鍍鋁等)製成之氣體分散噴灑頭1 4 1 0使用 元件1 47 5與RF電源1490以做為電漿控专 產生器1 462透過阻抗匹配元件1 464而施 至控溫基材座1415和基材1422。藉由氣® 供適當的氣體,上述阻障層、還原層及/或 驟可全部在製程室1 4 5 0進行。 v)釕層沉積室的範例 一般而言,在此描述的方法與設備可 之氣體來選擇性或非選擇性沉積含釕膜層 件特徵結構。根據本發明之一較佳實施例 積室600是用來產生與沉積含釕之催化層 室600類似上述製程室1 450,且相同的元 可在無還原層的 室的範例 步驟可在一電漿 之一實施例,即 [1 407係圍住製 f基材1422的控 1407。一真空幫 般係維持在小於 一連接氣體供應 氣體噴嘴口 1 4 3 0 &導電材料(如電 附屬的阻抗匹配 Η裝置。RF偏壓 加R F偏壓'功率 t供應源1 4 2 5提 催化層的沉積步 使用含四氧化釕 至基材表面的元 ,第14A圖之沉 至基材上。沉積 件符號表示二者 C S ) 60 1374951 共通的元件。在一實施例中,含釕膜層藉由在外部容器產 生四氧化釕及輸送產生之四氧化釕氣體到製程室的控溫基 材表面,而形成於基材表面上。A layer is formed on the barrier layer. Alternatively, the ruthenium-containing catalytic layer is formed directly on the barrier layer or the dielectric layer. ζ·ν) barrier layer, reduction layer and catalytic layer deposition The above-mentioned barrier layer, reduction layer and catalytic layer deposition processing chamber are carried out. Figure 14 illustrates a capacitively coupled plasma chamber process chamber 1450. The sidewall 1405, the top wall 1406 and the base shoulder chamber 1450 form a process zone 1421. The base substrate 1415 for the branch phase temperature substrate holder 1415 is disposed at the base of the process chamber 1450 to control the pressure of the process chamber 1450 at a chamber pressure of 5 mTorr. The gas dispersion showerhead 1410 includes a gas dispersion space 1 4 2 0 of a source 1 4 2 5 and is connected to a processing region 1427 above the substrate 1422 through a plurality of. d aluminized, etc., gas dispersing sprinkler 1 1 1 0 using component 1 47 5 and RF power supply 1490 as plasma control generator 1 462 through impedance matching component 1 464 to temperature control substrate holder 1415 and Substrate 1422. The gas barrier layer, the reduction layer and/or the catalyst may all be carried out in the process chamber 1 450 by supplying a suitable gas to the gas. v) Examples of ruthenium deposition chambers In general, the methods and apparatus described herein can be used to selectively or non-selectively deposit ruthenium-containing layer features. In accordance with a preferred embodiment of the present invention, the chamber 600 is used to produce a process chamber 1 450 similar to the deposition of a catalyst chamber 600 containing tantalum, and the same elements may be used in a chamber having no reducing layer. One embodiment of the slurry, i.e., [1 407 is a control 1407 that encloses the base material 1422. A vacuum is maintained at less than a connecting gas supply gas nozzle port 1 4 3 0 & conductive material (such as an electrical accessory impedance matching device. RF bias plus RF bias 'power t supply source 1 4 2 5 The deposition step of the catalytic layer uses a layer containing ruthenium tetroxide to the surface of the substrate, which is deposited onto the substrate as shown in Fig. 14A. The deposition member symbol indicates the common elements of both CS) 60 1374951. In one embodiment, the ruthenium-containing film layer is formed on the surface of the substrate by generating ruthenium tetroxide in the outer container and transporting the generated osmium tetroxide gas to the surface of the temperature control substrate of the process chamber.

沉積室60 0 —般包括一製程氣體輸送系統601與一密 閉的製程室603 A。密閉的製程室603A —般包括第14圖 中所述及的所有元件、以及控溫基材支撐物 623'遠端電 漿源670、和連接入口管線1 426的製程氣體輸送系統601。 控溫基材支撐物623 —般包括導體塊624、熱交換器620、 及控溫器621。導體塊624具有一基材支撐面624A,且密 接於基底1407,以形成密閉的製程室603A。The deposition chamber 60 generally includes a process gas delivery system 601 and a closed process chamber 603A. The hermetic process chamber 603A generally includes all of the elements described in Figure 14, as well as the temperature control substrate support 623' distal plasma source 670, and the process gas delivery system 601 that connects the inlet line 1 426. The temperature-control substrate support 623 generally includes a conductor block 624, a heat exchanger 620, and a temperature controller 621. The conductor block 624 has a substrate support surface 624A and is intimately bonded to the substrate 1407 to form a sealed process chamber 603A.

根據沉積室600之一實施例,製程氣體輸送系統601 係適以輸送流體至處理區域1427,藉以於基材表面形成催 化層或黏著層。製程氣體輸送系統6 0 1 —般包括一或多個 氣體源611A-611E、臭氧產生裝置612B、處理容器630、 來源容器組件640、及連接至密閉製程室603A之入口管線 1426的出口管線660。一或多個氣體源611A-611E通常提 供密閉製程室6 0 3 A於處理過程中所使用的載氣及/或淨化 氣體。·氣體源6 1 1 A-6 1 1 E供應的氣體例如可包括氮氣、氬 氣、氦氣、氫氣、或其他類似氣體。 根據製程氣體輸送系統6 0 1之一實施例,處理容器6 3 0 包括容器63卜控溫裝置634A、輸入口 635、及輸出口 636。 容器631 —般為封閉的區域,其構成材料或塗佈材料為玻 璃、陶瓷或其他不與容器 631中製程氣體反應的惰性材 料。容器 631内含有金屬釕’’A”,其較佳形式為多孔固體 61 1374951According to one embodiment of the deposition chamber 600, the process gas delivery system 601 is adapted to deliver fluid to the processing region 1427 to form a catalytic or adhesive layer on the surface of the substrate. The process gas delivery system 601 generally includes one or more gas sources 611A-611E, an ozone generating device 612B, a processing vessel 630, a source vessel assembly 640, and an outlet line 660 that is coupled to an inlet line 1426 of the closed process chamber 603A. One or more gas sources 611A-611E typically provide a carrier gas and/or purge gas for use in the process chamber 6 03 A during processing. The gas supplied from the gas source 6 1 1 A-6 1 1 E may include, for example, nitrogen, argon, helium, hydrogen, or the like. According to one embodiment of the process gas delivery system 601, the processing vessel 630 includes a vessel 63, a temperature control device 634A, an input port 635, and an output port 636. The container 631 is generally a closed area, and its constituent material or coating material is glass, ceramic or other inert material which does not react with the process gas in the container 631. The container 631 contains a metal 钌''A", which is preferably in the form of a porous solid 61 1374951

或顆粒,當臭氧輸入容器631時,有助於形成四氧化釕。 控溫裝置634A —般包括控溫器634B與熱交換器634C, 用以在產生四氧化釕的過程中,控制容器631達預定處理 溫度。容器63 1内的金屬釕’’A”溫度一般為維持在約20°C 至約60°C,以促進在容器631中生成的四氧化釕。在一實 施例中,熱交換器634C為一控溫流體熱交換裝置、阻抗 型加熱裝置、及/或熱電裝置,以於各製程階段來加熱及/ 或冷卻容器63 1。 在一實施例中,一遠端電漿源(RPS)672透過RPS入口 管線673連接處理容器630,故在四氧化釕不同的形成階 段中,金屬釕可藉由注入到容器63 1的氫基(H radial)而再 生,進而減少氧化物形成在金屬釕表面。當不希望生成的 二氧化釕層(Ru02)形成在容器631内大部分暴露的金屬釕 表面時,即需採用此再生步驟。Or particles, which help to form osmium tetroxide when ozone is introduced into the vessel 631. The temperature control device 634A generally includes a temperature controller 634B and a heat exchanger 634C for controlling the vessel 631 to a predetermined processing temperature during the production of osmium tetroxide. The temperature of the metal 钌 ''A" in the vessel 63 1 is generally maintained at about 20 ° C to about 60 ° C to promote the formation of osmium tetroxide in the vessel 631. In one embodiment, the heat exchanger 634C is a A temperature-controlled fluid heat exchange device, a resistive heating device, and/or a thermoelectric device for heating and/or cooling the vessel 63 1 at each stage of the process. In one embodiment, a remote plasma source (RPS) 672 is transmitted through The RPS inlet line 673 is connected to the processing vessel 630, so that in the different stages of formation of the osmium tetroxide, the metal ruthenium can be regenerated by the hydrogen radical (H radial) injected into the vessel 63 1 to reduce the formation of oxide on the surface of the metal crucible. This regeneration step is required when it is not desired to form the ruthenium dioxide layer (RuO 2 ) formed on the surface of most of the exposed metal ruthenium in the vessel 631.

參照第14 A圖,來源容器組件6 4 0 —般包括來源容器 641、控溫器642、入口 645、及出口 646。來源容器 641 是用來收集與存放處理容器630產生的四氧化釕。來源容 器64 1的内襯材料、塗佈材料或構成材料通常為玻璃、陶 瓷、塑膠(如鐵氟龍、聚乙烯)、或其他不與四氧化釕反應 的材料,且具有適當的熱衝擊(thermal shock)與機械性 質。利用控溫器642使來源容器641溫度降至20°C以下, 可使四氧化釕氣體冷凝至來源容器壁上。控溫器642 —般 包括控溫裝置643和熱交換器644,用以控制來源容器641 達預定處理溫度。 (S ) 62 1374951Referring to Figure 14A, source container assembly 640 generally includes source container 641, temperature controller 642, inlet 645, and outlet 646. The source container 641 is used to collect and store the osmium tetroxide produced by the processing container 630. The lining material, coating material or constituent material of the source container 64 1 is usually glass, ceramic, plastic (such as Teflon, polyethylene), or other materials that do not react with osmium tetroxide, and has appropriate thermal shock ( Thermal shock) and mechanical properties. Utilizing the temperature controller 642 to lower the temperature of the source vessel 641 to below 20 ° C, the osmium tetroxide gas can be condensed onto the source vessel wall. The temperature controller 642 generally includes a temperature control device 643 and a heat exchanger 644 for controlling the source vessel 641 to a predetermined processing temperature. (S) 62 1374951

操作時,沉積室600會形成含釕膜層於基材上。首先, 四氡化釕氣體形成與收集在來源容器641中。臭氧產生裝 置612B產生的臭氧接著輸送至容器631内的金屬釕,以 形成四氧化釕之氣體流,其隨後收集於來源容器6 4 1。藉 此,含臭氧之氣體(臭氧含量通常為約1 〇 wt · %至2 0 wt · %) 流過金屬釕,因而形成四氧化釕並由流動氣體吹走。在此 過程中,氣體流動路徑為先從臭氧產生裝置612B流至輸 入口 635,接著流過金屬釕’’A”、容器631之輸出口 636' 與處理管線63 7,最後流入來源容器64 1。藉由冷卻四氧化 釕並使其冷凝或凝固至來源容器641壁,可分離或移除含 四氧化釕氣體中不當的含氧與含臭氧成分。In operation, the deposition chamber 600 forms a ruthenium containing layer on the substrate. First, the bismuth telluride gas is formed and collected in the source container 641. The ozone generated by the ozone generating device 612B is then sent to the metal crucible in the vessel 631 to form a gas stream of osmium tetroxide which is then collected in the source vessel 641. As a result, the ozone-containing gas (the ozone content is usually about 1 〇 wt · % to 20 0 · %) flows through the metal ruthenium, thereby forming ruthenium tetroxide and being blown away by the flowing gas. During this process, the gas flow path first flows from the ozone generating device 612B to the input port 635, then flows through the metal crucible ''A', the output port 636' of the vessel 631 and the processing line 637, and finally flows into the source vessel 64 1 The oxygen-containing and ozone-containing components contained in the osmium tetroxide-containing gas can be separated or removed by cooling the ruthenium tetroxide and condensing or solidifying it to the wall of the source vessel 641.

當來源容器壁的溫度維持在2 0 °C以下時,含四氧化釕 之氣體中的含氧與含臭氧成分可被分離或移除。其達成方 法包括關閉臭氧隔離閥 612A、及使一或多個氣體源 611B-611C的淨化氣體依序流經處理容器 630、處理管線 6 3 7、來源容器64 1後,接著流過排放管線6 5 1而達排放系 統650。若基材表面暴露出銅内連線,則因銅與氧氣具有 高親和力,且易被氧化物質腐触,故移除多餘 '的氧成分和 未反應的臭氧成分是非常重要的。 在一實施例中,在淨化來源容器641與關閉閥門63 7A 以隔開來源容器641與處理容器630之後,可輸送四氧化 釕至密閉的製程室6 0 3 A。在輸送四氧化釕至密閉的製程室 603A前,先加熱來源容器641至一預定溫度,使冷凝或凝 固之四氧化钌變成四氧化釕氣體,此時一或多個氣體源 63 1374951When the temperature of the wall of the source vessel is maintained below 20 ° C, the oxygen-containing and ozone-containing components in the gas containing osmium tetroxide may be separated or removed. The method for achieving the method comprises: closing the ozone isolation valve 612A, and flowing the purification gas of the one or more gas sources 611B-611C sequentially through the processing container 630, the processing line 633, the source container 641, and then flowing through the discharge line 6 5 1 to the emission system 650. If the copper interconnect is exposed on the surface of the substrate, copper has a high affinity with oxygen and is easily corroded by oxidized substances, so it is very important to remove excess 'oxygen component and unreacted ozone component. In one embodiment, after purifying the source vessel 641 and closing the valve 63 7A to separate the source vessel 641 from the processing vessel 630, the osmium tetroxide can be delivered to the closed process chamber 630 A. Before transporting the ruthenium tetroxide to the closed process chamber 603A, the source vessel 641 is heated to a predetermined temperature to cause the condensed or solidified osmium tetroxide to become osmium tetroxide gas, at which time one or more gas sources 63 1374951

611(如氣體源611D-611E)、隔離閥638、隔離閥639、製 程室隔離閥66 1為打開狀態,使四氧化釕氣體流入入口管 線1426、流經氣體分散喷灑頭1410、流入處理區域1427、 及流遍基材1422各處,藉此,含釕膜層可形成於基材表 面。或者,令氣體源611D輪出的含氮氣體與氣體源611E 輸出的含氫氣體流過來源容器而至密閉的製程室603A,也 可形成四氧化釕氣體。在另一實施例中,藉由注入遠端電 漿源6 7 0產生的氫基到處理區域1 4 2 7,可減少氧化物形成 在金屬釕表面,進而促進金屬釕層形成。在又一實施例中, 製程氣體輸送系統601包括多個來源容器組件640,其交 替收集與分散生成的四氧化釕。若其中一個來源容器必須 收集四氧化釕,此配置可避免製程室1450中的基材處理製 程中斷》 典型的含釕膜層沉積製程會於沉積過程中產生電漿, 以改善所沉積之含釕膜層的性質。採用遠端電漿源(RPS) 的製程一般包括使用1000 seem的氫氣、1000 seem的兔 氣、350瓦的RF功率、及約13.56 MHz的頻率。 本發明實施例之沉積四氧化釕的設備與方法可參見美 國專利申請案號 60/648,004 [99 06L],名稱「銅金屬化製 程之沉積過渡催化層至阻障層上的方法(Deposition of an Intermediate Catalytic Layer on a Barrier Layer for Copper Metallization)」,申請曰為2005年1月27日的申 請案。 νί)結合氣相/液相沉積的製程室 c S ) 64 1374951 在另一實施例中,上述之還原層與催化層可在第18A 與18B圖之流體沉積室1800中沉積至基材上。由於氣相 沉積與液相沉積均可在流體沉積室1 8 0 0進行,是以還原層 與催化層可先由氣相沉積製程沉積,導電層再由無電電鍍 及/或電化學電鍍沉積。如此,還原層、催化層與晶種層皆 可在單一製程室中形成。611 (such as gas source 611D-611E), isolation valve 638, isolation valve 639, process chamber isolation valve 66 1 is in an open state, allowing osmium tetroxide gas to flow into inlet line 1426, through gas dispersion shower head 1410, into the treatment area 1427, and flowing through the substrate 1422, whereby the ruthenium-containing film layer can be formed on the surface of the substrate. Alternatively, the nitrogen-containing gas discharged from the gas source 611D and the hydrogen-containing gas output from the gas source 611E may flow through the source container to the sealed process chamber 603A to form osmium tetroxide gas. In another embodiment, by implanting a hydrogen radical generated by the remote plasma source 60 to the processing region 1 4 2 7, oxide formation on the surface of the metal tantalum can be reduced, thereby promoting metal tantalum layer formation. In yet another embodiment, the process gas delivery system 601 includes a plurality of source container assemblies 640 that alternately collect and disperse generated osmium tetroxide. If one of the source containers must collect osmium tetroxide, this configuration can avoid the substrate processing process interruption in the process chamber 1450. A typical ruthenium-containing deposition process will generate plasma during the deposition process to improve the deposited ruthenium. The nature of the film layer. Processes using a remote plasma source (RPS) typically include 1000 seem of hydrogen, 1000 seem of rabbit gas, 350 watts of RF power, and a frequency of about 13.56 MHz. An apparatus and method for depositing osmium tetroxide according to an embodiment of the present invention can be found in U.S. Patent Application Serial No. 60/648,004, the entire disclosure of which is incorporated herein by reference. Of an Intermediate Catalytic Layer on a Barrier Layer for Copper Metallization), the application was filed on January 27, 2005. Νί) Process chamber combined with gas/liquid phase deposition c S ) 64 1374951 In another embodiment, the above-described reduction layer and catalytic layer may be deposited onto the substrate in the fluid deposition chamber 1800 of FIGS. 18A and 18B. Since vapor deposition and liquid deposition can be carried out in the fluid deposition chamber 1880, the reduction layer and the catalytic layer can be deposited by a vapor deposition process, and the conductive layer is deposited by electroless plating and/or electrochemical plating. Thus, the reducing layer, the catalytic layer and the seed layer can be formed in a single process chamber.

第18A與18B圖繪示流體沉積室1800的剖面,其為 結合氣相/液相沉積室的實施例,且可利用上述氣相沉積製 程和無電電鍍或電化學電鍍製程來沉積導電層。流體沉積 室1800的基材放置在一處理區域155,而處理區域155係 由控溫基材支撐物1812、基材” W’’、密封墊154、及可移 動之製程擋板150下壁148所構成。18A and 18B illustrate a cross section of the fluid deposition chamber 1800, which is an embodiment in which a gas phase/liquid phase deposition chamber is combined, and the conductive layer can be deposited by the above vapor deposition process and electroless plating or electrochemical plating process. The substrate of the fluid deposition chamber 1800 is placed in a processing region 155, and the processing region 155 is composed of a temperature-control substrate support 1812, a substrate "W", a gasket 154, and a lower wall 148 of the movable process shutter 150. .

在一實施例中,一具氣體貯槽160與閥門159之製程 氣體源161及/或一具液體貯槽128a-128f與閥門129b之 液體源1 2 7輸送一或多種處理流體到注入口 1 4 4,並進入 處理區域1 5 5、且流經基材表面,再穿過孔洞1 5 2而達排 放區1 5 3,製程氣體將由此導引至廢物收集系統1 5 1。根據 一實施例,流經基材表面的電鍍凌可由再循環電鍍液的收 集槽系統1 849來收集和回收。流體沉積室1 800更包括一 排放裝置1 8 2 7,以收集與排出流體沉積室1 8 0 0所用之流 體。製程室1806底部1807可包括一斜面,以助流體沉積 室1 8 0 0所用之流體流向連通排放裝置1 8 2 7的環形通道, 並防止基材支撐裝置1813接觸流體。 在一實施例中,還原層與催化層依序在流體沉積室 65 1374951In one embodiment, a gas reservoir 160 and a process gas source 161 of the valve 159 and/or a liquid reservoir 128a-128f and a liquid source 127b of the valve 129b deliver one or more processing fluids to the injection port 1 4 4 And entering the treatment zone 155 and flowing through the surface of the substrate, and then through the hole 152 to reach the discharge zone 153, the process gas will thereby be directed to the waste collection system 151. According to one embodiment, the electroplating that flows through the surface of the substrate can be collected and recovered by the collection tank system 1 849 of the recirculating electroplating bath. The fluid deposition chamber 1 800 further includes a discharge device 1 8 2 7 for collecting and discharging the fluid used in the fluid deposition chamber 1880. The bottom 1807 of the process chamber 1806 can include a ramp to facilitate fluid flow from the fluid deposition chamber 180 to the annular passage communicating the discharge device 1 8 2 7 and to prevent the substrate support device 1813 from contacting the fluid. In one embodiment, the reducing layer and the catalytic layer are sequentially in the fluid deposition chamber 65 1374951

1800中形成。基材傳送至流體沉積室1800内,且由機械 手臂(未繪示)與升舉銷 1818而放置到基材接收面 1814 上。其次,可移動之製程擋板150移到可接觸基材接收面 1814或基材表面的位置而形成處理區域155»接著利用廢 物收集系統1 5 1的幫浦(未繪示)降低排放區1 5 3與處理區 域1 5 5的壓力。製程流體然後從連接注入口 1 44的製程氣 體源1 6 1輸送到處理區域1 5 5。根據一實施例,製程氣體 包含四氧化釕以於基材表面形成含釕膜層。其對應第1H 圖的還原層12 6。 形成還原層126後,可使用載氣(如氬氣、氮氣等)來 淨化處理區域 155,以移除殘餘的製程氣體。接著,無電 電鍍液或電鍍液可從液體源127輸送到處理區域155,藉 此基材表面上的還原層126可轉變生成催化層128。Formed in 1800. The substrate is transferred into the fluid deposition chamber 1800 and placed on the substrate receiving surface 1814 by a mechanical arm (not shown) and a lift pin 1818. Next, the movable process baffle 150 is moved to a position where it can contact the substrate receiving surface 1814 or the surface of the substrate to form a processing region 155. Next, the pump (not shown) of the waste collection system 115 is used to lower the discharge area 1 5 3 with the pressure of the treatment area 1 5 5 . The process fluid is then delivered from the process gas source 161 connected to the injection port 1 44 to the processing zone 155. According to an embodiment, the process gas comprises ruthenium tetroxide to form a ruthenium containing layer on the surface of the substrate. This corresponds to the reduction layer 12 6 of the 1H diagram. After the reduction layer 126 is formed, a carrier gas (e.g., argon, nitrogen, etc.) may be used to purify the treatment zone 155 to remove residual process gas. Next, an electroless plating solution or plating solution can be delivered from the liquid source 127 to the processing region 155 whereby the reducing layer 126 on the surface of the substrate can be converted to form the catalytic layer 128.

參照第18C與1 8D圖的流體沉積室1 800實施例,一 或多個電氣接觸點(未繪示)埋設於可移動之製程擋板 1 5 0 的密封墊1 54,一陽極1 63設置而與處理流體”A”接觸,如 此電鍍電流可傳到還原層,故催化層可藉由電鍍製程沉積 而得。使用電源供應器(未繪示)施加負偏壓到還原層表面 (相對於陽極163),可電鍍製程流體的金屬離子至還原層 上。隨後,可沉積塊體導電層,其對應於第1K圖的金屬 塊體層1 3 0。 結合液相/氣相沉積室的詳細說明可參見美國專利申 請案號 1 0/05 9,5 72,名稱「無電電鍍設備(Electroless Deposition Apparatus)」,發明人為Stevens等人,申請曰 66 1374951 為2002年1月28曰的申請案、和前述美國專利申請案號 60/64 8,004 [99 06L],名稱「銅金屬化製程之沉積過渡催化 層至阻障層上的方法(Deposition of an Intermediate Catalytic Layer on a Barrier Layer for Copper Metallization)」的申請案,其一併附上供作參考。 f)用於清洗接觸孔的電漿輔助乾蝕刻室 0製程室概論Referring to the fluid deposition chamber 1 800 embodiment of Figures 18C and 18D, one or more electrical contacts (not shown) are embedded in the gasket 1 of the movable process baffle 150, and an anode 1 63 is provided. In contact with the treatment fluid "A", the plating current can be transferred to the reduction layer, so that the catalytic layer can be deposited by an electroplating process. A negative voltage is applied to the surface of the reduction layer (relative to the anode 163) using a power supply (not shown) to electroplate the metal ions of the process fluid onto the reduction layer. Subsequently, a bulk conductive layer may be deposited, which corresponds to the metal bulk layer 130 of Figure 1K. For a detailed description of the combined liquid/vapor deposition chamber, see U.S. Patent Application Serial No. 10/05,5,72, entitled "Electroless Deposition Apparatus", inventor Stevens et al., application 曰66 1374951 The application of January 28, 2002, and the aforementioned U.S. Patent Application Serial No. 60/64, 004 [99 06L], entitled "Deposition of an Intermediate Catalytic on a Copper Metallization Process for Deposition of a Catalytic Transition Layer to a Barrier Layer" The application for Layer on a Barrier Layer for Copper Metallization) is attached for reference. f) Plasma-assisted dry etching chamber for cleaning contact holes 0 Introduction to Process Room

為了在無電電鍍製程前移除接觸表面上的原生氧化物 與其他污染物以及改善後續金屬層的黏著性,本發明實施 例包括在濕式製程平台2 1 3進行濕式製程前先處理基材, 即進行電漿輔助乾蝕刻處理,其亦稱為矽鈷鎳(SiCoNi)清 洗’並將配合第1 9圖說明於後。基材乾燥清洗處理為在用 於進行化學蝕刻清洗與基材原位退火處理的製程室中進 行’且較佳為設在群集工具2 0 0的乾燥側(如第2圖所示), 例如製程站2 3 5。In order to remove native oxide from other contaminants on the contact surface and improve adhesion of subsequent metal layers prior to the electroless plating process, embodiments of the invention include treating the substrate prior to wet processing on the wet process platform 201 That is, a plasma-assisted dry etching process, which is also referred to as samarium cobalt nickel (SiCoNi) cleaning, and will be described later in conjunction with FIG. The substrate drying cleaning process is performed in a process chamber for performing chemical etching cleaning and substrate in-situ annealing treatment, and is preferably disposed on the drying side of the cluster tool 200 (as shown in FIG. 2), for example Process station 2 3 5.

乾钱刻室可進行電漿加強化學蝕刻製程,且可在單一 製程環境中加熱與冷卻基材,其包括退火與加熱處理步 驟。第19圖為製程室i90〇的局部剖面圖。乾蝕刻室為一 真空室,具有上蓋組件200a、溫控之基材支撐裝置31〇a、 溫控之室體112a、及處理區域14〇a。處理區域i4〇a位於 上蓋組件200a與基材支撐裝置31〇a之間。基材支撐裝置 310a —般用來支撐與控制處理基材的溫度。上蓋組件2〇〇a 包括製程氣體供應盤(未繪示)、第一電極24〇a和第二電極 220a,其定義出一電漿腔體,用以產生電漿給處理區域 67 1374951 140a。製程氣體供應盤(未繪示)提供反應氣體給電漿腔 體,且經由第二電極220a而至處理區域140a。第二電極 220a設於基材上方,以在完成電漿輔助乾蝕刻製程後,來 加熱基封。 //)電漿辅助乾蝕刻製程The dry money chamber can be subjected to a plasma enhanced chemical etching process and can heat and cool the substrate in a single process environment, including annealing and heat treatment steps. Figure 19 is a partial cross-sectional view of the process chamber i90. The dry etching chamber is a vacuum chamber having an upper cover assembly 200a, a temperature-controlled substrate supporting device 31A, a temperature-controlled chamber body 112a, and a processing region 14A. The processing area i4〇a is located between the upper cover assembly 200a and the substrate supporting device 31A. Substrate support device 310a is generally used to support and control the temperature of the treated substrate. The upper cover assembly 2A includes a process gas supply tray (not shown), a first electrode 24a and a second electrode 220a defining a plasma chamber for generating plasma to the processing region 67 1374951 140a. A process gas supply tray (not shown) provides a reactive gas to the plasma chamber and to the processing region 140a via the second electrode 220a. The second electrode 220a is disposed over the substrate to heat the base seal after the plasma assisted dry etching process is completed. //) Plasma assisted dry etching process

移除基材表面之原生氧化物的乾蝕刻製程實施例將描 述於下,其在乾蝕刻製程室中使用氨氣(NH3)與三氟化氮 (NF3)的混合氣體。A dry etching process embodiment for removing native oxide from the surface of the substrate will be described below using a mixed gas of ammonia (NH3) and nitrogen trifluoride (NF3) in the dry etching process chamber.

乾蝕刻製程為先放置基材(如半導體基材)至乾蝕刻製 程室内。較佳地,基材在製程進行時是利用真空或靜電吸 座承托在基材支撐裝置310a的支撐組件300a上。室體112a 的溫度較佳為維持在5 0 °C至8 0 °C之間,更佳為約6 5 °C。 室體1 1 2 a溫度是藉由熱交換介質流經室體之流道11 3 a而 維持。當製程進行時,利用熱交換介質或冷卻劑流過基材 支撐物中的流道1 1 3 a可使基材溫度低於6 5 °C (例如1 5〜 50°C )。在另一實施例中,基材溫度為維持在22°C至40°C 之間。基材支撐物的溫度一般為保持低於2 2 °C,以得到上 述基;溫度。 接著導入氨氣和三氟化氮氣體至乾蝕刻室,以形成清 洗氣體混合物。各氣體流量可視待移除之氧化層厚度、待 清洗之基材形狀、電漿容積與室體112a容積等因素來進行 調整。根據一實施例,氣體混合物中的氨氣和三氟化氮的 莫耳比至少為1 : 1。根據另一實施例,氣體混合物中的氨 氣和三氟化氮的莫耳比至少為3 : 1。較佳地,引進乾蝕刻 68 1374951The dry etch process involves placing a substrate (such as a semiconductor substrate) into a dry etch process chamber. Preferably, the substrate is supported on the support assembly 300a of the substrate support device 310a by vacuum or electrostatic suction during processing. The temperature of the chamber body 112a is preferably maintained between 50 ° C and 80 ° C, more preferably about 65 ° C. The temperature of the chamber body 1 1 2 a is maintained by the heat exchange medium flowing through the flow path 11 3 a of the chamber body. The flow rate of the substrate 1 3 3 a through the heat transfer medium or coolant flowing through the substrate support can be such that the substrate temperature is below 65 ° C (e.g., 15 to 50 ° C) as the process proceeds. In another embodiment, the substrate temperature is maintained between 22 °C and 40 °C. The temperature of the substrate support is generally maintained below 22 ° C to obtain the above base; temperature. Ammonia gas and nitrogen trifluoride gas are then introduced into the dry etch chamber to form a purge gas mixture. The gas flow rate can be adjusted by factors such as the thickness of the oxide layer to be removed, the shape of the substrate to be cleaned, the volume of the plasma, and the volume of the chamber body 112a. According to an embodiment, the molar ratio of ammonia gas to nitrogen trifluoride in the gas mixture is at least 1:1. According to another embodiment, the molar ratio of ammonia and nitrogen trifluoride in the gas mixture is at least 3:1. Preferably, the introduction of dry etching 68 1374951

室的氨氣和三氟化氮的莫耳比為5: 1至30: 1。更佳地’ 氣體混合物中的氨氣和三氟化氮的莫耳比為5:1至10:卜 氣體混合物中的氨氣和三氟化氮的莫耳比也可介於約 10 : 1至約20 : 1之間。 淨化氣體或載氣亦可加到氣體混合物中。任一適合的 淨化氣體/載氣皆可使用,例如氬氣、氦氣、氫氣、氮氣、 或其混合物。一般而言,氨氣和三氟化氮佔整體氣體混合 物的體積比為約0.05%至約20%。其餘部分則為載氣。在 一實施例中,淨化氣體或載氣是在引入反應氣體前,先被 引進室體112a,以穩定室體壓力。 室體内的操作壓力是可變的。一般而言,壓力為維持 在約5 0 0毫托耳至約3 0托耳之間。較佳地,壓力為維持在 約1托耳至約1 0托耳之間。更佳地,室體内的操作壓力為 維持在約3托耳至約6托耳之間。 約5瓦至約600瓦的RF功率施加到第一電極,以點 燃電漿腔體内的氣體混合物之電漿。較佳地,RF功率為小 於1 0 0瓦。更佳地,施加功率的頻率為非常低,如低於1 〇 〇 kHz。頻率較佳範圍為約50 kHz至約'90 kHz。 電漿能量將氨氣和三氟化氮氣體解離為反應物種,其 經結合會形成具高度反應性的氣相氟化銨化合物(NH4F)及 /或氟化氫銨(NH4F.HF)。這些分子接著流過第二電極220a 而與待清洗之基材表面反應。在一實施例中,載氣先流至 乾蝕刻室並產生載氣電漿後,再加入反應氣體、氨氣和三 氟化氮至電漿中。 C 5 ) 69 1374951 蝕刻氣體、NH4F及/或NH4FHF會與原生氧化物表面 反應形成六氟化矽酸銨((NH4)2SiF6) '氨(NH3)、及水,其 不侷限於任何理論。氨和水在製程條件下為蒸汽態,故可 由連接製程室的真空幫浦加以移出製程室。(NH4)2SiF6薄 膜則留在基材表面上。The molar ratio of ammonia to nitrogen trifluoride in the chamber is 5:1 to 30:1. More preferably, the molar ratio of ammonia to nitrogen trifluoride in the gas mixture is from 5:1 to 10: the molar ratio of ammonia and nitrogen trifluoride in the gas mixture may also be between about 10:1. Between about 20:1. A purge gas or carrier gas can also be added to the gas mixture. Any suitable purge gas/carrier gas can be used, such as argon, helium, hydrogen, nitrogen, or mixtures thereof. In general, the volume ratio of ammonia gas and nitrogen trifluoride to the overall gas mixture is from about 0.05% to about 20%. The rest is a carrier gas. In one embodiment, the purge gas or carrier gas is introduced into the chamber body 112a prior to introduction of the reaction gas to stabilize the chamber pressure. The operating pressure within the chamber is variable. Generally, the pressure is maintained between about 5,000 mTorr and about 30 Torr. Preferably, the pressure is maintained between about 1 Torr and about 10 Torr. More preferably, the operating pressure within the chamber is maintained between about 3 Torr and about 6 Torr. An RF power of from about 5 watts to about 600 watts is applied to the first electrode to ignite the plasma of the gas mixture within the plasma chamber. Preferably, the RF power is less than 1000 watts. More preferably, the frequency of applied power is very low, such as below 1 〇 〇 kHz. The frequency preferably ranges from about 50 kHz to about '90 kHz. The plasma energy dissociates the ammonia gas and the nitrogen trifluoride gas to a reactive species which combine to form a highly reactive gas phase ammonium fluoride compound (NH4F) and/or ammonium hydrogen fluoride (NH4F.HF). These molecules then flow through the second electrode 220a to react with the surface of the substrate to be cleaned. In one embodiment, the carrier gas is first passed to the dry etch chamber and a carrier gas plasma is generated, and then the reaction gas, ammonia gas, and nitrogen trifluoride are added to the plasma. C 5 ) 69 1374951 The etching gas, NH4F and/or NH4FHF react with the surface of the native oxide to form ammonium hexafluoride ((NH4)2SiF6) 'ammonia (NH3), and water, which is not limited to any theory. Ammonia and water are in a vapor state under process conditions and can be removed from the process chamber by a vacuum pump connected to the process chamber. The (NH4)2SiF6 film remains on the surface of the substrate.

在進行電漿製程步驟後,基材支撐物提高到退火位 置,其靠近已加熱之第二電極。第二電極22 0a散發的熱量 應足以解離或昇華(NH4)2SiF6薄膜為四氟化矽(SiF4) ' NH3 和氟化氫(HF)。這些揮發性產物隨後由連接系統的真空幫 浦1 2 5 a移出製程室。一般而言,7 5 °C以上的溫度已可有效 昇華與移除基材上的薄膜。溫度較佳為高於 1 〇〇°C,例如 介於約11 5 °C至約2 0 0 °C之間。After the plasma processing step, the substrate support is raised to an annealing position adjacent to the heated second electrode. The heat emitted by the second electrode 22a should be sufficient to dissociate or sublimate (NH4)2SiF6 film to be yttrium tetrafluoride (SiF4) 'NH3 and hydrogen fluoride (HF). These volatile products are then removed from the process chamber by a vacuum pump 1 25 a from the joining system. In general, temperatures above 75 °C have been effective in sublimating and removing films from substrates. The temperature is preferably above 1 〇〇 ° C, for example between about 11 5 ° C and about 200 ° C.

解離(NH4)2SiF6薄膜使其成為揮發性組成的熱能乃由 第二電極來傳導或輻射。加熱元件270a直接耦接至第二電 極220a,以加熱第二電極220a和與其熱接觸的零件達約 7 5 °C至 2 5 0 °C 。根據一實施例,第二電極被加熱到約1〇〇 °C至約1 5 0 °C之間,如約1 2 0 °C。 具薄膜於其上的基材上表面與第二電極 220a間的距 離並非關鍵性要素,而與經驗法則有關。一般熟知此技藝 者可輕易判定有效揮發薄膜且不會破壞其下基材的距離。 有效間距通常為介於約0.254毫米(10密爾(mil))至約5.08 毫米(2 00密爾)之間。 移除基材上的薄膜後,即淨化與排空製程室。然後降 低基材到傳輸位置、去吸(de-chuck)基材、及輸送基材通The thermal energy that dissociates (NH4)2SiF6 film into a volatile composition is conducted or radiated by the second electrode. The heating element 270a is directly coupled to the second electrode 220a to heat the second electrode 220a and the parts in thermal contact therewith up to about 75 ° C to 250 ° C. According to an embodiment, the second electrode is heated to between about 1 ° C and about 150 ° C, such as about 1 20 ° C. The distance between the upper surface of the substrate having the film and the second electrode 220a is not a critical element and is related to the rule of thumb. It is generally well known to those skilled in the art that the effective volatilization of the film can be readily determined without damaging the distance of the underlying substrate. The effective spacing is typically between about 0.254 mm (10 mils) to about 5.08 mm (200 mils). After the film on the substrate is removed, the process chamber is purged and evacuated. Then lowering the substrate to the transfer position, de-chucking the substrate, and transporting the substrate

70 1374951 過狹長閥口 ,以將已清洗之基材移出製程室。 η/)電楽·輔助乾姓刻室的範例70 1374951 Over-small valve port to remove the cleaned substrate from the process chamber. η/)Electric 楽·Auxiliary dry surname example

第19圖為製程室1900的局部剖面圖。在一實施例中, 製程室1 900包括室體112a、上蓋组件200a、及支撐組件 300a。上蓋組件200a位於室體112a上端,而支撐組件300a 至少部分設於室體112a内。製程室1900與其相關之硬體 設備較佳為由與製程相容的材料所構成,例如鋁、電鍍鋁、 鍍鎳鋁、鍍鎳鋁6061-T6、不鏽鋼、及其組成與合金。 室體112a包括一形成於側壁的狭長閥口 160a,以提 供進出製程室1900内部的出入口。狹長閥口 160a可選擇 性打開或關閉,以使基材搬運機械手臂(未繪示)進出室體 1 1 2 a °Figure 19 is a partial cross-sectional view of the process chamber 1900. In one embodiment, the process chamber 1 900 includes a chamber body 112a, an upper cover assembly 200a, and a support assembly 300a. The upper cover assembly 200a is located at the upper end of the chamber body 112a, and the support assembly 300a is at least partially disposed within the chamber body 112a. The process chamber 1900 and its associated hardware are preferably constructed of materials compatible with the process, such as aluminum, electroplated aluminum, nickel plated aluminum, nickel plated aluminum 6061-T6, stainless steel, and combinations and alloys thereof. The chamber body 112a includes an elongated valve port 160a formed in the side wall to provide access to the interior of the process chamber 1900. The narrow port 160a can be selectively opened or closed to allow the substrate handling robot (not shown) to enter and exit the chamber 1 1 2 a °

根據一或多個實施例,室體1 1 2 a包括形成其中的流道 1 1 3 a,並具熱傳流體流貫其中。熱傳流體可為加熱流體或 冷卻劑,用以在進行製程與輸送基材時控制室體 1 1 2 a溫 度。室體1 1 2a溫度控制對避免氣體或副產物不當凝結至室 壁上而言是很重要的。熱傳流體的例子包括水、乙二烯醇、 或其混合物。熱傳流體的例子還包括氮氣。 室體112a更可包括圍繞支撐組件300a的内襯133a。 内襯1 3 3 a較佳為可拆卸維修與清洗。内襯1 3 3 a可由金屬 (例如鋁)或陶瓷材料構成。然内襯1 3 3 a可由任一與製程相 容的材料構成。内襯133a可用珠擊法(bead blast)處理 來增加後續沉積於其上之材料的黏著性,以防止材料剝落 而污染製程室1 9 0 0。根據一或多個實施例,内襯1 3 3 a包 71 1374951 括一或多個開口 1 3 5 a以及一與真空系統為流體連通的抽 吸道129a。開口 135a為氣體往抽吸道129a的通道,其提 供製程室19 00内之氣體的出口。According to one or more embodiments, the chamber body 1 1 2 a includes a flow passage 1 1 3 a formed therein with a heat transfer fluid flowing therethrough. The heat transfer fluid can be a heating fluid or coolant to control the temperature of the chamber body 1 1 2 a during processing and transport of the substrate. The temperature control of the chamber body 1 1 2a is important to avoid improper condensation of gases or by-products onto the walls of the chamber. Examples of heat transfer fluids include water, ethylenol, or mixtures thereof. Examples of heat transfer fluids also include nitrogen. The chamber body 112a may further include an inner liner 133a surrounding the support assembly 300a. The inner liner 1 3 3 a is preferably detachable for repair and cleaning. The inner liner 1 3 3 a may be composed of a metal such as aluminum or a ceramic material. The liner 1 3 3 a may be constructed of any material compatible with the process. The liner 133a may be treated by a bead blast to increase the adhesion of the material deposited thereon to prevent the material from flaking and contaminating the process chamber 1900. In accordance with one or more embodiments, the liner 1 3 3 a package 71 1374951 includes one or more openings 1 3 5 a and a suction channel 129a in fluid communication with the vacuum system. The opening 135a is a passage of gas to the suction passage 129a which provides an outlet for the gas in the process chamber 19 00.

真空系統可包括真空幫浦1 2 5 a與節流閥1 2 7 a,以調 節流過製程室1900的氣體量。真空幫浦125a耦接室體 112a上的真空埠131a而與内襯133a中的抽吸道129a為 流體連通。開口 135a可使抽吸道129a與室體112a内的處 理區域140a為流體連通。處理區域140由上蓋組件200a 下表面和支撐組件300a上表面所界定,且被内襯133a包 圍。開口 135a可具相同尺寸且等距排列在内襯133a附近。 操作時,製程室1 900流出的一或多種氣體流經貫穿内 襯1 3 3 a的開口 1 3 5 a而流入抽吸道1 2 9 a。抽吸道1 2 9 a中 的氣體接著流過真空埠1 3 1 a而流進真空幫浦1 2 5 a。The vacuum system can include a vacuum pump 1 2 5 a and a throttle valve 1 2 7 a to regulate the amount of gas flowing through the process chamber 1900. The vacuum pump 125a is coupled to the vacuum port 131a on the chamber body 112a in fluid communication with the suction channel 129a in the liner 133a. The opening 135a allows the suction channel 129a to be in fluid communication with the treatment region 140a within the chamber body 112a. The treatment area 140 is defined by the lower surface of the upper cover assembly 200a and the upper surface of the support assembly 300a and is surrounded by the inner liner 133a. The openings 135a may be of the same size and arranged equidistantly adjacent the liner 133a. In operation, one or more gases exiting the process chamber 1 900 flow through the opening 1 3 5 a through the liner 1 3 3 a and into the suction channel 1 29 9 a. The gas in the suction channel 1 2 9 a then flows through the vacuum 埠 1 3 1 a and flows into the vacuum pump 1 2 5 a.

參照第1 9圖,上蓋組件2 0 0 a包括多個彼此堆疊的零 件。在一或多個實施例中,上蓋組件 2 0 0 a包括上蓋邊緣 210a、當作第二電極220a的氣體輸送組件、及頂板250a。 第二電極220a耦接上蓋邊緣210上表面,並盡量與其有較 少之熱接觸。上蓋組件200a的零件較佳是由具高熱導與低 熱阻之材料所構成,例如具高度拋光表面的18合金。較佳 地,零件熱阻為小於約5xl(T4 m2K/W。 第二電極220a可包括一分散盤或喷灑頭(未繪示)。典 型的分散盤實質上為盤形,且包括複數個開口或通道以使 上蓋組件200 a流出的氣體均勻分散於基材表面。第二電極 2 2 0 a更可包括一鄰接分散盤的阻擋組件(未繪示)。阻擋組 72 1374951 件可使氣體均勻分散至分散盤背面。Referring to Fig. 19, the upper cover assembly 200a includes a plurality of components stacked on each other. In one or more embodiments, the upper cover assembly 200a includes an upper cover edge 210a, a gas delivery assembly as the second electrode 220a, and a top plate 250a. The second electrode 220a is coupled to the upper surface of the upper cover edge 210 and has as little thermal contact as possible. The components of the upper cover assembly 200a are preferably constructed of a material having a high thermal conductivity and a low thermal resistance, such as an 18 alloy having a highly polished surface. Preferably, the thermal resistance of the part is less than about 5 x 1 (T4 m2 K/W. The second electrode 220a may comprise a dispersing disc or a sprinkler head (not shown). A typical dispersing disc is substantially disc-shaped and includes a plurality of The opening or the passage is to uniformly disperse the gas flowing out of the upper cover assembly 200 a to the surface of the substrate. The second electrode 2 2 a may further comprise a blocking component (not shown) adjacent to the dispersing disc. The blocking group 72 1374951 can make the gas Disperse evenly to the back of the dispersion tray.

氣體供應面板(未繪示)一般用來提供一或多種氣體給 製程室19 00。特定氣體的使用依製程室19 00所欲進行的 製程而定=使用氣體的例子包括但不限於一或多種前驅 物、還原劑、催化劑、載氣 '淨化氣體、清洗氣體、或其 他混合氣體或其組合氣體。一般而言,引入製程室 1900 的一或多種氣體流進上蓋組件200a後,接著流過第二電極 2 2 0a而流入室體112a。視製程需要,可輸送任一數量的氣 體到製程室1 9 0 0,氣體可在製程室1 9 0 0中混合或在輸入 製程室1 900前即先混合。 使用時,一或多種製程氣體從氣體供應面板(未繪示) 導引到第二電極2 2 0a、接著流過阻擋組件(未繪示)、然後 流進製程室1900的處理區域140a,並接觸支撐組件3 00a 上之基材所暴露的表面。A gas supply panel (not shown) is typically used to provide one or more gases to the process chamber 19 00. The use of a particular gas depends on the process to be performed by process chamber 19 00. Examples of gases used include, but are not limited to, one or more precursors, reducing agents, catalysts, carrier gas 'purification gases, purge gases, or other mixed gases or Its combined gas. In general, one or more gases introduced into the process chamber 1900 flow into the upper cover assembly 200a, then flow through the second electrode 220a and into the chamber body 112a. Depending on the process, any quantity of gas can be delivered to the process chamber 1900. The gas can be mixed in the process chamber 1900 or mixed before entering the process chamber 1900. In use, one or more process gases are directed from a gas supply panel (not shown) to the second electrode 220a, then flow through a blocking component (not shown), and then flow to the processing region 140a of the ninth chamber 1900, and Contact the surface exposed by the substrate on support assembly 3 00a.

繼續參照第1 9圖,上蓋組件2 0 0 a更可包括第一電極 240a,以於上蓋組件200a中產生反應物種的電漿。在一實 施例中,第一電極240a支托在頂板250a上,且彼此電氣 隔絕。根據一或多個實施例,第一電極2 4 0 a耦接一電源 241a,第二電極220a則接地(即第二電極220a做為一電 極)。藉此,一或多種製程氣體的電漿可產生在第一電極 2 40a與第二電極220a(此實施例的氣體輸送組件)間的空間 中。電漿為完全限制在上蓋組件2 0 0 a内。因活化電漿並未 直接接觸室體200a中的基材,是以電漿屬於”遠端電漿”。 由於電漿為充份遠離基材表面,故可避免電漿破壞基材。 73 1374951 任何可活化氣體變成反應物種並保持反應物種之電毁 的電源皆可當作電源2 4 1 a。例如’可採用射頻(R F )、直流 (DC)或微波(MW)為基礎的放電技術。或者,可使用遠端活 化源(如遠端電漿產生器)來產生反應物種之電漿,其隨後 輸送到製程室1900»Continuing with reference to Figure 19, the upper cover assembly 200a may further include a first electrode 240a for generating a plasma of the reactive species in the upper cover assembly 200a. In one embodiment, the first electrode 240a is supported on the top plate 250a and is electrically isolated from each other. According to one or more embodiments, the first electrode 220a is coupled to a power source 241a, and the second electrode 220a is grounded (i.e., the second electrode 220a acts as an electrode). Thereby, the plasma of one or more process gases can be generated in the space between the first electrode 220a and the second electrode 220a (the gas delivery component of this embodiment). The plasma is completely confined within the upper cover assembly 2000. Since the activated plasma does not directly contact the substrate in the chamber body 200a, the plasma belongs to the "distal plasma." Since the plasma is sufficiently away from the surface of the substrate, the plasma can be prevented from damaging the substrate. 73 1374951 Any power source that activates a gas into a reactive species and maintains the electrical destruction of the reactive species can be used as a power source 2 4 1 a. For example, radio frequency (R F ), direct current (DC) or microwave (MW) based discharge techniques can be employed. Alternatively, a remote activation source (e.g., a remote plasma generator) can be used to generate the plasma of the reactive species, which is then delivered to the process chamber 1900 »

不一电仅zzua 1依驭程氣體與製程室19〇〇之操作 件來加熱》在一實施例中,加熱元件27〇a,如阻抗型加 器可輕接第一電極220a或分散盤。利用一連接第二電 22〇a或分散盤的熱電耦可調節溫度。 至少部分支撐組件30〇a可設在室體U2a内。支撐 件300a可包括一基材支撐裝置3i〇a,用來支撐基材(未 承)以於室體丨i2a内進行處理。基材支擇裝置3i〇a可連 升降裝置(未繪示),其延伸穿過官_ "ο 下牙避至體112a底面。升降 置(未繪示)可透過風箱(未繪 1穿不)而彈性密接於室體ll2a 以免升降裝置周圍洩漏真空。升 降裒置可使基材支撐In the embodiment, only the zzua 1 is heated by the operation gas of the process gas and the process chamber 19A. In an embodiment, the heating element 27A, such as a resistive type, can be lightly connected to the first electrode 220a or the dispersion disk. The temperature can be adjusted using a thermocouple that connects the second electric 22a or the dispersing disc. At least a portion of the support assembly 30A can be disposed within the chamber body U2a. The support member 300a may include a substrate supporting device 3i〇a for supporting the substrate (unsupported) for processing in the chamber body 丨i2a. The substrate-receiving device 3i〇a can be connected to a lifting device (not shown) which extends through the underside of the body to the bottom surface of the body 112a. The lifting and lowering (not shown) can be elastically adhered to the chamber body ll2a through the bellows (not shown) to prevent leakage of vacuum around the lifting device. Lifting and lowering device enables substrate support

3l〇a垂直移動於室體i12a中的声 " 干的處理位置和較低的傳輸 置。.傳輸位置略低於室體i丨2a彳日丨m 饰 , 12a側壁上的狹長閥口 l6〇a 根據一·或多個實施例,基材支 1牙瑕置310a具有平且圄从 面或實質上為平且圓的表面, 的 a,. 支撐基材於其上處理。 材支揮裝置3 1 0a較佳為由鉬 稱成。基材支撐裝置31 0a 在至體112a内垂直移動,如 〇a ^ , 可控制基材支撐裝置3】 與上羞組件200a間的距離。 31 在一或多個實施例中,其w 土柯(未繪示)可利用靜Φ + 空吸座固定於基材支撐裝置 靜電或: 上。在一或多個實施 74 1374951 中’基材可利用機械夹鉗(未繪示)(如傳统鉗環)放置在基 材支撐裝置3l〇a上。較佳地,基材為使用靜電吸座固定。 _基材^樓裝置31〇a可包括—或多個貫通的鑽孔(未繪 示)今納升舉銷(未繪不)。各升舉銷的組成材料一般為 陶瓷或含陶瓷的材料,並用來承接與搬運基材。 支撐組件300a的溫度受控於流通一或多個流道36〇a 的/瓜體机道3 6 0 a埋設於基材支撐裝置3丨〇 a的主體内。 較佳地,流道3 60a位在基材支撐駿置31〇a附近,而使支 撐裝置310a的基材接收面具有均勻的熱傳效果。流道36〇a 中的熱傳流截可用來加熱或冷卻基材支撐裝置3l〇a。適合 的熱傳流體例如包括水、氮氣、乙二烯醇、或其混合物。 支撐組件300a更可包括一埋設的熱電耦(未繪示),用以監 控基材支撐裝置310a支撐面的溫度。 操作時’基材支撐裝置3 1 0 a可提高到接近上蓋組件 200a的位置’以控制待處理基材的溫度。如此,基材可藉 由上蓋組件200a或分散盤的輕射熱而加熱,上蓋組件2〇〇a 或分散盤乃由加.熱元件.270a加熱。或者,基材可利用升舉 銷頂離基材支樓裝置310a,而靠近已加熱的上蓋.組件 200a - 用於本發明實施例之電漿辅助乾姓刻室與製程更詳細 的說明可參見美國專利申請案號60/547,83 9,名稱「用於 前段製程的原位乾式清洗室(In-Situ Dry Clean Chamber For Front End Of Line Fabrication)」,申請日為 2005 年 2 月22曰的申請案,其一併附上供作參考。3l〇a moves vertically to the sound processing position of the chamber i12a and the lower processing position. The transmission position is slightly lower than the chamber body i丨2a彳日 m decoration, the narrow valve port l6〇a on the side wall of 12a. According to one or more embodiments, the substrate support 1 tooth set 310a has a flat and abutting surface Or a substantially flat surface, a, a support substrate is treated thereon. The material fulcrum device 3 1 0a is preferably made of molybdenum. The substrate supporting device 31 0a is vertically moved within the body 112a, such as 〇a ^ , to control the distance between the substrate supporting device 3 and the upper shy component 200a. In one or more embodiments, the TW (not shown) may be fixed to the substrate support device static or by using a static Φ + suction holder. In one or more of the implementations 74 1374951, the substrate can be placed on the substrate support device 310a using mechanical clamps (not shown), such as conventional clamp rings. Preferably, the substrate is fixed using an electrostatic chuck. The _ base material unit 31A may include - or a plurality of through-holes (not shown) of the current lifting pin (not shown). The constituent materials of each lift pin are generally ceramic or ceramic-containing materials and are used to receive and transport substrates. The temperature of the support assembly 300a is controlled by the body of the one or more flow passages 36a/3a buried in the body of the substrate support device 3A. Preferably, the flow path 3 60a is located near the substrate support 31a, so that the substrate receiving surface of the support device 310a has a uniform heat transfer effect. The heat transfer section in the flow path 36A can be used to heat or cool the substrate support device 31a. Suitable heat transfer fluids include, for example, water, nitrogen, ethylenol, or mixtures thereof. The support assembly 300a may further include a buried thermocouple (not shown) for monitoring the temperature of the support surface of the substrate support device 310a. In operation, the substrate support device 3 10 a can be raised to a position close to the upper cover assembly 200a to control the temperature of the substrate to be treated. Thus, the substrate can be heated by the light-emitting heat of the upper lid assembly 200a or the dispersion tray, and the upper lid assembly 2a or the dispersion tray is heated by the heating element 270a. Alternatively, the substrate can be lifted off the substrate slab device 310a by the lift pins, adjacent to the heated upper cover. The assembly 200a - a more detailed description of the plasma-assisted dry chamber and process for use in embodiments of the present invention. U.S. Patent Application Serial No. 60/547,83, entitled "In-Situ Dry Clean Chamber For Front End Of Line Fabrication", filed on February 22, 2005 The application is attached for reference.

75 137495175 1374951

宮)超臨界清洗室 根據本發明之一些實施例,在進行沉積製程步 有機物與其他污染物會先利用超臨界清洗製程於乾 程室中從基材表面移除。各種氣體(如二氧化碳)以 界流體態取代有機溶劑來進行清洗。對展現超臨界 質的物質而言,當物質高於其臨界點,即大於臨界 臨界壓力時,氣相與液相的相分界將消失,物質則 超臨界流體相存在。物質若為超臨界流體相,其具 氣體性質與部分液體性質。例如,超臨界流體具有 體的擴散性與類似液體的溶解性。因此,超臨界流 良好的清洗特性,且可用來清洗標準濕式清洗製程 淨的基材結構形狀,例如高深寬比的接觸孔。 在此所稱的「超臨界流體」是指高於臨界點的物 密流體(d e n s e f 1 u i d )」是指位於臨界點或低於臨界 質。稠密流體較佳為包含位於臨界點或接近其臨界 質。在某些實施例中,稠密流體所含物質的密度至 界點上之物質之密度的五分之一。 根據一實施例,可施加超臨界流體於基材上來 材。根據另一實施例,可施加稠密流體於基材上來處 且無使用達超臨界態的物質。根據又一實施例,可 於超臨界流體態與稠密流體態的物質於基材上來 材。稠密流體具有類似超臨界流體的高度溶解性與名 清洗基材結構的方法包括施加超臨界流體至基 如壓力大於约1 000磅/平方英寸(Psi)、溫度至少約 驟前, 燥側製 其超臨 流體性 溫度與 以單一 有部分 類似氣 體具有 難以洗 質。「稠 點的物 點的物 少為臨 處理基 ,理之, 施加介 處理基 賽散性。 材上, 3 1°C 的 76 1374951 二氧化碟。二氧化瑞流II更可包含共溶劑,例如甲醇、界 面活性劑、螯合劑、及其組合物。利用此法可完全清洗基 材結構,而不需進行濕式清洗。Supercritical Cleaning Chamber According to some embodiments of the present invention, organic matter and other contaminants are first removed from the surface of the substrate by a supercritical cleaning process during the deposition process. Various gases (such as carbon dioxide) are washed by replacing the organic solvent with a fluid state. For substances exhibiting supercritical properties, when the substance is above its critical point, that is, greater than the critical critical pressure, the phase boundary between the gas phase and the liquid phase will disappear, and the substance will exist in the supercritical fluid phase. If the substance is a supercritical fluid phase, it has gas properties and partial liquid properties. For example, supercritical fluids have bulk diffusibility and liquid-like solubility. Therefore, the supercritical flow has good cleaning characteristics and can be used to clean the standard wet cleaning process of the substrate structure shape, such as high aspect ratio contact holes. As used herein, "supercritical fluid" means that the dense fluid (d e n s e f 1 u i d ) above the critical point means that it is at or below the critical point. The dense fluid preferably contains or is at a critical point. In some embodiments, the density of the material contained in the dense fluid is one-fifth the density of the material at the boundary. According to an embodiment, a supercritical fluid can be applied to the substrate. According to another embodiment, a dense fluid can be applied to the substrate without the use of a substance that reaches a supercritical state. According to a further embodiment, the material in the supercritical fluid state and the dense fluid state can be applied to the substrate. A dense fluid having a high solubility similar to a supercritical fluid and a method of cleaning a substrate structure includes applying a supercritical fluid to a substrate such as a pressure greater than about 1 000 psi (Psi), a temperature of at least about a few moments, and a dry side. The super-fluid temperature is difficult to wash with a single partially similar gas. "The object of the thick point is less than the treatment base. Reasonably, the application is based on the ground race. On the material, the 76 1374951 dioxide disc at 3 1 ° C. The dioxide dioxide II can contain a co-solvent. For example, methanol, surfactants, chelating agents, and combinations thereof. This method allows complete cleaning of the substrate structure without the need for wet cleaning.

第20圖為超臨界清洗室21 00之一實施例的剖面圖, 其可用於本發明之實施例。超臨界清洗室2100提供超臨界 流體及/或稠密流體至基材上。超臨界清洗室21〇〇包括一 密閉的製程封閉區2 1 0 8、一位於製程封閉區2 1 0 8的基材 支樓物2114、和一或多個連接基材支撐物2114的音波換 能器2 1 1 5。音波換能器2 1 1 5產生導引到基材表面的音波, 以於製程中攪拌流體。加熱元件2 1 32設在臨界清洗室2 1 00 壁内或附近’以於製程進行時加熱流體達一定溫度。超臨 界流體及/或稠密流體藉由幫浦/壓縮機2126以預定壓力 (一般為約1 000 psi至約5 000 psi)與預定溫度(一般至少為 約3 1 °C )流過流體管線2 1 2 3而達密閉的製程封閉區2 1 0 8, 接著再透過密閉製程封閉區2108的喷灑頭或擴散盤(未繪 示)而施加到基材。基材接觸超臨界流體的最佳時間可視基 材結構形狀(如深寬比)和待移除之污染物種類而定。當流 體流經流體管線2 1 2 3時,加熱元件2 1 4 3可選擇性加熱二 氧化碳流體至一預定溫度。 本發明實施例之超臨界清洗室的詳細說明可參見美國 專利申請案號1 1 /〇 3 8,4 5 6,名稱「超臨界流體及/或稠密流 體於半導體的應用(Using Supercritical and/or Dense Fluids in Semiconductor Applications)」,申請日為 2005 年 1月18曰的申請案,其一併附上做為參考。 (S 3 77 1374951 B.具SRD與原位IBC的無電電鍍系統 1.群集工具配置的應用Figure 20 is a cross-sectional view of one embodiment of a supercritical cleaning chamber 21 00 that may be used in embodiments of the present invention. Supercritical cleaning chamber 2100 provides supercritical fluid and/or dense fluid to the substrate. The supercritical cleaning chamber 21 includes a closed process enclosure 2 1 0 8 , a substrate support 2114 located in the process enclosure 2 1 0 8 , and one or more connection substrate support 2114 Energy 2 1 1 5 . The sonic transducer 2 1 1 5 produces an acoustic wave directed to the surface of the substrate to agitate the fluid during the process. The heating element 2 1 32 is disposed in or near the wall of the critical cleaning chamber 2 1 00 to heat the fluid to a certain temperature during the process. The supercritical fluid and/or dense fluid flows through the fluid line 2 by a pump/compressor 2126 at a predetermined pressure (typically from about 1 000 psi to about 5 000 psi) and a predetermined temperature (typically at least about 31 ° C). The closed process zone 2 1 0 8 is then applied to the substrate through a showerhead or diffusion disk (not shown) of the closed process enclosure 2108. The optimum time for the substrate to contact the supercritical fluid depends on the shape of the substrate structure (e.g., aspect ratio) and the type of contaminant to be removed. The heating element 2 1 4 3 selectively heats the carbon dioxide fluid to a predetermined temperature as it flows through the fluid line 2 1 2 3 . A detailed description of a supercritical cleaning chamber in accordance with an embodiment of the present invention can be found in U.S. Patent Application Serial No. 1 1 / 〇 3 8, 4 5 6, entitled "Supercritical Fluids and/or Dense Fluids in Semiconductor Applications (Using Supercritical and/or Dense Fluids in Semiconductor Applications), the application date is January 18, 2005, which is attached as a reference. (S 3 77 1374951 B. Electroless plating system with SRD and in-situ IBC 1. Application of cluster tool configuration

第11圖繪示群集工具200之一實施例,其一般包括無 電電鍍室及旋轉洗滌乾燥室。或者在進行濕式製程之前, 其可包括ALD阻障層沉積室、還原層沉積室、及/或催化 層沉積室。或者在沉積阻障層或催化層之前,其可包括電 漿加強乾蝕刻室或超臨界清洗室,以移除原生氧化物。群 集工具200的配置可用來處理基材結構,包括ALD或CVD 形成氮化鈕(TaN)、無電電鍍銅晶種層、及/或修復晶種層、 或無電電鍍填充内連線、依序沉積晶種層與填充間隙、或 沉積覆蓋層(例如銅)於現存内連線特徵結構上。若此實施 例為用來填充内連線、或先形成晶種層,再無電電鍍填充 間隙,則群集工具可結合IBC功能至無電電鍍雙製程室 上,以在基材移出濕式製程平台前,後沉積處理基材。Figure 11 illustrates an embodiment of a cluster tool 200 that generally includes an electroless plating chamber and a rotary wash drying chamber. Alternatively, it may include an ALD barrier layer deposition chamber, a reduction layer deposition chamber, and/or a catalytic layer deposition chamber before performing the wet process. Alternatively, prior to depositing the barrier or catalytic layer, it may include a plasma enhanced dry etch chamber or supercritical cleaning chamber to remove native oxide. The configuration of the cluster tool 200 can be used to process the substrate structure, including ALD or CVD to form a nitride button (TaN), an electroless copper plating seed layer, and/or a repair seed layer, or an electroless plating fill interconnect, sequentially deposited The seed layer and the fill gap, or a deposition cover layer (eg, copper) on the existing interconnect features. If this embodiment is used to fill the interconnect, or form a seed layer first, and then fill the gap without electroplating, the cluster tool can be combined with the IBC function to the electroless plating double process chamber to move the substrate out of the wet process platform. After deposition, the substrate is treated.

2.群集工具的配置說明 第11圖為群集工具200的示意圖,其一般包括無電電 鍍室及旋轉洗滌乾燥室。在此實施例中,製程站2 1 4、2 1 6 做為濕式製程平台2 1 3、與一般乾式製程站或電鍍群集工 具2 00之工作介面230間的連繫裝置。如此當基材正待濕 式處理時,基材可藉由放置在内站972而進入濕式製程平 台213。内站972通常位於製程站214、216上方或下方(為 使圖示清楚,其未繪於第11圖,然可參見第11A圖)。除2. Configuration Description of the Cluster Tool Fig. 11 is a schematic diagram of the cluster tool 200, which generally includes an electroless plating chamber and a rotary washing and drying chamber. In this embodiment, the process stations 2 1 4, 2 1 6 serve as a connection between the wet process platform 2 1 3 and the working interface 230 of a general dry process station or electroplating cluster tool 200. Thus, when the substrate is being wet treated, the substrate can be placed into the wet process platform 213 by being placed in the station 972. The internal station 972 is typically located above or below the process stations 214, 216 (for clarity of illustration, it is not depicted in Figure 11, but see Figure 11A). except

78 137495178 1374951

内站外,製程站214、216各包括一 SRD室,以於基材離 開濕式製程平台2 1 3前,進行最後的濕式處理步驟。或者, 製程站214、216可包括一結合的IBC/SRD室,其中斜面 清洗製程緊接在最終洗滌與乾燥製程之後。在此實施例 中,製程站202、204可包含一無電電鍍雙製程室,製程站 206、208可包含一第二無電電鍍雙製程室,而製程站210、 212可包含一第三無電電鍍雙製程室。各無電電鍍雙製程 室位在一製程封閉區302内。每一無電電鍍雙製程室還可 包括一基材傳輪裝置(為使圖示清楚,其未繪於第11圖, 然可參見第6圖),以在各製程封閉區302中傳輸基材於第 一與第二製程站之間。或者,每一無電電鍍雙製程室亦可 包括IB C室的功能,即後沉積清洗基材斜面與背面上多餘 的材料與污染物。Outside the station, the process stations 214, 216 each include an SRD chamber to perform the final wet processing step before the substrate exits the wet process platform 2 1 3 . Alternatively, the process stations 214, 216 can include a combined IBC/SRD chamber with the bevel cleaning process immediately after the final washing and drying process. In this embodiment, the process stations 202, 204 can include an electroless plating dual process chamber, the process stations 206, 208 can include a second electroless plating dual process chamber, and the process stations 210, 212 can include a third electroless plating double Process room. Each electroless plating dual process chamber is located within a process enclosure 302. Each of the electroless plating double process chambers may further include a substrate transfer device (not shown in FIG. 11 for clarity of illustration, but see FIG. 6) to transport the substrate in each process enclosure 302. Between the first and second process stations. Alternatively, each electroless plating dual process chamber may also include the function of the IB C chamber, which is to deposit excess material and contaminants on the bevel and back side of the substrate.

位在群集工具乾燥側的製程站 235、235a可當作一 ALD或CVD室,以於濕式製程前來沉積阻障層及/或催化 層》在一些實施例中,催化層為不使用含碳前驅物所沉積 的含釕膜層。在另一實施例中,一乾蝕刻室或超臨界清洗 室可設於製程站235、235a。 ' 3.製程順序 本發明實施例之處理基材的典型順序將參照第1 2 A、 12B、12C、12D及12E圖說明於下。 a)沉積單層金屬 若群集工具200為用來沉積單層金屬於基材上,即形 79 1374951The process stations 235, 235a located on the dry side of the cluster tool can be used as an ALD or CVD chamber to deposit a barrier layer and/or a catalytic layer prior to the wet process. In some embodiments, the catalyst layer is not used. A ruthenium-containing film deposited by a carbon precursor. In another embodiment, a dry etch chamber or supercritical cleaning chamber may be provided at the process stations 235, 235a. 3. Process Sequence The typical sequence of the substrate to be treated in the examples of the present invention will be described below with reference to Figures 1 2 A, 12B, 12C, 12D and 12E. a) Deposition of a single layer of metal If the cluster tool 200 is used to deposit a single layer of metal on a substrate, ie shape 79 1374951

成晶種層、填充間隙或形成内連線覆蓋層,則 鍍製程最好全在單一無電電鍍雙製程室中進行 施例,第二與第三無電電鍍雙製程室可與第一 製程室平行運作,且以預定順序進行相同的沉 理其他基材。此基材處理順序顯示於第12A、 圖。 在步驟1200中,於進行濕式製程前,先在 235的製程室形成阻障層、還原層、及/或催化 基材。根據-實施例,設於製程站2 3 5的製程 述四氧化釕製程來沉積催化層。根據另一實施 障層、還原層、及/或催化層預處理基材前,先 介面230的乾蝕刻室或超臨界清洗室中移除基 氧化物。 在步驟1201中,工作介面機械手臂232將 繫製程站214或216的内站972。 在步驟1202中,主要平台機械手臂220將 一無電電鍍雙製程室的第一製程站,即製程* 或 210。如此,基材可在任一無電電鍍雙製程 電電鍍步驟1202,並繼續進行步驟1203。在此 基材不會在一個以上的無電電鍍雙製程室進行 部分無電電鍍製程,基材可利用内部基材傳輸 在無電電鍍雙製程室内的製程站之間移動(即 與204、製程站206與208、或製程站210與 所述,無電電鍍製程步驟可分開在無電電鍍雙 所有無電電 。根據一實 無電電鍍雙 積製程來處 12B 及 12C 設於製程站 層來預處理 室可採用上 例,在以阻 在位於工作 材上的原生 基材放到連 基材傳輸至 ;202 ' 206 室中進行無 實施例中, 處理。如同 裝置605而 製程站 202 212)。如上 製程室的二 80 1374951If the seed layer is formed, the gap is filled, or the interconnect layer is formed, the plating process is preferably performed in a single electroless plating double process chamber, and the second and third electroless plating double process chambers may be parallel to the first process chamber. Operate and perform the same process on the other substrates in a predetermined order. This substrate processing sequence is shown in Fig. 12A and Fig. In step 1200, a barrier layer, a reduction layer, and/or a catalytic substrate are formed in a process chamber of 235 prior to performing the wet process. According to an embodiment, the process of the process station 253 is described in the osmium tetroxide process to deposit a catalytic layer. The base oxide is removed from the dry etch chamber or supercritical cleaning chamber of the interface 230 prior to pretreating the substrate according to another embodiment of the barrier layer, the reduction layer, and/or the catalytic layer. In step 1201, the working interface robot 232 will be the internal station 972 of the process station 214 or 216. In step 1202, the main platform robot arm 220 will electrolessly electroplate the first process station of the dual process chamber, i.e., process * or 210. Thus, the substrate can be electroplated in any electroless plating dual process electroplating step 1202 and proceeds to step 1203. In this case, the substrate is not subjected to a partial electroless plating process in more than one electroless plating double process chamber, and the substrate can be transferred between the process stations in the electroless plating double process chamber by using the internal substrate transfer (ie, with 204, process station 206 and 208, or the process station 210 and the electroless plating process can be separated in the electroless plating, and all the electroless electricity is separated. According to a real electroless electroplating double-product process, 12B and 12C are disposed at the process station layer, and the pretreatment chamber can be used as in the above example. The processing is carried out in a non-embodiment, as in the case of the device 605, by placing the native substrate on the workpiece on the substrate and transferring it to the substrate 202; 206. As above, the process room of the two 80 1374951

個製程站中進行;或者,所有沉積步驟可在各無電 程站中進行。 若步驟 1202為以填充内連線來處理基材,則 IBC製程。在一實施例中,專用IBC室可用來進行 程。在另一實施例中,無電電鍍室或SRD室可包括 的功能,其配合第3及4圖描述如上。IBC製程移 材斜面上多餘的沉積物、和殘留在基材背面的污染4 製程可緊接在無電電鍍步驟 1202後於無電電鍍室 基材,或者IBC製程可在基材傳送到一外部IBC 1 專用IBC室或結合之IBC/SRD室)才進行。因此, 實施例有三種可能的流程,其依IB C製程需求決定 流程分別繪示於第1 2 A、1 2 B及1 2 C圖。這些流程 驟1200、1201與1202均為相同。 第1 2A圖繪示處理基材的順序,其不包含IBC 此流程例如可用來沉積無電電鍍覆蓋層,如第1 B 蓋層105。完成步驟1200-1202後為進行步驟1204 驟1 204中,主要平台機械手臂220將基材傳輸到設 站214或216的SRD室,以進行最終洗滌與乾燥基 步驟1205中,當完成SRD製程後,工作介面機械手 將基材移出SRD室與濕式製程平台213。無論是用 内連線覆蓋層或是形成無電電鍍晶種層,此實施例 行操作多個無電電鍍雙製程室,因而具有高產率。 第12B圖繪示處理基材的順序,其中部分或全 電電鍍室係設置成進行IB C製程,此電鍍室係配合 電鍍製 需進行 IBC製 IBC室 除了基 ί勿。IBC 中處理 g後(即 本發明 。這些 中的步 製程; 圖的覆 。在步 於製程 材。在 臂23 2 來形成 皆可平 部的無 第7及In one process station; or, all deposition steps can be performed in each no-station station. If step 1202 is to process the substrate with a fill interconnect, then the IBC process. In one embodiment, a dedicated IBC room is available for the process. In another embodiment, the electroless plating chamber or SRD chamber may include functions as described above in connection with Figures 3 and 4. Excess deposits on the IBC process and the contamination on the back side of the substrate can be applied to the electroless plating chamber substrate immediately after the electroless plating step 1202, or the IBC process can be transferred to an external IBC 1 substrate. The dedicated IBC room or the combined IBC/SRD room) is only carried out. Therefore, there are three possible processes for the embodiment, which are shown in the 1 2 A, 1 2 B, and 1 2 C charts according to the IB C process demand decision process. These steps 1200, 1201 and 1202 are all the same. Figure 12A depicts the sequence of processing the substrate, which does not include IBC. This process can be used, for example, to deposit an electroless plating overlay, such as the first B cap layer 105. After completing steps 1200-1202 to perform step 1204, step 1 204, the main platform robot arm 220 transfers the substrate to the SRD chamber of station 214 or 216 for final washing and drying step 1205, after completing the SRD process. The working interface robot moves the substrate out of the SRD chamber and the wet processing platform 213. This embodiment operates a plurality of electroless plating double process chambers, whether with an interconnect layer or an electroless plating seed layer, and thus has a high yield. Fig. 12B is a view showing the order of processing the substrate, wherein a part or all of the electroplating chamber is set to perform an IB C process, and the plating chamber is combined with electroplating to perform an IBC chamber in addition to the base. After processing g in IBC (i.e., the present invention, the step process in these; the overlay of the figure is in the process of the process. The formation of the arm 23 2 is not uniform.

ρ β». V 81 1374951ρ β». V 81 1374951

8圖而描述之。在步驟1203b中,完成步驟1200-1202後, 基材在移出雙製程室前會先以IBC製程處理。在步驟12〇4 中’主要平台機械手臂220將基材傳輪到設於製程站214 或21 6的SRD室’以進行最終洗滌與乾燥基材。在步驟 1205中’當完成SRD製程後,工作介面機械手臂232將 基材移出SRD至與濕式製程平台213。由於此實施例可同 時操作高達三個無電電鍍雙製程室,以在基材移出濕式製 程平台前’無電電鍍填充基材上的内連線特徵結構、及原 位清洗基材斜面,故其具有高產率β8 is described. In step 1203b, after steps 1200-1202 are completed, the substrate is first processed in an IBC process before being removed from the dual process chamber. In step 12〇4, the main platform robot arm 220 transfers the substrate to the SRD chamber provided at the process station 214 or 216 for final cleaning and drying of the substrate. In step 1205, upon completion of the SRD process, the working interface robot 232 moves the substrate out of the SRD to the wet process platform 213. Since this embodiment can simultaneously operate up to three electroless plating double process chambers, the 'internal wiring feature on the electroless plating filling substrate and the in-situ cleaning substrate bevel before the substrate is removed from the wet processing platform, so High yield β

第12C圖繪示處理基材的順序,其包含ibc製程,且 濕式製程平台2 1 3包括一結合之IBC/SRD室。在步驟1 203c 中,完成步驟12 00-12 02後,主要平台機械手臂2 20將基 材傳輸到設於製程站214或216的IBC/SRD室,以進行IBC 製程。在步驟1 204中,基材在IBC/SRD室内以最終SRD 製程處理。在步驟12〇5 t,當完成SRD製程後,工作介 面機械手臂232將基材移出IBC/SRD室與濕式製程平台 2 1 3。由於此實施例可同時操作高達三個無電電鍍雙製程 室’以在基材移出濕式製程平台前,無電電鍍填充基材上 的内連線特徵結構及原位清洗基材斜面,故其具有高產率》 b)沉積多層金屬 群集工具200之一實施例有利於在二或多個無電電鍍 室中處理各基材。在此實施例中,一或多個無電電鍍雙製 裎室為用來沉積及/或修復晶種層,其餘的無電電鍍雙製程 室則用來填充間隙。例如,位於製程站202/204與製程站Figure 12C depicts the sequence of processing substrates comprising an ibc process, and the wet process platform 213 includes a combined IBC/SRD chamber. In step 1 203c, after completion of steps 12 00-12 02, the primary platform robot arm 20 20 transfers the substrate to the IBC/SRD chamber located at the process station 214 or 216 for the IBC process. In step 1204, the substrate is processed in a final SRD process in an IBC/SRD chamber. At step 12〇5 t, upon completion of the SRD process, the working interface robot 232 moves the substrate out of the IBC/SRD chamber and the wet processing platform 2 1 3 . Since this embodiment can simultaneously operate up to three electroless plating dual process chambers to have an interconnect feature on the electroless plating fill substrate and in situ cleaning the substrate bevel before the substrate is removed from the wet process platform, High Yields b) One embodiment of depositing a multilayer metal cluster tool 200 facilitates processing of each substrate in two or more electroless plating chambers. In this embodiment, one or more electroless plating dual chambers are used to deposit and/or repair the seed layer, and the remaining electroless plating dual chambers are used to fill the gap. For example, located at process station 202/204 and the process station

82 137495182 1374951

206/208的雙製程室可用來沉積晶種層,而位於 2 1 0/2 1 2的雙製程室可用來填充間隙(參見第1 i圖: 製程站202/2 04、20 6/2 08、210/2 12可在不影響本 精神下重新配置,上述應用僅為舉例說明本發明而 此實施例的製程順序繪示於第1.2D圖。步驟 1201、1204、1205 與上述第 12A、12B 及 12C 圖中 相同。根據此流程’無電電鍵分為二步驟12〇2a, 進行。在步驟1 202a中,基材從其中一個内站傳輸 站202或206,以沉積晶種層。在步驟1202b中, 站202/204或206/208的雙製程室中完成晶種層沉 基材接著傳輸到製程站2 1 0/2 1 2,以填充間隙。根 施例’各無電電鍍雙製程室的每—製程站可視為獨 電電錄室。如此’在步驟1202a中,晶種層可在任 站202 、 204 、 206或208沉積;在步驟12〇2b中, 鍍填充間隙可在製程站210或212進行。再者,若 濕式處理前已在製程站235中預處理而形成催化層 程站202、204 ' 206或208可當作獨立的無電電鍍 由於無電電鍍填龙間隙的步鄉1 2 02 b通常會在 面上形成多餘的沉積物’因此基材在移出濕式製 213前,可先以IBC製程處理(步驟12〇3)。 第12D圖的步驟1203可依上述步驟i2〇3b 1 203c進行,其視濕式製程平台2n的配置而定。 鐘雙製程室或SRD室均兼具進行IBC製程的能力。 在步驟1204、1205中’基材為經過最終洗滌舆 製程站 丨。各组 發明之 已。 1200、 的步驟 、1202b 到製程 於製程 積後, 據一實 立的無 一製程 無電電 基材於 ,則製 室。 基材斜. 程平台 或步驟 無電電 ^乾燥, 83 1374951The 206/208 dual process chamber can be used to deposit the seed layer, while the 2 1 0/2 1 2 dual process chamber can be used to fill the gap (see Figure 1 i: Process Station 202/2 04, 20 6/2 08) 210/2 12 can be reconfigured without affecting the spirit of the present invention. The above application is merely illustrative of the present invention, and the process sequence of this embodiment is shown in Figure 1.2D. Steps 1201, 1204, 1205 and the above 12A, 12B This is the same as in the 12C diagram. According to this flow, 'no electric key is divided into two steps 12〇2a. In step 1 202a, the substrate is transferred from one of the internal stations 202 or 206 to deposit a seed layer. In 1202b, the seed layer sinking substrate is completed in the dual process chamber of station 202/204 or 206/208 and then transferred to the process station 2 1 0/2 1 2 to fill the gap. The root example 'each electroless plating double process chamber Each of the process stations can be considered as a single electric recording room. Thus, in step 1202a, the seed layer can be deposited at any station 202, 204, 206 or 208; in step 12〇2b, the plating fill gap can be at the process station 210 or 212. Further, the catalytic layer station 202 is formed by pretreatment in the process station 235 prior to the wet processing. 204 '206 or 208 can be used as a separate electroless plating. Since the step of the electroless plating fills the gap, the 1 2 02 b usually forms extra deposits on the surface. Therefore, the substrate can be removed before the wet system 213 is removed. IBC process processing (step 12〇3). Step 1203 of Fig. 12D can be performed according to the above steps i2〇3b 1 203c, depending on the configuration of the wet process platform 2n. The clock double process chamber or the SRD chamber are both performed. The ability of the IBC process. In steps 1204, 1205, 'the substrate is the final wash 舆 process station 丨. Each group of inventions. 1200, the steps, 1202b to the process after the process, according to a real process without a process No electro-electric substrate, then chamber. Substrate tilt. Platform or step without electricity ^ Dry, 83 1374951

並移出濕式製程平台 213。此實施例可依序沉積無電 晶種層於基材上、無電電鍍填充基材上的内連線特 構、以及在移出濕式製程平台2 1 3前,原位清洗基材詞 根據一實施例,在進行濕式製程前,可先沉積阻障層 材上,以增進後續形成之金屬層的黏著性。相較於先 術,此沉積順序可降低晶種層在填充間隙前的氧化量 化差異量。另外,只需要單一製程平台即可完成三道 步驟,故可減少設備與製造成本。 c)包含中間洗滌步驟的無電電鍍製程 本發明之製程順序的第三實施例包括在無電電鍍 程室的第一製程站處理後且在第二製程站處理前,進 間旋轉洗滌乾燥製程。此流程特別適合第二無電電鍍 需要完全洗滌與乾燥之基材的狀況。其基材處理順序 於第12E圖。步驟1200、1201、1204與上述第12A、 及12C圖中的步驟相同。 如第12E圖所示,步驟1202c接在步驟1201之 即基材傳輸到濕式製程平台2 1 3。在步驟1 202c中, 為傳送到無電電鍍雙製程室的第一製程站(如製 202、206或210),並進行無電電鍍製程。處理基材的 可為一完整的無電電鍍製程或為部分初始步驟(如預 洗、活化、及後活化清洗),以選擇性沉積或非選擇性 催化層。 在步驟1202d中,基材為傳送到一 SRD室,例如 室400,以利用上述第4圖之SRD製程來洗滌及/或乾 電鍍 徵結 -面。 至基 前技 與氧 沉積 雙製 行中 製程 繪示 1 2B 後, 基材 程站 製程 備清 沉積 SRD 燥基 84 1374951And remove the wet process platform 213. This embodiment can sequentially deposit the electroless seed layer on the substrate, the interconnect structure on the electroless plating filling substrate, and the in-situ cleaning of the substrate word according to an implementation before moving out of the wet process platform 2 1 3 For example, before performing the wet process, the barrier layer may be deposited to improve the adhesion of the subsequently formed metal layer. This deposition sequence reduces the amount of oxidation variation of the seed layer before filling the gap compared to the prior art. In addition, only a single process platform is required to complete three steps, which reduces equipment and manufacturing costs. c) Electroless Plating Process Comprising Intermediate Washing Steps A third embodiment of the process sequence of the present invention includes rotating the wash drying process after processing at the first process station of the electroless plating chamber and prior to processing at the second process station. This process is particularly suitable for the second electroless plating condition where the substrate is completely washed and dried. The substrate processing sequence is shown in Fig. 12E. Steps 1200, 1201, and 1204 are the same as those in the above-described 12A and 12C drawings. As shown in Fig. 12E, step 1202c is followed by step 1201 where the substrate is transferred to the wet process platform 2 1 3 . In step 1 202c, a first process station (e.g., 202, 206 or 210) for transfer to an electroless plating dual process chamber is performed and an electroless plating process is performed. The substrate may be treated as a complete electroless plating process or as part of an initial step (e.g., pre-wash, activation, and post-activation cleaning) to selectively deposit or non-selectively catalyze layers. In step 1202d, the substrate is transferred to an SRD chamber, such as chamber 400, for washing and/or dry plating of the junction-surface using the SRD process of Figure 4 above. To the base technology and oxygen deposition double line in the process of drawing 1 2B, the substrate station process preparation clear deposition SRD dry base 84 1374951

材。 在步驟1202e中,基材為傳送到無電電鍍雙製 第二製程站(如製程站204、208或212),並加以處 理基材的製程可為完成已實施於基材的無電電鍍製 者若第一金屬層已於步驟1202c沉積,而第二金屬 無電電鍍而沉積。或者,若需要進行IBC製程且無 雙製程室具有IB C室的功能,則最終沉積步驟( 12 02 e)亦可包括配合第3圖所述之IBC製程來處理 在步驟1204、1205中,基材為經過最终洗滌婆 並移出濕式製程平台213。當製程站214與216為 IBC/SRD室時,步驟1204可同時包括IBC與SRD 4.製程室說明 本發明實施例包括將多個基材處理室合併至單 工具,處理室包括無電電鍍室' SRD室、及ALD室 室。這些處理室與其進行之製程的例子已說明於上 C.具刷箱(BrushBox)與SRD室的無電電鍍系叙 1.群集工具配置的應用 根據第1 1及1 1 A圖繪示之實施例,群集工具 括無電電鍍室、刷箱基材清洗室、和旋轉洗滌乾燥 配置方式可沉積覆蓋層至密集的内連線特徵結構上 無電電鍍過程中移除基材表面上鬆散的金屬粒子, 的缺陷很少。其他應用還包括沉積無電電鍍晶種層material. In step 1202e, the substrate is transferred to an electroless plating double process second process station (such as process station 204, 208 or 212), and the process of processing the substrate can be completed by an electroless plating system that has been implemented on the substrate. The first metal layer has been deposited at step 1202c and the second metal is deposited without electroless plating. Alternatively, if an IBC process is required and the double process chamber has the function of the IB C chamber, the final deposition step (12 02 e) may also include processing the substrate in steps 1204, 1205 in conjunction with the IBC process described in FIG. In order to pass the final washing and remove the wet process platform 213. When process stations 214 and 216 are IBC/SRD chambers, step 1204 can include both IBCs and SRDs. 4. Process chambers. Embodiments of the invention include incorporating multiple substrate processing chambers into a single tool, and the processing chamber includes an electroless plating chamber. SRD room, and ALD room. Examples of processes and processes performed by these processes have been described in the above. C. Electroless plating of BrushBox and SRD chambers. 1. Application of cluster tool configuration. Embodiments according to FIGS. 1 1 and 1 1 A. The cluster tool includes an electroless plating chamber, a brush box substrate cleaning chamber, and a spin-wash drying configuration to deposit a cover layer to a dense interconnecting feature on the surface of the electroless plating process to remove loose metal particles on the surface of the substrate. There are few defects. Other applications include depositing electroless plating seed layers

程室的 理。處 程,或 層可由 電電鍍 :即步驟 基材。 f乾燥, 結合的 製程。 一群集 或CVD 200包 室。此 ,且在 故產生 及無電 85 1374951 電鍍填充間隙。 2.群集工具配置的概述The management of the process room. The process, or layer, can be electroplated: the step substrate. f dry, combined process. A cluster or CVD 200 compartment. This, and the resulting and no electricity 85 1374951 plating fill gap. 2. Overview of cluster tool configuration

在一實施例t,製程站2M可做為濕式製程平台213、 與一般乾式製程站或電鍍群集工具200之工作介面230間 的連繫裝置。如第11A圖所示,濕式製程平台213的SRD 室和一内站972為設在製程站214。内站972可位於SRD 室上方或下方。根據一實施例,製程站216係設置為一刷 箱2 1 6 a,以進行基材之後沉積清洗(參見第1 1 A圖)。刷 箱2 1 6 a可接收水平或垂直定位的基材。在此實施例中,製 程站202、2 04可包含一無電電鍍雙製程室,製程站206、 208可包含一第二無電電鍍雙製程室,而製程站210、212 可包含一第三無電電鍍雙製程室。這些製程站 202/204、 206/208、210/212可重新排列而不會影響本發明之機能, 上述配置僅為說明本發明之精神而已。各無電電鍍雙製程 室位在一製程封閉區302内。每一無電電鍍雙製程室還可 包括一基材傳輸裝置(未繪示),以在各製程封閉區302中 傳輸基材於第一與第二製程站之間。或者,每一無電電鍍 雙製程室亦可包括IB C室的功能,用以後沉積清洗基材斜 面與背面上多餘的材料與污染物。此種濕式製程平台2 1 3 的配置方式可用來沉積無電電鍍覆蓋層至内連線特徵结 構、沉積無電電鍍晶種層至基材結構、無電電鍍填充内連 線特徵結構、或依序沉積晶種層與填充間隙。 對非選擇性無電電鍍製程而言,乾燥側之製程站 2 3 5In an embodiment t, the process station 2M can be used as a connection between the wet process platform 213 and the working interface 230 of a general dry process station or electroplating cluster tool 200. As shown in FIG. 11A, the SRD chamber and an internal station 972 of the wet process platform 213 are disposed at the process station 214. The inner station 972 can be located above or below the SRD chamber. According to an embodiment, the process station 216 is configured as a brush box 2 1 6 a for deposition cleaning after substrate deposition (see Figure 1 1 A). The brush box 2 1 6 a can receive substrates that are positioned horizontally or vertically. In this embodiment, the process stations 202, 204 may include an electroless plating dual process chamber, the process stations 206, 208 may include a second electroless plating dual process chamber, and the process stations 210, 212 may include a third electroless plating Double process room. The process stations 202/204, 206/208, 210/212 may be rearranged without affecting the functionality of the present invention, and the above configurations are merely illustrative of the spirit of the present invention. Each electroless plating dual process chamber is located within a process enclosure 302. Each of the electroless plating dual process chambers may further include a substrate transfer device (not shown) for transporting the substrate between the first and second process stations in each of the process enclosures 302. Alternatively, each electroless plating dual process chamber may also include the functionality of the IB C chamber for subsequent deposition of excess material and contaminants on the bevel and back side of the substrate. The wet process platform 2 1 3 can be configured to deposit an electroless plating overlay to an interconnect feature, deposit an electroless plating seed layer to a substrate structure, electroless plating fill interconnect features, or sequentially deposit Seed layer and filling gap. For non-selective electroless plating processes, the drying side of the process station 2 3 5

86 137495186 1374951

可為一 ALD室或CVD室,以於濕式處理前沉積阻障層及/ 或催化層。或者,位於製程站235a的預沉積乾蝕刻室可包 含在工作介面230内,以移除基材上的原生氧化物(參見第 1 1 圖)。 3.製程順序 本發明實施例之處理基材的典型流程 1 3 00將參照第 1 3圖說明於下。 在步驟1301中,一或多道無電電鍍步驟可用來處理基 材。第12A與12B、或12C與12D、或12E圖的製程順序 (即步驟1201與1202、或步驟1201、1202a與1202b、或 步驊 1201、1202c、1202d與 1202e)皆可用於本發明實施 例之無電電鍍製程。但於完成無電電鍍後,其並不直接將 基材傳送到SRD室(如第12A、12B及12C圖所示)’而是 先完成刷箱基材清洗。或者如上所述,若無電電鍍雙製程 室具有IBC室的功能,則最終沉積步驟(即步驟1 202、2303b 或1 202e)可包括進行IBC製程來處理基材。 在步驟1302中,主要平台機械手臂220將基材從無電 電鍍室傳送到刷箱 216a,以進行基材表面刷洗製程(將配 合第 8A圖描述於後)來移除多餘的表面污染物,如第1B 圖中變大的金屬粒子104b。 在步驟1 3 03中,基材傳輸到SRD室,以利用上方配 合第4圖所述的SRD製程來進行最終洗滌與乾燥步驟。 在步驟13 04中,基材為從SRD室移出濕式製程平台 (S ) 87 1374951 213外。合併刷箱室與濕式製程平台213可在内連線特徵 結構上形成很少缺陷的覆蓋層。 4.刷箱室說明It can be an ALD chamber or a CVD chamber to deposit a barrier layer and/or a catalytic layer prior to wet processing. Alternatively, a pre-deposition dry etch chamber located at process station 235a may be included in working interface 230 to remove native oxide on the substrate (see Figure 1 1). 3. Process Sequence A typical process for treating a substrate according to an embodiment of the present invention will be described below with reference to FIG. In step 1301, one or more electroless plating steps can be used to treat the substrate. The process sequences of the 12A and 12B, or 12C and 12D, or 12E diagrams (ie, steps 1201 and 1202, or steps 1201, 1202a and 1202b, or steps 1201, 1202c, 1202d, and 1202e) may be used in embodiments of the present invention. Electroless plating process. However, after the electroless plating is completed, it does not directly transfer the substrate to the SRD chamber (as shown in Figures 12A, 12B, and 12C), but the cleaning of the brush box substrate is completed first. Alternatively, as described above, if the electroless plating dual process chamber has the function of an IBC chamber, the final deposition step (i.e., steps 1 202, 2303b or 1 202e) may include performing an IBC process to treat the substrate. In step 1302, the primary platform robot arm 220 transfers the substrate from the electroless plating chamber to the brush box 216a for substrate surface brushing process (described in conjunction with Figure 8A) to remove excess surface contaminants, such as The metal particles 104b which become larger in Fig. 1B. In step 133, the substrate is transferred to the SRD chamber for the final washing and drying step using the SRD process described above in conjunction with Figure 4. In step 134, the substrate is removed from the SRD chamber out of the wet process platform (S) 87 1374951 213. The combined brush box and wet process platform 213 can form a cover layer with few defects on the interconnect features. 4. Brush box description

根據群集工具200之一實施例,刷箱室為用來在基材 移出濕式製程平台前,後沉積清洗基材。刷箱室一般是在 CMP製程後移除殘留基材表面的污染物。刷箱室通常是利 用機械洗滌裝置來清洗或擦洗基材表面的殘留物,其中機 械洗滌裝置可採用聚醋酸乙烯酯(PVA)刷、其他多孔或海 绵狀材料組成的刷子、或尼龍鬃刷等。然群集工具200之 一實施例也可使用刷箱室來移除無電電鍍時形成於基材表 面上鬆散結合之金屬污染物,例如變大的金屬粒子 104b(參見第1B圖)。此步驟可大幅減少與無電電鍍覆蓋層 至内連線特徵結構相關的缺陷。According to one embodiment of the cluster tool 200, the brush box is used to deposit the cleaning substrate before the substrate is removed from the wet process platform. The brush box chamber generally removes contaminants from the surface of the residual substrate after the CMP process. The brush box usually uses a mechanical washing device to clean or scrub the residue on the surface of the substrate. The mechanical washing device can use a polyvinyl acetate (PVA) brush, a brush composed of other porous or sponge materials, or a nylon brush. . However, an embodiment of the cluster tool 200 can also use a brush chamber to remove loosely bound metal contaminants formed on the surface of the substrate during electroless plating, such as enlarged metal particles 104b (see Figure 1B). This step can significantly reduce the defects associated with electroless plating overlays to interconnect features.

刷箱室一般為藉由降低基材至圓筒狀旋轉刷之間,而 清洗垂直定位的基材。基材本身亦藉助其下的動力滾筒而 轉動。清洗液藉由喷灑喷嘴及/或洗滌刷.而施加到基材。 第8A圖為刷箱洗滌裝置..之一實施例的立體側圖,在 此稱之為洗滌裝置1 1。洗滌裝置1 1包括一組PVA刷1 3 a 與13b。各PVA刷具有複數個遍佈其表面且為凸起的凸塊 (在此為凸塊1 5 )、和複數個位於其間的凹處1 7。樞軸托架 1 8支撐P VA刷1 3 a與1 3 b,以移動P VA刷1 3 a與1 3 b與基 材支撐物19上的基材W1接觸或不接觸,而使PVA刷13a 與1 3 b於關閉位置與打開位置間移動,進而取出或***基The brush box chamber generally cleans the vertically positioned substrate by lowering the substrate to between the cylindrical rotating brushes. The substrate itself is also rotated by the underlying power roller. The cleaning solution is applied to the substrate by spraying a nozzle and/or a scrubbing brush. Figure 8A is a perspective side view of one embodiment of a brush box washing apparatus, referred to herein as a washing apparatus 11. The washing device 1 1 includes a set of PVA brushes 1 3 a and 13b. Each PVA brush has a plurality of bumps (here, bumps 15) spread over its surface and a plurality of recesses 17 therebetween. The pivot bracket 18 supports the P VA brushes 1 3 a and 1 3 b to move the P VA brushes 1 3 a and 1 3 b into contact with or not in contact with the substrate W1 on the substrate support 19, thereby making the PVA brush 13a and 1 3 b move between the closed position and the open position, and then the base is removed or inserted

C S 88 1374951C S 88 1374951

材W1於二者之間。洗滌裝置u還包括基材支撐物19, 用以支撐與轉動基材W1。在一實施例中,基材支撐物19 可包括複數個具溝槽的滾輪19a-19c,以垂直支撐基材 W1。第一馬達Ml耦接PVA刷i3a與13b,以轉動pVA刷 13a與13b。第二馬達M2耦接基材支撐滾輪I9a-19c,以 轉動滾輪19a-19c。洗滌裝置n更可包括複數個喷灑喷嘴 21’其透過供應管25而連接至流體源23。嘖灑喷嘴21可 在洗滌基材的過程中,噴灑流體(如去離子水、S C 1、稀釋 的氫氟酸、或其他清洗用的溶液)至基材W1表面或PVA 刷1 3 a與1 3 b。或者,流體可同往常般由p v a刷1 3 a與1 3 b 提供。The material W1 is between the two. The washing device u further includes a substrate support 19 for supporting and rotating the substrate W1. In one embodiment, the substrate support 19 can include a plurality of grooved rollers 19a-19c for vertically supporting the substrate W1. The first motor M1 is coupled to the PVA brushes i3a and 13b to rotate the pVA brushes 13a and 13b. The second motor M2 is coupled to the substrate supporting rollers I9a-19c to rotate the rollers 19a-19c. The washing apparatus n may further include a plurality of spray nozzles 21' connected to the fluid source 23 through the supply pipe 25. The squirting nozzle 21 can spray a fluid (such as deionized water, SC 1, diluted hydrofluoric acid, or other cleaning solution) to the surface of the substrate W1 or the PVA brush 1 3 a and 1 during the process of washing the substrate. 3 b. Alternatively, the fluid can be supplied as usual by pv a brushes 1 3 a and 1 3 b.

在典型的刷洗製程中,基材W1例如可利用下述第9 A 圖之基材邊緣握爪裝置971而放到基材支撐物19上。PVA 刷1 3 a與1 3 b可分開設置’以使基材w 1放置到基材支撐 物19上。當基材W1靜置於基材支撐物19的基材支撐滾 輪1 9 a - 1 9 c後’基材支撐滾輪丨9 a _丨9 c即以約2 〇 r p m至約 200 rpm的轉速轉動基材wi。PVA刷13a與13b的轉速約 為120 rpm至400 rpm,其並移動而接觸基材wi»接著經 由喷灑喷嘴21、PVA刷13a與13b、或同時使用兩者來施 加上述嘴灑流體至基材約30秒至約200秒,此時PVA刷 1 3 a與1 3 b為持續洗滌基材w 1表面。利用轉動之p v a刷 1 3 a與1 3 b間的摩擦阻力及流體的清洗/洗滌作用,可清洗 基材W1"PVA刷13a與13b接著移離基材W1,基材支撐 滾輪1 9 a - 1 9 c則停止轉動以將基材臂1移出刷箱室。基椅 89 1374951 搬運機械手臂,如基材邊緣握爪裝置971,隨毛 移出刷箱室。 本發明實施例之刷箱室的詳細說明可參見 書號第 6,55 8,47 1 號,名稱 「洗滌器操 Operation)」,申請曰為2001年1月26曰的獲 其一併附上供作參考。 :將基材W1 美國專利證 作(Scrubber 證專利案,In a typical brushing process, the substrate W1 can be placed onto the substrate support 19, for example, by the substrate edge gripper device 971 of Figure 9A below. The PVA brushes 1 3 a and 1 3 b can be disposed separately to place the substrate w 1 onto the substrate support 19. After the substrate W1 is placed on the substrate supporting roller 1 9 a - 1 9 c of the substrate support 19, the substrate supporting roller 丨 9 a _ 丨 9 c is rotated at a speed of about 2 rpm to about 200 rpm. Substrate wi. The rotation speeds of the PVA brushes 13a and 13b are about 120 rpm to 400 rpm, which are moved to contact the substrate wi», and then the nozzle sprinkling fluid is applied to the base via the spray nozzle 21, the PVA brushes 13a and 13b, or both. The material is from about 30 seconds to about 200 seconds, at which time the PVA brushes 1 3 a and 1 3 b are continuously washing the surface of the substrate w 1 . The substrate W1"PVA brushes 13a and 13b are then removed from the substrate W1 by using the frictional resistance between the rotating pva brushes 1 3 a and 1 3 b and the cleaning/washing action of the fluid, and the substrate supporting rollers 1 9 a - 1 9 c then stops rotating to move the substrate arm 1 out of the brush box chamber. Base chair 89 1374951 Handling robot arm, such as the base edge gripper device 971, with the hair removed from the brush box chamber. For a detailed description of the brush box of the embodiment of the present invention, refer to the book No. 6, 55 8, 47 1 and the name "Operation". The application file is attached to January 26, 2001. Reference. : Will the substrate W1 US patent certificate (Scrubber certificate patent case,

D.具IBC室與SRD室的無電電鍍系統 根據第2及2 A圖之實施例,濕式製程平 包括無電電锻室、基材斜面清洗室、及旋轉洗 或者在進行濕式製程之前,此實施例還可包括 沉積室。 台2 1 3 —般 滌乾燥室。 A L D阻障層D. Electroless Plating System with IBC Room and SRD Room According to the embodiments of Figures 2 and 2A, the wet process includes an electroless forging chamber, a substrate bevel cleaning chamber, and a spin wash or before performing a wet process. This embodiment may also include a deposition chamber. Table 2 1 3 General cleaning room. A L D barrier layer

1.群集工具配置的應用 此配置方式可用來處理基材結構,包括沉 沉積無電電鍍晶種層及/或修復晶種層、無電電 寬比的内連線特徵結構、或依序沉積晶種層與 因其採用專用的SRD室與IBC室,故可提高 率。IBC室通常為提高產能的瓶頸,而本實施 個IB C室。 積阻障層、 鍍填充高深 填充間隙。 基材處理效 例則使用兩 2.群集工具配置的概述 在此實施例中,製程站2 1 4、2 1 6可做為濕 213、與一般乾式製程站或電鍍群集工具 2 00 式製程平台 之工作介面 90 13749511. Application of cluster tool configuration This configuration method can be used to process the substrate structure, including sinking electroless plating seed layer and/or repairing seed layer, interconnecting characteristic structure without electric width ratio, or sequentially depositing seed crystals. The layer and the use of a dedicated SRD chamber and IBC chamber can increase the rate. The IBC room is usually the bottleneck for increasing productivity, and this is the IB C room. The barrier layer is plated and filled with a deep filling gap. The substrate processing effect uses two. 2. Overview of the cluster tool configuration. In this embodiment, the process stations 2 1 4, 2 16 can be used as wet 213, and the general dry process station or electroplating cluster tool 200-type process platform Working interface 90 1374951

230間的連繫裝置。如此當基材正待濕式處理時,基 藉由放置在内站972而進入濕式製程平台213。内站 通常位於製程站214、216上方或下方,如第2A圖所 除内站972外,製程站214' 216各包括一 SRD室, 基材離開濕式製程平台2 1 3前,進行最後的濕式處 驟。在此實施例中,製程站235可為一 ALD室或CVD 以於濕式處理前沉積阻障層及/或催化層。在一些實 中,催化層為含釕膜層,其沉積時不使用含碳之前驅 製程站202、204包含一用於沉積或修復晶種層的無電 雙製程室,製程站210、212包含一用於填充間隙的無 鍍雙製程室,而製程站206、208為標準的IBC室。 製程站 202/2 04、206/208、210/212可在不影響本發 精神下重新配置,上述應用僅為舉例說明本發明而已 無電電鍍雙製程室位在一製裎封閉區302内。每一雙 室還可包括一内部基材傳輸裝置605,以在各製程封 302中傳輸基材於第一與第二製程站之間。此濕式製 台213的配置方式一蝾可用來依序沉積阻障層、沉積 電鍍晶種層及/或修復晶種層、和無電電鍍填充間隙, 理基材結構。此沉積順序可降低晶種層在填充間隙前 化量與氧化差異量。無電電鑛填充間隙步驟亦可用來 高深寬比的特徵結構。另外,只需要單一製程平台即 成三道沉積步驟。再者,因最費時的製程(即IBC製系 於專門的IBC室中進行,而非合併在SRD室或無電電 製程室,因此可提高某些膜層的沉積效率。 材可 972 示。 以於 理步 室, 施例 物。 電鍍 電電 各组 明之 。各 製程 閉區 程平 無電 以處 的氧 填充 可完 I )已 鍍雙 91 1374951 3.製程順序 第1 5圖繪示一流程。 在步騍1501中,工作介面機械手臂232將基材放到連 繫製程站214或216的内站972。 在步驟15 02中,主要平台機械手臂220將基材傳輸至 製程站202,以沉積晶種層。230 connection devices. Thus, when the substrate is to be wet treated, it enters the wet process platform 213 by being placed in the station 972. The internal stations are typically located above or below the process stations 214, 216. As with the internal station 972 removed from Figure 2A, the process stations 214' 216 each include an SRD chamber, and the substrate is removed from the wet process platform 2 1 3 for final Wet method. In this embodiment, process station 235 can be an ALD chamber or CVD to deposit a barrier layer and/or a catalytic layer prior to wet processing. In some implementations, the catalytic layer is a ruthenium-containing layer that is deposited without the use of carbon-containing precursors 202, 204 comprising an electroless dual process chamber for depositing or repairing the seed layer, and the process stations 210, 212 comprise a The electroless plating chamber is used to fill the gap, while the process stations 206, 208 are standard IBC chambers. The process stations 202/2 04, 206/208, 210/212 can be reconfigured without affecting the present invention. The above application is merely illustrative of the present invention and the electroless plating dual process chamber is located within a closed enclosure 302. Each of the dual chambers can also include an internal substrate transfer device 605 for transporting substrates between the first and second process stations in each process seal 302. The arrangement of the wet table 213 can be used to sequentially deposit a barrier layer, deposit a plating seed layer and/or repair a seed layer, and electrolessly plate a fill gap to control the substrate structure. This deposition sequence reduces the amount of pre-formation and oxidation difference of the seed layer in the fill gap. The electroless mine fill gap step can also be used for high aspect ratio features. In addition, only a single process platform is required to form three deposition steps. Furthermore, due to the most time-consuming process (ie, the IBC system is carried out in a dedicated IBC room, rather than in the SRD chamber or in the electroless process chamber, the deposition efficiency of certain layers can be improved. In the Ricoh room, the application of the material. Electroplating electric power each group clearly. Each process closed area is flat without electricity, the oxygen filling can be completed I) has been plated double 91 1374951 3. Process sequence Figure 15 shows a process. In step 1501, the working interface robot 232 places the substrate into the internal station 972 of the associated process station 214 or 216. In step 152, the primary platform robot arm 220 transports the substrate to the process station 202 to deposit a seed layer.

在步驟1503中,主要平台機械手臂220將基材傳輸至 製程站 2 1 0,以無電電鍍填充内連線特徵結構。沉積晶種 層所需的無電電鍍步驟皆在設於製程站202/204的雙製程 室進行,而填充間隙所需的無電電鍍步驟皆在設於製程站 210/2 12的雙製程室進行。可依需求使用内部基材傳輸裝 置605來傳送基材於製程站202/204或製程站210/212之 間。一般而言,還原層與催化層的形成是在晶種層雙製程 室的第一製程站(即製程站 202)中進行還原層與催化層的 形成步驟,其係配合第14圖而於上方說明之。無電電鍍步 驟則是在第二製程站(即製程站 2 04)中進行無電電鍍沉積 製程,其係配合第7圖而於上方說明之。或者,若基材於 濕式處理前已形成催化層,則所有無電電鍍步驟可在單一 製程站中進行。製程站202、204可視為兩個獨立的晶種層 電鍍室。製程站2 1 0、2 1 2 —般當作兩個獨立的間隙填充電 鍍室,且通常不需使用内部基材傳輸裝置 605來傳送基 材。因此,步驟1502可包括將基材從一内站傳送到製程站 202或204,以沉積/修復晶種層,而步驟1503可包括將基 (5 ) 92 1374951In step 1503, the primary platform robot arm 220 transports the substrate to the process station 210, filling the interconnect features with electroless plating. The electroless plating steps required to deposit the seed layer are performed in a dual process chamber located at process station 202/204, and the electroless plating steps required to fill the gap are performed in a dual process chamber located at 210/2 12 of the process station. The internal substrate transfer device 605 can be used as needed to transport the substrate between the process station 202/204 or the process station 210/212. In general, the formation of the reduction layer and the catalytic layer is a step of forming a reduction layer and a catalytic layer in the first process station of the seed layer double process chamber (ie, the process station 202), which is matched with the figure 14 and above. Explain it. The electroless plating step is an electroless plating deposition process in the second process station (i.e., process station 228), which is described above in conjunction with Fig. 7. Alternatively, if the substrate has formed a catalytic layer prior to wet processing, all electroless plating steps can be performed in a single process station. Process stations 202, 204 can be viewed as two separate seed layer plating chambers. Process stations 2 1 0, 2 1 2 generally act as two separate gap-filled electroplating chambers, and typically do not require the use of internal substrate transport device 605 to transport the substrate. Thus, step 1502 can include transferring the substrate from an internal station to process station 202 or 204 to deposit/repair the seed layer, and step 1503 can include the base (5) 92 1374951

材傳送到製程站2 1 0或2 1 2,以沉積填充間隙。 在步驟1 5 04中,完成間隙填充後,基材傳送到 206或208的IBC室,以利用IBC製程來移除基材 斜靣上多餘的沉積物:其係配合第3圖而描述於上 驟1505中,主要平台機械手臂220將基材傳輸至 214或216的SRD室,以利用SRD製程來進行最终 乾燥,其係配合第4圖而敘述如上。 在步驟15 06中,完成SRD製程後,工作介面 臂2 3 2將基材移出S RD室與濕式製程平台2 1 3。 Ε.具刷箱與蒸汽乾燥裝置的無電電鍍平台 1.群集工具配置的應用 根據第1 1及1 1 Α圖之實施例,濕式製程平台 般包括無電電鍍室、刷箱基材清洗室、及蒸汽乾燥 為熟知的溶劑乾燥室)。此群集工具200的配置方式 覆蓋層至内連線特徵結構上。此實施例還可無電電 層、無電電鍍填充内連線特徵結構、或依序沉積晶 填充間隙,以處理基材結構。在此實施例中,乾燥 程站23 5可為一 ALD/CVD預處理室,用以沉積阻 或催化層。在一些實施例中,催化層為不使用含碳 所沉積的含釕膜層。在一些實施例中,於沉積前可 作介面230中之製程站235a的製程室内進行電漿加 刻製程來處理基材。 本實施例可形成覆蓋層於内連線特徵結構之上 製程站 邊緣與 =在步 製程站 洗滌與 機械手The material is transferred to the process station 2 1 0 or 2 1 2 to deposit a fill gap. In step 154, after the gap fill is completed, the substrate is transferred to the IBC chamber of 206 or 208 to remove excess deposits on the substrate slant using the IBC process: it is described above in conjunction with FIG. In step 1505, the main platform robot arm 220 transfers the substrate to the SRD chamber of 214 or 216 for final drying using the SRD process, which is described above in conjunction with FIG. In step 156, after the SRD process is completed, the working interface arm 2 3 2 moves the substrate out of the S RD chamber and the wet process platform 2 1 3 .无. Electroless plating platform with brush box and steam drying device 1. Application of cluster tool configuration According to the embodiment of the first and the first drawings, the wet process platform generally includes an electroless plating chamber, a brush box substrate cleaning chamber, And steam drying is a well known solvent drying chamber). This cluster tool 200 is configured in an overlay layer to interconnect feature. This embodiment may also be used to treat the substrate structure without electroforming, electroless plating, filling interconnect features, or sequentially depositing crystalline fill gaps. In this embodiment, the drying station 23 5 can be an ALD/CVD pretreatment chamber for depositing a resistive or catalytic layer. In some embodiments, the catalytic layer is a ruthenium containing layer deposited without the use of carbon. In some embodiments, a plasma engraving process is performed in the process chamber of process station 235a in interface 230 prior to deposition to treat the substrate. In this embodiment, a cover layer can be formed on the interconnecting line feature structure and the process station edge and the step in the process station washing and robot

2 1 3 — 室(其亦 可沉積 鍍晶種 種層與 側之製 障層及/ 前驅物 先在工 強乾蝕 ,且無 93 13749512 1 3 — chamber (which can also deposit plating seed layers and lateral barrier layers and / precursors are first dry at work, and no 93 1374951

傳統旋轉洗滌乾燥製程產生之水痕所引起的缺陷。此外, 因本實施例採用後沉積刷箱清洗製程,故形成之覆蓋層在 覆蓋的内連線間幾乎沒有漏電路徑。此群集工具200的配 置方式也可用來依序無電電鍍晶種層,和無電電鍍填充間 隙於基材結構上。此沉積順序可降低晶種層在填充間隙前 的氧化量與氧化差異量。無電電鍍填充間隙步驟亦可利用 上述配合第7及8圖說明之步驟504來填充高深寬比的特 徵結構。另外,只需要單一製程平台即可完成兩道沉積步 驟。再者,此實施例移除了基材表面上大部分的粒子,且 減少了在 SRD室洗滌疏水性基材時產生之水痕所引起的 缺陷。 2.群集工具配置的概述Defects caused by water marks generated by conventional rotary washing and drying processes. In addition, since the post-deposition brush box cleaning process is employed in this embodiment, the formed cover layer has almost no leakage path between the covered interconnects. The cluster tool 200 can also be configured to sequentially electrolessly plate the seed layer and electrolessly plate the fill gap onto the substrate structure. This deposition sequence reduces the amount of oxidation and oxidation difference of the seed layer before filling the gap. The electroless plating fill gap step can also be used to fill the high aspect ratio feature structure using step 504 described above in conjunction with Figures 7 and 8. In addition, only two single deposition steps are required to complete two deposition steps. Moreover, this embodiment removes most of the particles on the surface of the substrate and reduces the defects caused by water marks generated when the hydrophobic substrate is washed in the SRD chamber. 2. Overview of cluster tool configuration

在此實施例中,製程站2 1 4可做為濕式製程平台2 1 3、 與一般乾式製程站或群集工具200之工作介面230間的連 繫裝置。如此濕式製程平台2 1 3的蒸汽乾燥室和内站9 72 可設於製程站214。内站972(第9A圖)可位在蒸汽乾燥室 上方,並托住基材以供後續濕式處理(第1 1圖)。蒸汽乾燥 室於經濕式製程平台2 1 3處理的基材上進行最後的濕式製 程步驟,且其包括一基材平台,以於該處清洗、乾燥待移 出濕式製程平台2 1 3的基材。製程站2 1 6設置為刷箱室, 用以進行基材的後沉積清洗。位於製程站2 1 6的刷箱可接 收水平或垂直定位的基材。在此實施例中,製程站 202、 2 04可包含一無電電鍍雙製程室,製程岵206、208可包含 F -Μ.' 4 94 1374951In this embodiment, the process station 2 1 4 can be used as a connection between the wet process platform 2 1 3 and the working interface 230 of the general dry process station or cluster tool 200. The steam drying chamber and the internal station 9 72 of such a wet process platform 2 1 3 may be provided at the process station 214. The internal station 972 (Fig. 9A) can be positioned above the steam drying chamber and holds the substrate for subsequent wet processing (Fig. 11). The steam drying chamber performs a final wet processing step on the substrate processed by the wet processing platform 201, and includes a substrate platform for cleaning and drying the wet processing platform 2 1 3 Substrate. The process station 2 16 is configured as a brush box for post-deposition cleaning of the substrate. The brush box located at the process station 2 16 can receive substrates that are positioned horizontally or vertically. In this embodiment, the process stations 202, 208 may include an electroless plating dual process chamber, and the process cartridges 206, 208 may include F - Μ. ' 4 94 1374951

一第二無電電鍍雙製程室,而製程站210、2 三無電電鍍雙製程室。A second electroless plating double process chamber, and the process stations 210, 2 three electroless plating double process chamber.

在另一實施例中,刷箱2 1 6 a與蒸汽乾丈 同設於製程站216,如第11A圖所示。内站 程站214。完成最终蒸汽乾燥步驟後,基材 面2 3 0的蒸汽乾燥裝置基材平台,如第11 A 此實施例可用於蒸汽乾燥裝置與刷箱未 站214、216,而是同時位在製程站202/204 情況。由於本實施例改善了濕式製程平台2 故蒸汽乾燥裝置與刷箱將更耐用。 3.製程順序 a)沉積覆蓋層 若此配置方式是用來沉積覆蓋層於基材 電電鍍製程可在單一無電電鍍雙製程室進行 無電電鍍雙製程室可與第一無電電鍍雙製程 且進行相同的沉積製程來處理其他基材。本 處理基材的典型流程繪示於第 16 圖。第 1200、1201與上述第12圖中的步驟1200、 步驟1602類似上述第12A-12C圖的步 其為以選擇性無電電鍍製程處理基材,即形 露的内連線特徵結構上。或者,選擇性無電 也可包括額外的蒸汽乾燥步驟,其中基材由 手臂220移出無電電鍍室、傳送到製程站2 1 2可包含一第 I裝置2 1 6b — 972仍位於製 傳送到工作介 圖所示。 分別位在製程 或 210/212 的 1 3側的通道,In another embodiment, the brush box 2 1 6 a is disposed at the process station 216 with the steam dry, as shown in Figure 11A. Internal station 214. After completion of the final steam drying step, the substrate of the substrate of the steam surface of the substrate is 203, such as the 11A. This embodiment can be used for the steam drying device and the brush box 214, 216, but at the same time at the process station 202. /204 situation. Since the present embodiment improves the wet process platform 2, the steam drying device and the brush box will be more durable. 3. Process sequence a) Deposition coating If this configuration is used to deposit the coating on the substrate, the electroplating process can be performed in a single electroless plating double process chamber. The electroless plating double process chamber can be the same as the first electroless plating double process. The deposition process to handle other substrates. A typical flow of the treated substrate is shown in Figure 16. The steps 1200 and 1201 are similar to the steps 1200 and 1602 in the above-mentioned 12th embodiment, and the steps of the above-mentioned 12A-12C are as follows: the substrate is treated by a selective electroless plating process, that is, the exposed interconnect feature. Alternatively, the optional electrolessing may also include an additional steam drying step in which the substrate is removed from the electroless plating chamber by the arm 220 and transferred to the process station 2 1 2 may include a first device 2 1 6b - 972 still in transit to the working medium The figure shows. Channels located on the 1 3 side of the process or 210/212, respectively.

上,則所有無 。第二與第三 室平行運作, 發明實施例之 16 圖的步驟 1201相同。 m 1202 > 除了 成覆蓋層於暴 電鍍步驟1 602 主要平台機械 1 4的蒸汽乾燥 95 1374951 裝置並於其内進行處理、再由主要平台機械手臂220傳回 適當的無電電鍍室,以完成無電電鍍製程。額外的蒸汽乾 燥步驟可於無電電鍍製程之預備清洗步驟(如上述步驟 5 0 1)前進行。 在步驟1 603中,沉積完覆蓋層後,基材傳送到刷箱 216a或製程站216的刷箱室,以移除基材表面多餘的沉積 物。刷箱已配合第8 A圖之刷箱室說明於上。On, then none. The second and third chambers operate in parallel, and step 1201 of the Fig. 16 of the inventive embodiment is the same. m 1202 > In addition to the overlay layer in the galvanic plating step 1 602 main platform machinery 14 steam drying 95 1374951 device and processing therein, and then returned to the appropriate electroless plating chamber by the main platform robot arm 220 to complete the no electricity Electroplating process. The additional steam drying step can be performed prior to the preliminary cleaning step of the electroless plating process (step 5 0 1 above). In step 1 603, after the overlay is deposited, the substrate is transferred to the brush box 216a or the brush chamber of the process station 216 to remove excess deposits from the substrate surface. The brush box has been described above with the brush box of Figure 8A.

在步驟1604中,完成刷箱基材清洗後,基材傳送到蒸 汽乾燥裝置2 1 6b或製程站2 1 4的蒸汽乾燥室,以進行最後 的蒸汽乾燥製程,其將配合蒸汽乾燥室的描述說明於下。 在步驟1 605中,完成蒸汽乾燥製程後,工作介面機械 手臂232將基材移出蒸汽乾燥基材平台與濕式製程平台 213 ° b)沉積多層金屬層In step 1604, after the cleaning of the brush box substrate is completed, the substrate is transferred to the steam drying device of the steam drying device 2 16b or the process station 2 14 for the final steam drying process, which will be described in conjunction with the steam drying chamber. Explain below. In step 1 605, after the steam drying process is completed, the working interface robot arm 232 moves the substrate out of the steam drying substrate platform and the wet processing platform 213 ° b) deposits a plurality of metal layers

若本發明實施例是用來沉積多層金屬至基材上,如依 序形成晶種層和進行其他無電電鍍步驟,則各基材為在一 個以上的無電電鍍雙製程室中加以處理。在此實施例中, 一或二個無電電鍍雙製程室為專門用來沉積晶種層,其餘 的無電電鍍雙製程室則專門用來填充間隙。例如,位於製 程站202/204與製程站206/208的雙製程室可用來沉積晶 種層,而位於製程站 2 1 0/2 1 2的雙製程室可用來填充間 隙。各組製程站 202/204、206/208、21 0/212可在不影響 本發明之精神下重新配置,上述應用僅為舉例說明本發明 而已。此實施例的處理順序繪示於第1 7圖。步驟1 2 0 1、 96 1374951If embodiments of the present invention are used to deposit a plurality of layers of metal onto a substrate, such as sequentially forming a seed layer and performing other electroless plating steps, each substrate is processed in more than one electroless plating dual process chamber. In this embodiment, one or two electroless plating dual process chambers are dedicated to depositing the seed layer, and the remaining electroless plating dual process chambers are dedicated to filling the gap. For example, a dual process chamber located at process station 202/204 and process station 206/208 can be used to deposit a seed layer, while a dual process chamber located at a process station 2 1 0/2 1 2 can be used to fill the gap. The various sets of process stations 202/204, 206/208, 21/212 can be reconfigured without affecting the spirit of the present invention, and the above applications are merely illustrative of the present invention. The processing sequence of this embodiment is shown in Figure 17. Step 1 2 0 1, 96 1374951

1202a'1202b 與上述第 12B 圖中的步驟 12(H、1202a、1202b 相同。或者,無電電鍍步驟1202還可包括額外的蒸汽乾燥 步驟,其中基材由主要平台機械手臂 220移出無電電鍍 室、傳送到製程站 2 1 4的蒸汽乾燥裝置並於其内進行處 理、再由主要平台機械手臂220傳回適當的無電電鍍室, 以完成無電電鍍製程。額外的蒸汽乾燥步驟可在預備清洗 步驟的介電清洗步驟及/或金屬清洗步驟之前進行。預備清 洗步驟屬於無電電鍍製程的一部分,其配合第7及8圖說 明於上述步驟501。第17圖的步驟1603、1604、1605與 上述第16圖的步驟1603、1604、1605相同。當此實施例 為用來填充内連線、或依序形成晶種層和無電電鍍填充間 隙,群集工具必須具有整合到無電電鍍雙製程室的斜面清 洗能力,如此才能在基材移出濕式製程平台前,後沉積清 洗基材。 4.製程室說明1202a'1202b is the same as step 12 (H, 1202a, 1202b) in Figure 12B above. Alternatively, electroless plating step 1202 may also include an additional steam drying step in which the substrate is removed from the electroless plating chamber by the main platform robot arm 220, The steam drying device of the process station 2 14 is processed therein and returned to the appropriate electroless plating chamber by the main platform robot arm 220 to complete the electroless plating process. The additional steam drying step can be introduced in the preliminary cleaning step. The electric cleaning step and/or the metal cleaning step are performed before. The preliminary cleaning step is part of the electroless plating process, which is described in the above step 501 in conjunction with Figures 7 and 8. Steps 1603, 1604, 1605 and Figure 16 of Figure 17 Steps 1603, 1604, 1605 are the same. When this embodiment is used to fill interconnects, or sequentially form a seed layer and an electroless plating fill gap, the cluster tool must have a bevel cleaning capability integrated into the electroless plating dual process chamber. In this way, the substrate can be deposited after the substrate is removed from the wet process platform. 4. Description of the process chamber

本發明實施例包括將多個基材處理室合併至單一群集 工具,處理室包括無電電鍍室、刷箱室、蒸汽乾燥室、及 ALD室或CVD室。大部分的處理室與其進行製程的例子 已說明於前文。蒸汽乾燥室和垂直基材搬運裝置則將概述 如下。 a)蒸汽乾燥室 蒸汽乾燥製程一般在沉積完金屬後進行,例如無電電 鍍覆蓋層製程,以防止水痕產生,並移除先前製程殘留於Embodiments of the invention include incorporating a plurality of substrate processing chambers into a single cluster tool, the chamber including an electroless plating chamber, a brush chamber, a vapor drying chamber, and an ALD chamber or CVD chamber. An example of most of the processing chambers and their processes is described above. The steam drying chamber and the vertical substrate handling device will be summarized as follows. a) Steam drying chamber The steam drying process is generally carried out after depositing metal, for example, without electro-coating coating process to prevent water marks from being generated, and removing the previous process residue

97 137495197 1374951

基材的污染物。在基材移出濕式製程平台前,可採用蒸汽 乾燥製程來取代最终旋轉洗滌乾燥製程。蒸汽乾燥製程包 括引入舒緩表面張力的揮發化合物(如揮發性有機化合物 (VOC))至基材結構。例如,VOC可伴隨載氣(如氮氣)而引 到基材結構上的液體附近。引入的VOC可形成表面張力梯 度,促使液體離開基材,進而乾燥基材。在一實施例中, VOC為異丙醇(IPA)。在其他實施例中,VOC可為其他種 類的醇、酮、醚、或其他合適的化合物。 第9圖為蒸汽乾燥裝置9 1 1之一實施例的剖面圖,其 說明基材 W通過蒸汽乾燥裝置911的過程。其繪示基材 W、W ’、W ’’、W ’ ”、W ”通過蒸汽乾燥裝置 9 1 1的多個位 置(W、W,、W”、W’”、W””)。蒸汽乾燥裝置9 1 1包括一沈 浸室918和一將洗滌區926與乾燥區928分隔開的上隔牆 924。操作時,可垂直搬運基材的機械手臂(如下述之實施 例的傳動杆機械手臂)經由裝載口 9 3 4將基材W載入洗滌 區926。喷嘴930、932噴灑去離子水至基材W二側以移 除其上的污染物。為協助移除洗滌區926的污染物(即減少 二度污染基材 '),流體9 2 7 (如去離子水或清洗液)可連續供 應到沈浸室9 1 8下部,而使流體持續溢流到圍繞沈浸室9 1 8 的溢流堰920。其次,傳動杆機械手臂(未繪示)將基材 W 放置於托架936上,並從洗滌區926縮回到裝載口 934上 方的原位處(未繪示)。光學感測器(未繪示)偵測到托架9 3 6 上的基材W ’後,即發出訊號通知一啟動器啟動連接系統, 使托架9 3 6從垂直位置轉成傾斜位置(例如9度),以上升Substance contaminants. The steam drying process can be used in place of the final spin wash drying process before the substrate is removed from the wet process platform. The steam drying process involves introducing a volatile compound such as a volatile organic compound (VOC) that soothes surface tension to the substrate structure. For example, a VOC can be introduced into the vicinity of a liquid on a substrate structure with a carrier gas such as nitrogen. The introduced VOC forms a surface tension gradient that causes the liquid to leave the substrate and thereby dry the substrate. In one embodiment, the VOC is isopropyl alcohol (IPA). In other embodiments, the VOC can be other types of alcohols, ketones, ethers, or other suitable compounds. Figure 9 is a cross-sectional view showing an embodiment of a steam drying device 911 illustrating the process by which the substrate W passes through the steam drying device 911. It shows that the substrates W, W', W'', W'", W" pass through a plurality of positions (W, W, W", W'", W"") of the steam drying device 91. The steam drying unit 9 1 1 includes a immersion chamber 918 and an upper partition wall 924 separating the washing zone 926 from the drying zone 928. In operation, the robot arm that can vertically transport the substrate (such as the drive rod robot of the embodiment described below) loads the substrate W into the wash zone 926 via the load port 943. The nozzles 930, 932 spray deionized water to both sides of the substrate W to remove contaminants thereon. To assist in the removal of contaminants in the scrubbing zone 926 (ie, to reduce the secondary contamination of the substrate '), fluid 9 2 7 (eg, deionized water or cleaning fluid) can be continuously supplied to the lower portion of the immersion chamber 9 1 8 to allow the fluid to continue to overflow. It flows to the weir 920 around the immersion chamber 9 1 8 . Next, a drive rod robot (not shown) places the substrate W on the cradle 936 and retracts from the wash zone 926 back to the top of the load port 934 (not shown). After the optical sensor (not shown) detects the substrate W' on the bracket 9 3 6 , it sends a signal to inform the starter to activate the connection system, and the bracket 9 3 6 is turned from the vertical position to the inclined position ( For example 9 degrees) to rise

S 98 1374951 个S 98 1374951

VV

通過乾燥區928。使用推進器944可提高基材W”到一卸載 口 93 7。當基材W”上升時,基材邊緣因重力而靠在兩個浸 在流體中且平行的斜導946(僅繪示其中一個)。若基材W’’’ 為升舉離開流體927,一組噴灑機制95 0則喷灑IPA蒸汽 與混合氮氣至基材W”’二側的彎液面上。IPA與混合氮氣 流動的角度依基材上待乾燥的材料種類而定《基材W”’離 開乾燥區928後,其會推動捕捉器960向上移動,且推進 器944推送基材W”’至基材平台95 8上,隨之,一指狀物 件962可將基材W”’鎖固於基材平台958,接著推進器944 縮回。基材W’’’固定在基材平台958後,將基材平台958 轉成水平位置(即熟知的輸出位置),此時基材搬運機械手 臂(未繪示於第9圖,但可參考第2圖的工作介面機械手臂 232)可將基材W””移出基材平台95 8。當基材平台95 8從 乾燥區92 8提起後,其接著回到垂直位置,準備接收下一 片處理基材。 蒸汽乾燥製程的例子更可參見美國專利證書號第 6,32 8,814號,申請曰為1999年3月26曰的獲證案、和美 國專利申請案號10/737,732、名稱「整合式垂直乾燥洗滌 器(Scrubber With Integrated Vertical Marangoni Drying)」,申請曰為2003年12月16曰的申請案,其一併 附上供作參考》 在選擇性無電電鍍覆蓋層之前及/或之後,蒸汽乾燥基 材結構有助於在進行處理步驟前先移除污染物與其他殘留 物。污染物可能造成水痕和其他表面缺陷。使用水溶液很 99 ^/4951Pass through the drying zone 928. The use of the pusher 944 can increase the substrate W" to an unloading port 933. When the substrate W" rises, the edge of the substrate rests against two obliquely slanted guides 946 immersed in the fluid due to gravity (only shown One). If the substrate W"' is lifted away from the fluid 927, a set of spraying mechanisms 95 0 sprays IPA vapor and mixed nitrogen to the meniscus on both sides of the substrate W"'. The angle of IPA and mixed nitrogen flow depends on Depending on the type of material to be dried on the substrate, the "substrate W" will leave the drying zone 928, which will push the trap 960 upward, and the pusher 944 pushes the substrate W"' onto the substrate platform 95 8 with One finger member 962 can lock the substrate W"' to the substrate platform 958, and then the pusher 944 is retracted. After the substrate W''' is fixed on the substrate platform 958, the substrate platform 958 is rotated into a horizontal position (ie, a well-known output position), and the substrate handling robot is not shown in FIG. 9, but can be referred to The working interface robot 232) of Figure 2 can move the substrate W"" out of the substrate platform 95 8 . After the substrate platform 95 8 is lifted from the drying zone 92 8 , it then returns to the vertical position ready to receive the next processed substrate. An example of a steam drying process can be found in U.S. Patent No. 6,32,814, filed on March 26, 1999, and in the U.S. Patent Application Serial No. 10/737,732, entitled "Integrated Vertical Dry Washing" "Scrubber With Integrated Vertical Marangoni Drying", application for December 16, 2003, which is attached for reference." Steam dried substrate before and/or after selective electroless plating. The structure helps remove contaminants and other residues before proceeding with the processing steps. Contaminants can cause water marks and other surface defects. Using an aqueous solution is very good 99 ^/4951

難移除基材結構之低介電部分上的殘留物,乃因低介電部 >為疏水性表面。以揮發性有機化合物進行蒸汽乾燥有助 &移除'此表面上的污染物與水痕,其亦可避免覆蓋材料無 電電鍍沉積至基材結搆上非預定的區域》另外,蒸汽乾燥 步称可用於非關覆蓋層的沉積製程,以減少水痕與其他污 染物,並縮短乾燥時間《蒸汽乾燥方法及設備的實施例可 參見美國專利公開案號2003/0121170,名稱為「單一晶圓 乾燥裝置及乾燥方法(Single Wafer Dryer and Drying Methods)」的申請案,其一併附上供作參考。 除了後沉積洗滌與乾燥外,也可採用垂直定位蒸汽乾 燥裝置來進行其他濕式製程處理基材,例如用以移除有機 污染物的s C-1清洗製程、或氟化氫基之原生氧化物的清 洗製程,其將配合第21A-21F圖描述於後。 b)垂直基材搬運裝置 一,欠且,月/几巫仍 J稽助重力 而移除基材上的粒子及其他污染物。因此,刷箱室與篆汽 乾燥裝置最有效率的配置結構是用於垂直定位的基材。本 發明一.些實施例包括適用垂直定位基材的刷箱室與蒸 燥裝置。要使電鑛室和刷箱室與蒸汽乾燥裝置間的基= 向相差9〇度不單單需要傳統的水平定位基材傳輸機制: 發明實施例之結合垂直/水I A fJ。本 垩置/水十基材傳輸的方法將說明 本發明貫施例裳將其奸% # 而將基材從傳統的水平基材傳 臂(即主要平台機械年臂^機械手 蛾手臂220)傳送到一般需垂直 的製程室,如刷箱室及/或蒸汽乾燥室。 位基材 100 燥室' &9A圏為將基材傳入刷箱f、從刷箱室傳至蒸汽乾 圏。刷益從蒸汽乾燥室傳出濕式製程平台213的設備示意 台213室975與蒸汽乾燥裝置974分別位於濕式製程平 上方。僅製程站216、214。内站972位在蒸汽乾燥裝置gw 動杆250與翻轉機械手臂251亦繪示於第9a圖 及'第11岡〇 220)傳送 ___,从-从久世且向 動基村到刷箱室。達成方法之一為使"::二 ,夫儉於笛 QA u ^ 將基材從水平傳輸機械手臂(如主要平台機械手臂 '到刷箱室需要轉動基材以垂直定位、以及垂直向 下移 奸f丨I JR丨I 5S它 〇 :去丄、. ”丨自炉不亍得輸 機械 採用 夺寶(未繪於第9A圖)來轉動及垂直定位基材並搭配 #直向下傳送基材至刷箱室的刷箱室。此種刷箱室 /專用的機械手臂(未繪示),以 而 打巷材迗入刷箱室 π特::垂ίΠ利用水平傳輸機械手臂或翻轉機械手 臂251轉方向。若是使用水平傳輸機械手臂,則基 紂叮放置到垂直基材承托站(未繪+ 1 ^ β 土 …則水平值“ 若是使用翻轉機械 臂251’則水千傳輪機械手臂 25!,接著由翻轉機辟 水+基材給翻轉機 械手:在翻轉機械手臂25二” 251將基材轉成垂直方 向 方的傳… 箱室975與蒸汽乾燥裝置 974 土上方的傳動杆250可用來垂直 75及進八蒸汽乾操裝置974(參"輸基材進出刷箱室 9:二置於翻轉機械手臂:…圖)。垂直定位後 ^二且禾繪无1 番直杆9 7 0沿著傳動橫 从土 矸976移動到基材正上方。基 o73C由沿者垂直杆970 + 材9 垂直移動的基材邊緣握爪裝置 ,滅關。垂直杆9 7 〇隨你、/L 4 971移 /〇者傳動橫杆976移動到刷箱 基材 # 251上或垂直承托站(未繪示 …杳直彳970沿著傳動楛、禾繪不 C S ) 101 1374951 室975正上方,而基材邊緣握爪裝置971垂直向下輸送基 材973b至刷箱室975内。在刷箱室975中完成清洗後,基 材邊緣握爪裝置97 1將基材973b垂直移出刷箱室975。垂 直杆970接著沿著傳動橫杆976移動到蒸汽乾燥裝置974 土上方。基材邊緣握爪裝置971垂直向下輸送基材973b 裘蒸汽乾燥裝置974内。在蒸汽乾燥裝置974中完成清洗 後,基材973d停留在基材平台958上(更清楚地繪於第9A 圖及第2A圖),以待工作介面機械手臂232(參見第n圖) 將其移出濕式製程平台213。 上述傳輪基材的順序總結在第9B圖的流程圖。在步 驟9Ό01中,完成無電電鍍時,主要平台機械手臂22〇水平 承托一基材。在步驟9〇〇2中,主要平台機械手臂22〇將基 材轉成垂直方向,並將基材傳給一刷箱室機械手臂(未繪 示),其接著將基材往下送入刷箱室975。或者在步驟9〇〇3 中,主要平台機械手臂220轉動基材並傳送基材至垂直承 托站‘或者在步驟9004中,主要平台機械手冑22〇並不將 基材轉成垂直方向’而是將基材傳送到翻轉機械手臂 25卜再由翻轉機械手臂251將基材轉成垂直方向。在步驟 9005中’傳動杆250傳輸基材至刷箱室975。在步驟9〇〇6 傳動杆250傳輸基材至蒸汽乾燥裝置974。在步驟9〇〇7 ,基材傳出蒸汽乾燥裝置974而離開濕式製程平台2 1 3。 F.具退火室的無電電録平台 I群集工具配置的應用 102 1374951 第11圖繪示群集工具2 00之一實施例,包括氧化物移 除室、無電電鍍室及退火室。其另可包括酸剝除室。此群 集工具200的配置方式可利用無電矽化製程來形成高品質 的捿觸孔以連接*夕基(silicon-based)基材上的元件。暴 露的矽基材料包括單晶矽、多晶矽、單晶矽鍺化物、和多 晶矽鍺化物。受益於此處之無電矽化物製程的元件則包括 電晶體、記憶元件、太陽能電池接觸點、及矽接觸點。It is difficult to remove the residue on the low dielectric portion of the substrate structure because the low dielectric portion > is a hydrophobic surface. Steam drying with volatile organic compounds helps & removes 'contaminants and water marks on this surface, which also prevents electroless plating of the covering material onto undefined areas of the substrate structure." In addition, the steam drying step is called Can be used in non-off-coating deposition processes to reduce water marks and other contaminants, and to reduce drying time. Examples of steam drying methods and apparatus can be found in U.S. Patent Publication No. 2003/0121170, entitled "Single Wafer Drying The application of "Single Wafer Dryer and Drying Methods" is hereby incorporated by reference. In addition to post-deposition washing and drying, vertical positioning steam drying units can also be used for other wet processing substrates, such as the s C-1 cleaning process to remove organic contaminants, or the native oxides of hydrogen fluoride. The cleaning process will be described later in conjunction with Figures 21A-21F. b) Vertical substrate handling device 1. I owe it, and the moon/few is still helping to remove particles and other contaminants from the substrate. Therefore, the most efficient configuration of the brush box and the steam drying device is the substrate for vertical positioning. One embodiment of the invention includes a brush box chamber and a retort device for vertically positioning a substrate. To make the base = direction difference between the electric compartment and the brush box and the steam drying device not only requires a conventional horizontal positioning substrate transfer mechanism: the combination of vertical/water I A fJ of the inventive embodiment. The method of transporting the substrate/water substrate will illustrate that the present invention will be used to transfer the substrate from a conventional horizontal substrate (ie, the main platform mechanical arm ^manipulator moth arm 220). Transfer to a process chamber that is generally vertical, such as a brush box and/or a steam drying chamber. Bit substrate 100 Drying chamber ' & 9A圏 is the transfer of the substrate to the brush box f, from the brush box chamber to the steam dry. The apparatus for transferring the wet process platform 213 from the steam drying chamber indicates that the stage 213 chamber 975 and the steam drying unit 974 are respectively located above the wet process. Only process stations 216, 214. The internal station 972 is located in the steam drying device gw moving rod 250 and the turning robot 251 is also shown in Fig. 9a and '11th gang〇 220) to transfer ___, from the long-term to the moving base village to the brush box room. One way to achieve this is to make "::2, the husband squatting on the whistle QA u ^ to transfer the substrate from the horizontal transfer robot (such as the main platform robot arm) to the brush box room to rotate the substrate for vertical positioning, and vertical downward移 丨 丨 丨 丨 丨 丨 丨 丨 丨 丨 丨 丨 丨 丨 丨 丨 丨 丨 丨 丨 丨 丨 丨 丨 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 The substrate to the brush box room of the brush box room. This kind of brush box room / dedicated mechanical arm (not shown), so that the alley material breaks into the brush box room π special:: Π Π use horizontal transfer robot arm or flip The mechanical arm 251 is turned. If a horizontal transfer robot is used, the base is placed on the vertical substrate support station (not drawn + 1 ^ β soil... then the horizontal value "If the flip arm 251' is used, the water thousand wheel Robot arm 25!, then the water is turned by the turning machine + the substrate is turned to the manipulator: in the flipping robot 25 2" 251, the substrate is turned into a vertical direction... The chamber 975 and the steam drying device 974 above the soil drive Rod 250 can be used for vertical 75 and eight steam dryers 974 (see &q Uot; the input substrate enters and exits the brush box chamber 9: two placed on the flipping robot arm: ... picture). After vertical positioning ^ 2 and Wo painted no 1 straight rod 9 7 0 along the transmission horizontally from the soil 976 to the substrate Directly above. The base o73C is moved by the edge gripper device of the substrate that moves vertically along the vertical rod 970 + material 9. The vertical rod 9 7 〇 moves with you, /L 4 971 shift / 传动 传动 transmission crossbar 976 to the brush Box substrate # 251 or vertical support station (not shown... 杳 彳 970 along the drive 楛, 禾 画 not CS) 101 1374951 Room 975 directly above, and the substrate edge grip device 971 vertically downward transport base The material 973b is into the brush box chamber 975. After cleaning in the brush box chamber 975, the substrate edge gripper device 97 1 vertically moves the substrate 973b out of the brush box chamber 975. The vertical rod 970 then moves along the drive rail 976 to Above the soil of the steam drying device 974. The substrate edge gripper device 971 conveys the substrate 973b vertically in the steam drying device 974. After the cleaning in the steam drying device 974, the substrate 973d stays on the substrate platform 958 (more Clearly depicted in Figure 9A and Figure 2A), waiting for the working interface robot arm 232 (see Figure n) It moves out of the wet process platform 213. The sequence of the above-mentioned transfer substrate is summarized in the flow chart of Figure 9B. In step 9Ό01, when the electroless plating is completed, the main platform robot arm 22 〇 horizontally supports a substrate. In 〇〇2, the main platform robot arm 22 turns the substrate into a vertical direction and transfers the substrate to a brush box robot (not shown), which then feeds the substrate down into the brush box chamber 975. Or in step 9〇〇3, the main platform robot arm 220 rotates the substrate and transports the substrate to the vertical support station' or in step 9004, the main platform robot 胄22〇 does not turn the substrate into a vertical orientation Instead, the substrate is transferred to the turning robot arm 25 and the substrate is turned into a vertical direction by the turning robot 251. In step 9005, the drive rod 250 transports the substrate to the brush box chamber 975. At step 9〇〇6, the drive rod 250 transports the substrate to the steam drying unit 974. At step 9〇〇7, the substrate exits the steam drying unit 974 and exits the wet process platform 2 1 3 . F. Electroless Recording Platform with Annealing Chamber I Application of Cluster Tool Configuration 102 1374951 Figure 11 illustrates an embodiment of a cluster tool 200, including an oxide removal chamber, an electroless plating chamber, and an annealing chamber. It may additionally include an acid stripping chamber. This cluster tool 200 can be configured in an electroless process to form high quality germanium contacts to connect components on a silicon-based substrate. Exposed bismuth-based materials include single crystal germanium, polycrystalline germanium, single crystal germanide, and polycrystalline germanide. Components that benefit from the electroless telluride process herein include transistors, memory components, solar cell contacts, and germanium contacts.

第21A-21E圖為矽接觸孔2150的剖面圖,其說明使 用本發明設備與方法形成矽化物於其上的過程。參照第 21A圖,矽接觸孔2150形成在介電層2152中,而介電層 2152形成在基材2153上。在介電層2152中形成矽接觸孔 2 1 5 0的方法可採用習知的顯影技術、蝕刻技術,以暴露部 分基材2153表面。基材2153組成可包括導體或半導體之 矽基材料,包括單晶矽、鍺原子濃度高達50%的單晶矽鍺 化物' 多晶矽、及多晶矽鍺化物。在此實施例中,基材2 1 5 3 為單晶矽基材。因基材2 153的矽基材料透過矽接觸孔2150 而接觸到空氣,故原生氧化層2151會填入矽接觸孔2150 底部。其他污染物,如有機污染薄、膜,也會出現在原生氧 化層2151表面,但為清楚表示而於此省略不繪。 如上述第20圖所示,原生氧化層2151表面的有機污 染物可藉由在群集工具200之超臨界清洗室中(如超臨界 清洗室 2 1 00)施加超臨界流體至基材而加以移除。或者, 原生氧化層2 1 5 1可在濕式清洗室中使用S C -1清洗製程(亦 為熟知的RCA-1清洗製程)而移除之。SC -1製程為一種以 (S ) 103 1374951 連續氧化脫附和交錯使用過氧化氫(h2o2)、氨水(nh4oh) 與水為基礎的濕式清洗去污製程。S C -1化學清洗劑與清洗 程序為此領域所熟知的技術,並且可輕易應用到任一前述 的濕式處理室,包括SRD室、IBC室、無電電鍍室、及蒸 汽乾燥室。這些製程室已分別配合第4、3、7、及9圖描 述如上。21A-21E are cross-sectional views of the crucible contact hole 2150 illustrating the process by which the telluride is formed using the apparatus and method of the present invention. Referring to Fig. 21A, a tantalum contact hole 2150 is formed in the dielectric layer 2152, and a dielectric layer 2152 is formed on the substrate 2153. The method of forming the ruthenium contact hole 2 150 in the dielectric layer 2152 may employ a conventional development technique or etching technique to expose a portion of the surface of the substrate 2153. The substrate 2153 is composed of a germanium-based material which may include a conductor or a semiconductor, and includes a single crystal germanium, a single crystal telluride having a germanium atom concentration of up to 50%, a polycrystalline germanium, and a polycrystalline germanide. In this embodiment, the substrate 2 1 5 3 is a single crystal germanium substrate. Since the base material of the substrate 2 153 is in contact with the air through the contact hole 2150, the primary oxide layer 2151 is filled in the bottom of the contact hole 2150. Other contaminants, such as thin organic thin films, may also appear on the surface of the native oxide layer 2151, but are omitted for clarity. As shown in Fig. 20 above, the organic contaminants on the surface of the native oxide layer 2151 can be moved by applying a supercritical fluid to the substrate in a supercritical cleaning chamber of the cluster tool 200 (e.g., supercritical cleaning chamber 2 00). except. Alternatively, the native oxide layer 2 1 5 1 can be removed in a wet cleaning chamber using the S C -1 cleaning process (also known as the RCA-1 cleaning process). The SC-1 process is a wet cleaning decontamination process based on (S) 103 1374951 continuous oxidative desorption and staggered use of hydrogen peroxide (h2o2), ammonia (nh4oh) and water. The S C -1 chemical cleaner and cleaning procedure are well known in the art and can be readily applied to any of the aforementioned wet processing chambers, including SRD chambers, IBC chambers, electroless plating chambers, and steam drying chambers. These process chambers have been described above in conjunction with Figures 4, 3, 7, and 9.

S C -1清洗製程後為進行原生氧化物清洗製程,以移除 矽接觸孔2150上的原生氧化層2151。第21B圖繪示移除 原生氧化層2151後的矽接觸孔2150,且留下不含氧化物 的矽表面2 1 5 4。After the S C -1 cleaning process, a native oxide cleaning process is performed to remove the native oxide layer 2151 on the germanium contact hole 2150. Figure 21B shows the tantalum contact hole 2150 after removal of the native oxide layer 2151, leaving the oxide-free tantalum surface 2 1 5 4 .

根據一實施例,原生氧化層2151的移除方法為使用氫 氟酸(HF)為基礎的濕式清洗製程,即熟知的氫氟酸最後處 理(HF last)或 HFL製程。HFL製程為一種矽表面預備程 序,其中以HF蝕刻原生氧化物是最後一個步驟,以留下 具氫終端的矽表面2154(即覆蓋上一層氫化矽單層)。HFL 製程為此領域所熟知的技術,並且可用於水平定位之濕式 處理室,例如IBC室(第3圖)或無電電鍍室(第7圖)。HFL 製程亦可用於垂直定位之濕式處理室,例如蒸汽乾燥室。 在一較佳實施例中,原生氧化層2 1 5 1為選擇性移除,且介 電層2 1 5 2幾乎沒有被破壞。此實施例形成一氫化矽層,形 成方法為使原生氧化層 2151接觸含氟化酸與添加劑的溶 液,例如乙醇胺(NH2(CH2)2OH; EA)、二乙醇胺(CUHhNOz; DEA)、或三乙醇胺(C4H5H03 ; TEA)。一般而言,一或多 種添加劑易與氟離子相互作用而變成部分錯合形態,故相 104 1374951 較於高密度的氧化矽、矽酸鹽或基材2153的含發材料(如 介電層2 1 5 2) ’具有較低的活性。清洗液的例子之一為含 1: 1之DEA溶液與濃氫氟酸的混合水溶液,其值約介 於4至4.5之間。選擇性從矽基材料移除原生氧化物的方 法與使用溶液更可參見美國專利臨時申請案號60/73 1,624 [APPM 1 06 5 9L],名稱「選擇性沉積薄膜材料至半導體介面 的方法(Method of Selectively Depositing a Thin FilmAccording to an embodiment, the primary oxide layer 2151 is removed by a hydrofluoric acid (HF) based wet cleaning process, known as the HF last or HFL process. The HFL process is a tantalum surface preparation procedure in which etching the native oxide with HF is the final step to leave a tantalum surface 2154 with a hydrogen termination (i.e., overlying a layer of hydrogenated tantalum). The HFL process is well known in the art and can be used in horizontally positioned wet processing chambers such as the IBC chamber (Fig. 3) or the electroless plating chamber (Fig. 7). The HFL process can also be used in vertically positioned wet processing chambers such as steam drying chambers. In a preferred embodiment, the native oxide layer 2 1 5 1 is selectively removed and the dielectric layer 2 15 2 is hardly destroyed. This example forms a ruthenium hydride layer by contacting the native oxide layer 2151 with a solution of a fluorinated acid with an additive such as ethanolamine (NH2(CH2)2OH; EA), diethanolamine (CUHhNOz; DEA), or triethanolamine. (C4H5H03; TEA). In general, one or more additives tend to interact with fluoride ions to become partially mismatched, so phase 104 1374951 is higher than high density cerium oxide, ceric acid or substrate 2153 containing materials (such as dielectric layer 2 1 5 2) 'has lower activity. One example of a cleaning solution is a mixed aqueous solution of a 1:1 DEA solution and concentrated hydrofluoric acid having a value between about 4 and 4.5. A method for selectively removing a native oxide from a ruthenium-based material is described in US Patent Provisional Application No. 60/73 1,624 [APPM 1 06 5 9L], entitled "Selective Deposition of Thin Film Materials to Semiconductor Interfaces" Method of Selectively Depositing a Thin Film

Material at a Semiconductor Interface) j > 申請曰為 2005 年10月28日的申請案,其一併附上供作參考。 另一實施例採用上述第2 0圖之電漿輔助乾蝕刻製 程。在一較佳實施例中,電獎輔助乾蝕刻製程不包括最後 使(NH4)2SiF6薄膜昇華為揮發性SiF4、NH3和HF的基材退 火步驟。而是將(NH4) 2 SiF6薄膜留在基材2153表面,一旦 接觸到水,其即斷裂成稀釋的HF溶液,並形成氫化矽層 於矽表面2 1 54。Material & a Semiconductor Interface) j > Application for the application dated October 28, 2005, which is attached for reference. Another embodiment employs the plasma assisted dry etch process of Figure 20 above. In a preferred embodiment, the electronic award-assisted dry etching process does not include a substrate annealing step that ultimately sublimes the (NH4)2SiF6 film to volatile SiF4, NH3, and HF. Instead, the (NH4) 2 SiF6 film is left on the surface of the substrate 2153, and upon contact with water, it breaks into a diluted HF solution and forms a hydrogenated ruthenium layer on the surface of the crucible 2 1 54.

移除原生氧化層2151後,利用上述第5C圖之選擇性 無電電鍍製程沉積鈷層及/或鎳層至矽表面2154上。第21C 圖繪示金屬層2156沈積於其中的矽接觸孔2150。金屬層 2156可為鈷、鎳、或其組合物。須注意的是,當矽表面2154 接觸到空氣或其他含氧氣體時,原生氧化層2 1 5 1將很快重 新形成在矽表面2154。視相對溼度而定,原生氧化層2151 可能在幾分鐘或幾小時内重新形成。是以,避免矽表面 2154接觸氧氣是很重要的;或者,若無法避免不接觸氧 氣’則宜減少接觸時間至幾分鐘或幾秒鐘。 C 5 ) 105 1374951 選擇性無電電鍍製程通香s *屬於低溫液相反應,豆在大 氣環境與低溫下沉積金屬薄 、 虱化表面上。因薄膜成長 時會與氫化表面的鍵結(未给认 ^ ^ ^ ^ 言於矽表面2154 I φ 反應,故預定金屬(如鈷或鎳、π ; 轉)可從沉積液選擇性沉積至矽 表面2154。矽化反應包括… 使用 >谷液相傳遞(s〇〗uti〇n phase-dilevered)金屬錯合物,苴 八播在Si-H鍵的矽(si)與氫 (H)之間、產生兩個新鍵結至今 • 王金屬中央、以及利用兩個電子After the native oxide layer 2151 is removed, a cobalt layer and/or a nickel layer is deposited onto the tantalum surface 2154 using the selective electroless plating process of Figure 5C above. FIG. 21C illustrates a crucible contact hole 2150 in which the metal layer 2156 is deposited. Metal layer 2156 can be cobalt, nickel, or a combination thereof. It should be noted that when the crucible surface 2154 is exposed to air or other oxygen-containing gas, the native oxide layer 2 1 5 1 will quickly re-form on the crucible surface 2154. Depending on the relative humidity, the native oxide layer 2151 may reform in a few minutes or hours. Therefore, it is important to avoid contact with oxygen on the surface 2154; or, if it is unavoidable to avoid contact with oxygen, the contact time should be reduced to a few minutes or seconds. C 5 ) 105 1374951 Selective electroless electroplating process is a low-temperature liquid phase reaction in which the beans are deposited on a thin, deuterated surface in an atmosphere and at low temperatures. Since the film grows to bond with the hydrogenated surface (not recognized by the surface 2154 I φ, the predetermined metal (such as cobalt or nickel, π; turn) can be selectively deposited from the deposit to the ruthenium. Surface 2154. The deuteration reaction includes: using > trough liquid phase transfer (s〇〗 uti〇n phase-dilevered) metal complex, 苴 eight broadcast between the Si-H bond between 矽 (si) and hydrogen (H) , generating two new bonds to date • Wang Metal Central, and using two electrons

來增加金屬的氧化態。如此, 一 /儿積的金屬溥膜係化學鍵結 至矽表面。用於沉積液的溶劑可包括乙腈或丙二醇單甲基 醚。用於沉積液的金屬錯合物則可與Si_H鍵反應。金屬錯 合物的例子包括四羰基鈷、二環辛二烯鎳、和羰基鎢。無 電電銀金屬層至矽表面之方法的更詳細說明可參見前述美 國專利臨時申請案號為60/731,624 [APPM10659L]的申請 案’其一併附上供作參考。 洗滌與乾燥製程可接著在無電電鍍製程之後進行。在To increase the oxidation state of the metal. Thus, a metal film of the product is chemically bonded to the surface of the crucible. The solvent used for the deposition liquid may include acetonitrile or propylene glycol monomethyl ether. The metal complex used in the deposition solution can react with the Si_H bond. Examples of the metal complex include cobalt tetracarbonyl, nickel dicyclooctadiene, and tungsten carbonyl. A more detailed description of the method of the electroless silver metal layer to the surface of the crucible can be found in the above-referenced U.S. Patent Application Serial No. 60/731,624 [APPM 10 659 L. The washing and drying process can then be carried out after the electroless plating process. in

一實施例中’最終乾燥製程為在上述第9圖之蒸汽乾燥裝 置進行’以盡量避免新形成之金屬層氧化。為進一步限定 金屬層2 1 5 6的氧化’隨後宜盡快形成第一階段矽化物(如 下述第21D圖所示)。藉由進行無電電鍍和在無氧環境中 形成第一階段矽化物’更可降低基材2153接觸氧氣的機 會。理想上’基材2153在無電電鍍步驟與矽化物形成步驟 間為不接觸氧氣。 如第21D圖所示,沉積完金屬層2156後,進行退火 製裎以形成自我對準之第一階段矽化物。當矽接觸礼2 1 5 〇 106 1374951In one embodiment, the final drying process is carried out in the steam drying apparatus of Figure 9 above to minimize oxidation of the newly formed metal layer. To further define the oxidation of the metal layer 2 1 5 6 then it is desirable to form the first stage germanide as soon as possible (as shown in Figure 21D below). The opportunity to contact the oxygen of the substrate 2153 can be reduced by performing electroless plating and forming the first stage telluride in an oxygen-free environment. Ideally, the substrate 2153 is not in contact with oxygen between the electroless plating step and the telluride forming step. As shown in Fig. 21D, after the metal layer 2156 is deposited, annealing is performed to form a self-aligned first stage telluride. When 矽 contact ceremony 2 1 5 〇 106 1374951

經退火處理達足夠的溫度時,金屬層2156的原子會擴 基材2153,而形成第二階段矽化物區域2157,並留下 的金屬層 2156A。例如,若金屬層 2156為鎳層,則 350 °C退火處理基材 2153會在鄰近金屬層 2156的 2 153中形成矽化鎳(Ni2Si)區域。同樣地,若金屬層 為鈷層,則以約450°C退火處理基材2153會在鄰近金 2156的基材2153中形成矽化鈷(CoSi)區域。 經過第一階段退火處理後,可進行酸剝除製程來 基材2153上過量的金屬層2156A。酸剝除製程為此領 熟知的技術,並且可用於水平定位之濕式處理室,例如 室或無電電鍍室。第21E圖繪示已經酸剝除製程移除 金屬層2156A後的矽接觸孔2150。 對某些金屬而言,第二階段退火的溫度較低,如乾 t至約 5 5 0 °C ,因此第二階段退火處理可在群集工具 中進行。鎳即為其中一例。參照第2 1F圖,第二階段 處理基材2153可形成第二階段矽化物區域2157A;以 屬為例,其組成為矽化鎳(NiSi)。 2.群集工具配置的概述 在此實施例中,群集工具2 0 0 —般同於上述第1 1 具刷箱和蒸汽乾燥裝置的無電電鍍平台,除了在此之 站並不設置成刷箱。參照第1 1圖,濕式處理室較佳為 濕式製程平台2 1 3,乾式製程站較佳為位於群集工具 的工作介面2 3 0。本實施例的濕式處理室可包括結合 散到 過量 以約 基材 2 156 屬層 移除 域所 IBC 過量 450 200 退火 錄金 圖之 製程 位於 200 SC-1 107 1374951 與原生氧化物濕式清洗的製程室、無電電鍍室、SRD室、 或蒸汽乾燥室;某些實施例還包括酸剝除室。乾式處理室 可包括用來移除原生氧化物的電漿輔助乾蝕刻室、超臨界 清洗室、及退火室。無電電鍍沉積之後,最好採用垂直定 位的蒸汽乾燥室(即浸槽型溶劑乾燥室)來洗滌與乾燥基 材,藉以減少剛沉積之金屬層的氧化。蒸汽乾燥裝置的實 施例已配合第9圖說明於上。Upon annealing to a sufficient temperature, the atoms of the metal layer 2156 expand the substrate 2153 to form the second stage germanide region 2157 and leave the metal layer 2156A. For example, if the metal layer 2156 is a nickel layer, the 350 °C annealed substrate 2153 will form a nickel (Ni2Si) region in the 2 153 adjacent to the metal layer 2156. Similarly, if the metal layer is a cobalt layer, annealing the substrate 2153 at about 450 °C will form a cobalt telluride (CoSi) region in the substrate 2153 adjacent to the gold 2156. After the first stage annealing treatment, an acid stripping process can be performed to excess metal layer 2156A on substrate 2153. The acid stripping process is well known in the art and can be used in horizontally positioned wet processing chambers, such as chamber or electroless plating chambers. Figure 21E illustrates the crucible contact hole 2150 after the acid stripping process has removed the metal layer 2156A. For some metals, the second stage annealing temperature is lower, such as dry t to about 550 °C, so the second stage annealing can be performed in a cluster tool. Nickel is one of them. Referring to Figure 2F, the second stage processing substrate 2153 can form a second stage telluride region 2157A; for example, the composition is nickel germanium (NiSi). 2. Overview of the cluster tool configuration In this embodiment, the cluster tool 200 is generally identical to the above-described eleventh electroless plating platform with a brush box and a steam drying device, except that the station is not provided as a brush box at this station. Referring to Figure 11, the wet processing chamber is preferably a wet processing platform 201, and the dry processing station is preferably located at the working interface of the cluster tool. The wet processing chamber of the present embodiment may include a combination of dispersing excess to about 2 156 genus removal domains, IBC excess 450 200 annealing gold map process at 200 SC-1 107 1374951 with native oxide wet cleaning Process chamber, electroless plating chamber, SRD chamber, or steam drying chamber; some embodiments also include an acid stripping chamber. The dry processing chamber may include a plasma assisted dry etch chamber, a supercritical cleaning chamber, and an anneal chamber for removing native oxide. After electroless plating deposition, it is preferred to use a vertically positioned vapor drying chamber (i.e., a dip tank type solvent drying chamber) to wash and dry the substrate to reduce oxidation of the as-deposited metal layer. An embodiment of the steam drying apparatus has been described above in connection with Fig. 9.

根據一較佳實施例,一用於 S C -1清洗與原生氧化物 濕式清洗的浸槽型製程室、和一環控封閉區(如第6圖之製 程封閉區302)中的無電電鍍室配成一組。如此,在移除基 材之矽基表面上的原生氧化物後,基材可立即進行無電電 鍍。參照第11圖,製程封閉區3 0 2可包括製程站2 1 0、2 1 2, 其分別做為濕式清洗室(結合S C -1與原生氧化物濕式清洗 的製程室)及無電電鍍室。According to a preferred embodiment, a dip tank type process chamber for SC-1 cleaning and native oxide wet cleaning, and an electroless plating chamber for a ring control enclosure (such as process enclosure 302 in FIG. 6) In a group. Thus, after removal of the native oxide on the surface of the base of the substrate, the substrate can be electrolessly plated immediately. Referring to FIG. 11, the process enclosure 30 2 may include a process station 2 1 0, 2 1 2, which are respectively used as a wet cleaning chamber (a process chamber combining SC-1 and a raw oxide wet cleaning) and electroless plating. room.

另一實施例是在電漿輔助乾蝕刻室中移除原生氧化 物。根據一實施例,電渡辅助乾钱刻室位在工作介面2 3 0。 因工作介面2 3 0的配置導致難以維持無氧環境,因此若電 漿輔助乾蝕刻室設於工作介面 2 3 0,則移除原生氧化物後 將可能接觸到氧氣。但藉由控制基材在乾蝕刻室與無電電 鍵室間的行程(staging),基材在空氣中的等待時間可限制 為數秒範圍,進而減少再氧化的發生。再者,由於群集工 具2 00所處理之各基材接觸氧氣的時間實質上為相同,故 可降低不同接觸時間所造成的氧化差異量。用來限制基材 在空氣中的等待時間的行程將配合第2 2圖詳述於後。須注 108 1374951 意的是,若原生氧化物移除步驟與無電電鍍步驟在不同的 製程系統進行,則於無電電鍍前將無可避免地發生氧化現 象。根據另一實施例,製程封閉區的製程站可做為電漿辅 助乾蝕刻室,如製程封閉區302的製程站210。在此較佳 實施例中,製程站212作為無電電鍍室,如此基材在電漿 輔助乾蝕刻室與無電電鍍室間移動時並不會接觸空氣。Another embodiment is the removal of native oxide in a plasma assisted dry etch chamber. According to an embodiment, the electric bypass assisted dry money chamber is located at the working interface 2300. It is difficult to maintain an oxygen-free environment due to the configuration of the working interface 230. Therefore, if the plasma-assisted dry etching chamber is provided at the working interface 230, the native oxide may be exposed to oxygen. However, by controlling the staging of the substrate between the dry etch chamber and the electroless key chamber, the waiting time of the substrate in the air can be limited to a few seconds, thereby reducing the occurrence of reoxidation. Furthermore, since the time required for each substrate treated by the cluster tool 200 to contact oxygen is substantially the same, the amount of oxidation difference caused by different contact times can be reduced. The stroke used to limit the waiting time of the substrate in the air will be detailed later in Figure 22. Note 108 1374951 means that if the primary oxide removal step and the electroless plating step are performed in different process systems, oxidation will inevitably occur prior to electroless plating. According to another embodiment, the process station of the process enclosure can be used as a plasma assisted dry etch chamber, such as process station 210 of process enclosure 302. In the preferred embodiment, process station 212 acts as an electroless plating chamber such that the substrate does not contact air as it moves between the plasma assisted dry etch chamber and the electroless plating chamber.

在一較佳實施例中,多個無電電鍍室設在濕式製程平 台213中,且各自與一製程封閉區内的原生氧化物移除室 配對。例如,製程站202、2 04可組成一對製程室,製程站 206、208可組成第二對製程室,而製程站210、212可組 成第三對製程室。製程站214、216為SRD室或蒸汽乾燥 室。傳動杆250及翻轉機械手臂251(第9A圖)可置於濕式 製程平台2 1 3,以傳送基材於蒸汽乾燥室或原生氧化物移 除室(當作垂直定位的浸泡槽)與水平定位的製程站(如無 電電鍍室)之間。In a preferred embodiment, a plurality of electroless plating chambers are disposed in the wet process platform 213 and are each paired with a native oxide removal chamber within a process enclosure. For example, process stations 202, 206 may form a pair of process chambers, process stations 206, 208 may form a second pair of process chambers, and process stations 210, 212 may form a third pair of process chambers. Process stations 214, 216 are SRD chambers or steam drying chambers. The drive rod 250 and the tilting robot arm 251 (Fig. 9A) can be placed on the wet process platform 201 to transfer the substrate to the steam drying chamber or the native oxide removal chamber (as a vertically positioned soak tank) and level Positioning between process stations (such as electroless plating chambers).

3.製程順序 形成矽化物於矽接觸孔的基材處理流程 2 2 0 0之一實 施例將參照第2 2圖說明於下。 步驟2 2 0 1為移除基材表面的有機污染物,參照第2 1 A 圖之基材2 1 5 3。在一實施例中,S C -1清洗製程可在第1 1 A 圖之濕式製程平台213上的水平或垂直定位之濕式處理室 中進行。可進行此製程步驟的濕式處理室包括SRD室、IBC 室、無電電鍍室、及蒸汽乾燥室。在另一實施例中,可使 109 (5 1374951 用超臨界流體來移除有機污染物,其中超臨界清洗室設於 群集工具200的工作介面230。3. Process sequence The substrate processing flow for forming a telluride in the contact hole of the crucible is described below with reference to FIG. 2 . Step 2 2 0 1 To remove the organic contaminants on the surface of the substrate, refer to the substrate 2 1 5 3 of Figure 2 1 A. In one embodiment, the S C -1 cleaning process can be performed in a horizontal or vertical positioning wet processing chamber on the wet process platform 213 of Figure 1A. The wet processing chamber that can perform this process step includes an SRD chamber, an IBC chamber, an electroless plating chamber, and a steam drying chamber. In another embodiment, 109 (5 1374951) may be used to remove organic contaminants using a supercritical fluid, wherein the supercritical cleaning chamber is disposed in the working interface 230 of the cluster tool 200.

步驟2202為在無電電鍍金屬層前,移除基材之矽接觸 孔上的原生氧化物(如原生氧化層2151)。根據一較佳實施 例,原生氧化物是由上述第2 1B圖之HF為基礎的濕式清 洗製程所移除。在此實施例中,步驟2202與步驟2201為 在同一濕式處理室中進行。除了僅需較少的製程室數量 外,原生氧化物還可緊接在移除有機污染物之後移除,故 可降低各製程步驟間二度污染基材的機會。此種雙效清洗 室可為水平定位之濕式處理室,例如IBC室,或為垂直定 位之濕式處理室,例如蒸汽乾燥室。根據另一實施例,原 生氧化物是在電漿輔助乾蝕刻室移除,其為不同於SC-1 清洗室的製程室。Step 2202 is to remove the native oxide (e.g., native oxide layer 2151) on the contact holes of the substrate prior to electroless plating of the metal layer. According to a preferred embodiment, the native oxide is removed by the HF-based wet cleaning process of Figure 2B. In this embodiment, step 2202 and step 2201 are performed in the same wet processing chamber. In addition to requiring only a small number of process chambers, the native oxide can be removed immediately after removal of organic contaminants, thereby reducing the chance of secondary contamination of the substrate between process steps. Such double-effect cleaning chambers can be horizontally positioned wet processing chambers, such as IBC chambers, or vertically positioned wet processing chambers, such as steam drying chambers. According to another embodiment, the native oxide is removed in a plasma assisted dry etch chamber, which is a process chamber that is different from the SC-1 cleaning chamber.

步驟2203為利用無電製程選擇性沉積金屬層至無氧 化物(oxide-free)接觸孔的氫化矽層上,同時參照第 21 C 圖。根據一較佳實施例,一用來進行S C -1製程與原生氧 化物清洗製程的預清洗室和一無電電鍍室配成一組。二製 程室均位於環控封閉區内,以避免矽接觸孔在沉積前即氧 化,進而利用無電矽化製程形成高品質的接觸孔以連接矽 基基材上的元件。由於基材上的氫化石夕層在金屬沉積前極 易氧化,因此在無氧環境中組合預清洗室與無電電鍍室可 縮短與矽化物形成製程相關的等待時間。在氮氣淨化的環 境下,需經1 〇分鐘以上才會有顯著的氧化物生成。根據另 一實施例,一電漿輔助乾姓刻室和一無電電鍍室均在環控 110 1374951 封閉區内配對成组。根據又一實施例,電漿輔助乾蝕刻室 非位於設置無電電鍍室的封閉區,而是位於工作介面 2 3 0。藉此可控制基材的等待時間以減少氧化。例如在步驟 2202之後,基材可先停留在電漿輔助乾蝕刻室的無氧環境 中,直到取得空出的無電電鍍室。基材隨後可直接從一無 氧環境傳送到另一無氧環境,如此基材僅接觸空氣數秒的 時間,因而可減少不必要的氧化發生。Step 2203 is to selectively deposit a metal layer onto the hydrogenated germanium layer of the oxide-free contact hole using an electroless process, while referring to Figure 21C. According to a preferred embodiment, a pre-cleaning chamber and an electroless plating chamber for performing the S C -1 process and the native oxide cleaning process are grouped together. The two processes are located in the environmentally controlled enclosure to avoid oxidation of the tantalum contact holes prior to deposition, thereby forming a high quality contact hole to connect the components on the base substrate using an electroless process. Since the hydrogenated layer on the substrate is highly oxidizable prior to metal deposition, combining the pre-cleaning chamber with the electroless plating chamber in an oxygen-free environment can reduce the waiting time associated with the telluride formation process. In the nitrogen purge environment, it takes more than 1 minute for significant oxide formation. According to another embodiment, a plasma assisted dry and a non-electrical plating chamber are paired in a closed area within the enclosure 110 1374951. According to yet another embodiment, the plasma assisted dry etch chamber is not located in the closed region where the electroless plating chamber is disposed, but is located at the working interface 203. Thereby the waiting time of the substrate can be controlled to reduce oxidation. For example, after step 2202, the substrate may first reside in the oxygen-free environment of the plasma-assisted dry etch chamber until an vacant electroless plating chamber is obtained. The substrate can then be transferred directly from an oxygen-free environment to another oxygen-free environment such that the substrate is only exposed to air for a few seconds, thereby reducing unnecessary oxidation.

步驟2204為利用退火製程來形成第一階段矽化物。退 火製程為在退火室進行,退火室的例子將配合第23圖描述 於後。退大室可置於群集工具200的工作介面230,例如 製程站235及/或製程站235a。因形成第一階段矽化物的 退火製程相當費時,故可在工作介面230中配置多個退火 室,以免降低系統產能。在一實施例中,多個退火室為垂 直堆疊在製程站 2 3 5。須注意的是,在形成第一階段矽化 物之前,剛沉積的金屬層一直都極易氧化。由於進行矽化 物形成製程的所有製程室皆設於同一平台(即群集工具 2 00),因此可控制基材在步驟 2203(沉積金屬)與步驟 2 2 04(形成矽化物)之間的等待時間和接觸氧氣的時間。基 材可依上述步驟2 2 0 3加以安排傳輸。例如,當基材完成步 驟2203,並無空出的退火室時,基材可先停留在無電電鍍 室的無氧環境中,直到取得空出的退火室。 在步驟2205中,酸剝除製程可移除殘留在基材之矽接 觸孔上的過量金屬。步驟2205可在群集工具200的一些濕 式處理室中進行,包括IBC室及無電電鍍室。在一較佳實 (S ) 111 1374951 施例中,可配置專門進行酸剝除製程的製程室,以減低其 對產能的衝擊。 在步驟22 06中,某些金屬可在與第一階段矽化物形成 步驟2 2 04相同的退火室中形成第二階段矽化物。金屬例如 為鎳。對於600 °C以上才能形成第二階段矽化物的金屬而 言,步驟2 2 06 —般是在個別的基材處理系統進行,如快速 熱處理(rapid thermal processing ; RTP)系統。Step 2204 is to form a first stage telluride using an annealing process. The annealing process is carried out in an annealing chamber, and an example of the annealing chamber will be described later in connection with Fig. 23. The retraction room can be placed in the working interface 230 of the cluster tool 200, such as the process station 235 and/or the process station 235a. Since the annealing process for forming the first stage telluride is time consuming, a plurality of annealing chambers can be disposed in the working interface 230 to avoid reducing system throughput. In one embodiment, a plurality of annealing chambers are stacked vertically at the process station 234. It should be noted that the newly deposited metal layer is always highly oxidizable until the first stage bismuth is formed. Since all process chambers for the telluride formation process are located on the same platform (ie, cluster tool 200), the waiting time between the substrate at step 2203 (deposited metal) and step 2 2 04 (formation of germanium) can be controlled. And the time of exposure to oxygen. The substrate can be transported in accordance with step 2 2 0 3 above. For example, when the substrate completes step 2203 and there is no vacant annealing chamber, the substrate may first remain in the oxygen-free environment of the electroless plating chamber until an vacant annealing chamber is obtained. In step 2205, the acid stripping process removes excess metal remaining on the contact holes of the substrate. Step 2205 can be performed in some of the wet processing chambers of cluster tool 200, including an IBC chamber and an electroless plating chamber. In a preferred embodiment (S) 111 1374951, a process chamber dedicated to the acid stripping process can be configured to reduce its impact on throughput. In step 22 06, certain metals may form a second stage telluride in the same annealing chamber as the first stage telluride formation step 2 2 04. The metal is, for example, nickel. For metals that form a second stage of telluride above 600 °C, step 2 2 06 is typically performed in an individual substrate processing system, such as a rapid thermal processing (RTP) system.

4.退火室說明4. Annealing chamber description

本發明實施例包括將多個基材處理室合併至單一群集 工具,以使用矽化製程來處理源極與汲極,並具短暫且可 控制的等待時間,且於矽化製程的各步驟間不會發生不必 要的氧化。矽化製程所需的處理室包括一或多個預清洗室 (超臨界清洗室、電漿輔助乾蝕刻室、蒸汽乾燥室、或IBC 室)、無電電鍍室、及退火室。或者,其還可包括酸剝除室。 大部分的處理室與其進行製程的例子已說明於前文。退火 室則將概述如下。 第23圖為本發明退火室2399之一實施例的立體上視 圖,其移開了退火室的上蓋部分,以透視内部元件。退火 室 2399 —般包括一定義出封閉之製程空間 2300的室體 2 3 01。封閉之製程空間 2 3 0 0包括彼此相鄰設置的加熱盤 2 3 02與冷卻盤2304。基材傳輸機制2306設置於鄰近加熱 盤2302與冷卻盤 2304之處,且接收來自製程空間 2300 外側的基材,並在退火過程中傳送基材於各加熱盤 2302 112 1374951 與冷卻盤2304之間。基材傳輸機制2306 —般包括柜接的 機械臂裝置,其機械臂末端設有基材支樓構件/葉片23〇8。 葉片23 08包括複數個基材支撐護片2310,其與葉片23〇8 相隔一預定距離’用以共同支撐其上的基材。每一支樓護 片2310 —般為垂直設離(通常為向下)葉片2308 〇王趙,而 在葉片2308與護片2310間形成—垂直空間。此空間可使 基材在裝載時放置到護片2310上。Embodiments of the present invention include incorporating multiple substrate processing chambers into a single cluster tool to process source and drain using a deuteration process with short and controllable latency and without inter-steps in the deuteration process Unwanted oxidation occurs. The processing chamber required for the deuteration process includes one or more pre-cleaning chambers (supercritical cleaning chamber, plasma assisted dry etching chamber, steam drying chamber, or IBC chamber), an electroless plating chamber, and an annealing chamber. Alternatively, it may also include an acid stripping chamber. An example of most of the processing chambers with which they are processed is described above. The annealing chamber will be outlined below. Figure 23 is a perspective top view of one embodiment of an annealing chamber 2399 of the present invention with the upper cover portion of the annealing chamber removed to see through the internal components. Annealing chamber 2399 generally includes a chamber body 2 3 01 defining a closed process space 2300. The closed process space 2300 includes a heating plate 2323 and a cooling plate 2304 disposed adjacent to each other. The substrate transfer mechanism 2306 is disposed adjacent to the heating plate 2302 and the cooling plate 2304, and receives the substrate from the outside of the process space 2300, and transfers the substrate between the heating plates 2302 112 1374951 and the cooling plate 2304 during the annealing process. . The substrate transfer mechanism 2306 generally includes a hinged robotic arm device having a substrate support member/blade 23〇8 at the end of the robot arm. The blade 23 08 includes a plurality of substrate support panels 2310 spaced a predetermined distance from the blades 23A for collectively supporting the substrate thereon. Each of the floor panels 2310 is generally vertically spaced (usually downward) from the blade 2308, and a vertical space is formed between the blade 2308 and the panel 2310. This space allows the substrate to be placed onto the panel 2310 during loading.

退火室室 部的製程空間2300。室體23〇1 —般包括複數個貫穿其中 的流體導管(未繪不)’用以循環冷卻流體來降低室體2 3 〇 i 溫度。冷卻流體可由室體23〇1的導管供應,且由冷卻流體 連接裝置(未繪示)流通整個室體23〇1。 冷卻盤2304 —般包括實質為水平的上表面用以支撑 基材。上表面包括複數個真空開口 2322,其係選擇性與真 空源(未繪示)為流體連通,且通常是用於降壓以固定或真 空吸附基材至冷卻盤2304上表面。冷卻盤23〇4内部可包The process space of the annealing chamber is 2300. The chamber body 23〇 generally includes a plurality of fluid conduits (not shown) therethrough for circulating a cooling fluid to reduce the temperature of the chamber body 2 3 〇 i . The cooling fluid may be supplied from a conduit of the chamber body 23〇1, and the entire chamber body 23〇1 is circulated by a cooling fluid connection device (not shown). Cooling plate 2304 typically includes a substantially horizontal upper surface for supporting the substrate. The upper surface includes a plurality of vacuum openings 2322 that are selectively in fluid communication with a vacuum source (not shown) and are typically used for depressurization to immobilize or vacuum adsorb the substrate to the upper surface of the cooling disk 2304. Cooling plate 23〇4 can be packaged inside

括複數個形成其中的流體導管,“與冷卻流體源為流體 連通,以冷卻室體230 1。冷卻盤23〇4可快速冷卻置於其 上的基材。 類似冷卻盤2304,加熱盤23〇2亦包括實質為水平的 基材支撺上表面。基材支撐上表面包括複數個真空開口 2322,各真空開口 23 2Z為選擇性與真空源(未繪示)為流體 連通,且可真空吸附或固定基材至加熱盤23〇2以供處理。 加熱盤2302内部包括-加熱元件(未繪示),用以加熱加熱 113 1374951 盤2302表面至约100 °C至約500 °C。另外,一或多個真 空開口 2322也可與一加熱氣體供應源為流體連通,如此一 或多個開口可在製程進行時分配已加熱氣體至基材背面。A plurality of fluid conduits are formed therein, "in fluid communication with the source of cooling fluid to cool the chamber body 230 1 . The cooling tray 23 〇 4 can rapidly cool the substrate placed thereon. Similar to the cooling tray 2304, the heating tray 23 2 also includes a substantially horizontal substrate support upper surface. The substrate support upper surface includes a plurality of vacuum openings 2322, each of which is selectively in fluid communication with a vacuum source (not shown) and is vacuum absorbing Or fixing the substrate to the heating plate 23〇2 for processing. The heating plate 2302 includes a heating element (not shown) for heating to heat the surface of the disk 1302951 to about 100 ° C to about 500 ° C. The one or more vacuum openings 2322 can also be in fluid communication with a source of heated gas such that the one or more openings can distribute the heated gas to the back side of the substrate as the process progresses.

退火室2399可包括一與製程空間2300為流體連通的 抽吸開口 2324。柚吸開口 2324為選擇性與真空源(未繪示) 為流體連通,且通常用來排出製程空間 2300的氣體。此 外,退火室2399 —般包括至少一氣體分散口 2326或氣體 分散噴灑頭,設置鄰近於加熱盤2302。氣體分散口 2326 為選擇性與-製程氣.體源為流體連通,並分配製程氣體至 製程空間2300中。真空抽吸開口 2324及氣體分散喷嘴可 一起或個別減少退火室2 3 9 9的大氣含量,即同時使用兩種 裝置或使用其中一種裝置。Annealing chamber 2399 can include a suction opening 2324 in fluid communication with process space 2300. The pomelo suction opening 2324 is selectively in fluid communication with a vacuum source (not shown) and is typically used to evacuate the process space 2300. In addition, the annealing chamber 2399 generally includes at least one gas dispersion port 2326 or a gas dispersion shower head disposed adjacent to the heating plate 2302. The gas dispersion port 2326 is in fluid communication with the selective process gas source and distributes the process gas to the process space 2300. The vacuum suction opening 2324 and the gas dispersion nozzle can reduce the atmospheric content of the annealing chamber 2399 by either together or individually, i.e., using either or both devices.

操作時,一旦基材傳輸進入退火室2399且由護片2310 撐托,外部機械手臂葉片2 3 1 2即可撤出製程空間2 3 00, 並可關閉進出門2 3 1 4,以隔開製程空間2 3 0 0與周遭環境。 在此實施例中,關閉進出門 2 3 1 4後,可啟動與抽吸開口 2 3 2 4為流體連通的真空源,以抽吸出製程空間2 3 0 0内的 部分氣體。在抽吸的過程中或於柚吸完成後,可打開氣體 分散口 2326,使製程氣體充滿製程空間2300。製程氣體一 般為在退火處理條件下不會發生反應的惰性氣體。抽吸步 驟和充滿惰性氣體步驟一般是為了盡可能移除退火室 2 399/製程空間2300中的氧氣,此乃因在退火時氧氣會導 致基材表面氧化。當製程室達到一定的壓力及氣體濃度, 則可關閉真空源與停止流入氣體;或者在退火時,仍可保 114 1374951 持開啟真空源,而氣體輸送喷嘴可持續將製程氣體流入製 程空間。 雖然本發明已以較佳實施例揭露如上,然其並非用以 限定本發明,任何熟習此技藝者,在不脫離本發明之精神 和範圍内,當可作各種之更動與潤飾,因此本發明之保護 範圍當視後附之申請專利範圍所界定者為準。 【圖式簡單說明】In operation, once the substrate is transferred into the annealing chamber 2399 and supported by the guard 2310, the outer robot blade 2 3 1 2 can be withdrawn from the process space 2 3 00 and the access door 2 3 1 4 can be closed to separate Process space 2 3 0 0 and the surrounding environment. In this embodiment, after the access door 2 3 1 4 is closed, a vacuum source in fluid communication with the suction opening 2 3 2 4 can be activated to draw a portion of the gas within the process space 2300. During the aspiration process or after the pomelo suction is completed, the gas dispersion port 2326 can be opened to fill the process space 2300 with the process gas. The process gas is generally an inert gas that does not react under annealing conditions. The aspiration step and the inert gas-filling step are generally performed to remove as much oxygen as possible from the annealing chamber 2 399 / process space 2300 because oxygen causes oxidation of the surface of the substrate during annealing. When the process chamber reaches a certain pressure and gas concentration, the vacuum source can be turned off and the inflow gas can be stopped; or when the annealing is performed, the vacuum source can be maintained at 114 1374951, and the gas delivery nozzle can continuously flow the process gas into the process space. While the present invention has been described above by way of a preferred embodiment, it is not intended to limit the invention, and the present invention may be modified and modified without departing from the spirit and scope of the invention. The scope of protection is subject to the definition of the scope of the patent application. [Simple description of the map]

為讓本發明之上述特徵更明顯易懂,可配合參考實施 例說明,其部分乃繪示如附圖式。須注意的是,雖然所附 圖式揭露本發明特定實施例,但其並非用以限定本發明之 精神與範圍,任何熟習此技藝者,當可作各種之更動與潤 飾而得等效實施例。 第1 A圖(習知技術)為一基材結構的立體剖面示意圖。 第1B圖(習知技術)為沉積一覆蓋層於第1A圖之基材 結構後的立體剖面圖。In order to make the above features of the present invention more comprehensible, the description may be made in conjunction with the reference embodiments. It is to be understood that the specific embodiments of the invention are not to be construed as limiting the scope of the invention. . Figure 1A (Prior Art) is a schematic cross-sectional view of a substrate structure. Fig. 1B (conventional technique) is a perspective cross-sectional view showing the deposition of a cover layer on the substrate structure of Fig. 1A.

第1 C圖為沉積一銅層於基材結構前的側視圖。 第1D圖為利用典型無電電鍍製程沉積銅層於第1C圖 之基材結構後的側視圖。 第1E圖為利用 ECP過填製程沉積第二銅層於第1D 圖之基材結構後的側視圖。 第1F-1K圖繪示利用在此所述之製程的整合式積體電 路製程順序的流程剖面圖。 第2圖為沉積系統之一實施例的平面示意圖。 C S ) 115 1374951 第2A圖為沉積系統之一實施例的平面示意圖。 第2B圖為電鍍室之一實施例的剖面圖。 第3圖為基材斜面清洗室之一實施例的立體示意圖.。 第4圖為基材旋轉洗滌乾燥室之一實施例的部分立體 示意圖。 第’5A圖為具選擇性之無電電鍍製程的流程圖。 第5B圖為不具選擇性之無電電鍍製程的流程圖。Figure 1C is a side view of the deposition of a copper layer in front of the substrate structure. Figure 1D is a side view of the substrate structure of Figure 1C after depositing a copper layer using a typical electroless plating process. Figure 1E is a side elevational view of the second copper layer deposited on the substrate structure of Figure 1D using an ECP overfill process. 1F-1K is a flow cross-sectional view showing the process sequence of an integrated integrated circuit using the processes described herein. Figure 2 is a schematic plan view of one embodiment of a deposition system. C S ) 115 1374951 Figure 2A is a schematic plan view of one embodiment of a deposition system. Figure 2B is a cross-sectional view of one embodiment of a plating chamber. Figure 3 is a perspective view of an embodiment of a substrate bevel cleaning chamber. Figure 4 is a partial perspective view of one embodiment of a substrate rotating wash drying chamber. Figure 5A is a flow chart of a selective electroless plating process. Figure 5B is a flow diagram of an electroless plating process that is not selective.

第5C圖為具選擇性之無電電鍍製程的流程圖,其用 於填充高深寬比的接觸孔。 第 6圖為無電電鍍雙製程室之一實施例的立體示意 圖。 第 7圖為無電電鍍雙製程室之一實施例的立體示意 圖,且為清楚表示,其移除了製程封閉區。 第8圖為一組無電電鍍製程站之實施例的剖面圖。 第8 A圊為刷箱洗滌裝置之一實施例的立體側圖,其 可用於本發明之實施例。Figure 5C is a flow diagram of a selective electroless plating process for filling high aspect ratio contact holes. Figure 6 is a perspective view of an embodiment of an electroless plating dual process chamber. Figure 7 is a perspective view of one embodiment of an electroless plating dual process chamber, and for clarity, it removes the process enclosure. Figure 8 is a cross-sectional view of an embodiment of a set of electroless plating process stations. 8A is a perspective side view of one embodiment of a brush box washing apparatus that can be used in embodiments of the present invention.

第9圖為蒸汽乾燥裝置之一實施例的剖面圖,其說明 基材通過蒸汽乾燥裝置的過程。 第9A圖為傳動杆與翻轉機械手臂的立體圖,其用以 將基材送入刷箱洗滌室與蒸汽乾燥室。 第9 B圖為說明本發明實施例中各種垂直裝卸基材之 方法的流程圖。 第1 0圖為根據本發明一實施例之基材處理程序的流 程圖。 C S ) 116 1374951 第11圖為沉積系統之一實施例的平面示意圖。 第1 1 A圖為沉積系統之一實施例的平面示意圖。 第12A、12B、12C、12D及12E圖為根據本發明一實 施例之基材處理程序的流程圖。 第1 3圖為根據本發明一實施例之基材處理程序的流 程圖。 第14圖為一可結合本發明實施例之電容耦合電漿處 理室的剖面圖。Figure 9 is a cross-sectional view of one embodiment of a steam drying apparatus illustrating the process by which a substrate is passed through a steam drying apparatus. Figure 9A is a perspective view of the drive rod and the inverting robot arm for feeding the substrate into the brush box washing chamber and the steam drying chamber. Figure 9B is a flow chart illustrating various methods of vertically loading and unloading substrates in accordance with an embodiment of the present invention. Fig. 10 is a flow chart showing a substrate processing procedure according to an embodiment of the present invention. C S ) 116 1374951 Figure 11 is a plan view of one embodiment of a deposition system. Figure 11A is a schematic plan view of one embodiment of a deposition system. 12A, 12B, 12C, 12D and 12E are flow diagrams of a substrate processing procedure in accordance with an embodiment of the present invention. Figure 13 is a flow diagram of a substrate processing procedure in accordance with an embodiment of the present invention. Figure 14 is a cross-sectional view of a capacitively coupled plasma processing chamber in accordance with an embodiment of the present invention.

第14A圖為一電漿處理室的剖面圖,其可用來沉積含 釕之膜層至基材.上。 第1 5圖為根據本發明一實施例之基材處理程序的流 程圖。 第1 6圖為根據本發明一實施例之基材處理程序的流 程圖。 第1 7圖為根據本發明一實施例之基材處理程序的流 程圖。Figure 14A is a cross-sectional view of a plasma processing chamber that can be used to deposit a film containing ruthenium onto a substrate. Fig. 15 is a flow chart showing a substrate processing procedure according to an embodiment of the present invention. Figure 16 is a flow diagram of a substrate processing procedure in accordance with an embodiment of the present invention. Figure 17 is a flow diagram of a substrate processing procedure in accordance with an embodiment of the present invention.

第18A與18B圖為一製程室的剖面圖,其可用來沉積 還原層與催化層至基材上。 第18C與18D圖為一製程室.的剖面圖,其可用來沉積 還原層、催化層與ECP層至基材上。 第1 9圖為一用以加熱、冷卻及蝕刻之製程室的部分剖 面圖。 第2 0圖為超臨界清洗室之一實施例的剖面圖,其可用 於本發明之實施例。Figures 18A and 18B are cross-sectional views of a process chamber for depositing a reduction layer and a catalyst layer onto a substrate. Figures 18C and 18D are cross-sectional views of a process chamber which can be used to deposit a reduction layer, a catalytic layer and an ECP layer onto a substrate. Figure 19 is a partial cross-sectional view of a process chamber for heating, cooling, and etching. Figure 20 is a cross-sectional view of one embodiment of a supercritical cleaning chamber that can be used in embodiments of the present invention.

117 1374951 第21 A-2 IF圖為矽接觸孔的剖面圖,其說明使用本發 明方法形成矽化物於其上的過程。 第 22圖為根據本發明一實施例之形成矽化物於矽接 觸孔上的流程圖。 第23圖為本發明退火室之一實施例的立體上視圖,其 中退 火室之上蓋部分已 移除 ,故可透視内部元件 為清楚說明,各圖 中相 同的指 i號表示類似的 【主 要元件符號說明】 11 洗滌裝置 13a、 13b PVA 刷 15 凸塊 17 凹處 18 樞軸托架 19 基材支撐物 19a- 19c 滾輪 2 1 喷嘴 23 流體源 25 供應管 W1 基材 Ml 第一馬達 M2 第二馬達 100 基材結構 110 基材結構 101 、 102 、 103 内 1 04a ' 104b 粒子 105 覆蓋層 10 6a ' 106b 邊緣 107 距離 111' 112 特徵結構 1 12a 室體 113 寬度 113a 流道 114 厚度 115 無電銅層 1 16 ECP層 120 基材 12 1 介電層 122 開口 内連線 118 1374951117 1374951 The 21st A-2 IF diagram is a cross-sectional view of the 矽 contact hole illustrating the process by which the mash is formed using the method of the present invention. Figure 22 is a flow chart showing the formation of a telluride on a contact hole in accordance with an embodiment of the present invention. Figure 23 is a perspective top view of an embodiment of the annealing chamber of the present invention, wherein the upper cover portion of the annealing chamber has been removed, so that the internal components can be seen in perspective for clarity, and the same reference numerals in the respective figures indicate similar [main components DESCRIPTION OF SYMBOLS 11 Washing device 13a, 13b PVA Brush 15 Bump 17 Recess 18 Pivot bracket 19 Substrate support 19a- 19c Roller 2 1 Nozzle 23 Fluid source 25 Supply tube W1 Substrate M1 First motor M2 Second Motor 100 Substrate structure 110 Substrate structure 101, 102, 103 Inside 104a '104b Particle 105 Cover layer 10 6a ' 106b Edge 107 Distance 111' 112 Characteristic structure 1 12a Chamber 113 113 Width 113a Flow path 114 Thickness 115 Electroless copper layer 1 16 ECP layer 120 substrate 12 1 dielectric layer 122 open interconnection 118 1374951

123 接觸層 124 阻障層 125a 真空幫浦 126 還原層 127 液體源 127a 節流閥 128 催化層 128a- 128f 液體貯槽 129 晶種層 129a 柚吸道 129b 、159 閥門 130 塊體層 13 1a 真空埠 133a 内襯 135a 開口 140a 處理區域 144 注入口 148 下壁 150 製程擋板 15 1 廢物收集系統 152 孔洞 153 排放區 154 密封墊 155 處理區域 160 氣體貯槽 160a 閥口 161 氣體源 163 陽極 200 (群集)工具 200a 上蓋組件 200B 電鍍室 201B 外盆 202B 202、 内盆 204 、 206 、 208 、 210 > 212 、 214、 216 製程 203B 框架構件 204B 基底構件 205B 陽極 206B 最上端 2 10a 上蓋邊緣 2 1 OB 電鍍頭組件 2 11 控制器 21 IB 接觸環 2 13 (濕式)製程平台 2 15 連結通道 2 16a 刷箱 2 16b 蒸汽乾燥裝置 (5 ) 119 1374951 220 ' 232 機械手臂 222、 224 葉片 230 工作介面 235、 235a 製程 站 250 傳動杆 250b 軌道 270a 加熱元件 300a 支撐組件 302 封閉區 304 銷 309 排水盆 360a 流道 401 碗狀物件 403 指狀物件 408、 410 噴嘴 500、 510' 520 流程 220a 、240a 電極 226 基材 234 裝載台 241a 電源 250a 頂板 25 1 翻轉機械手臂 300 IBC室 301 内壁 303 吸座 305、 306 分配臂 3 10a 支撐裝置 400 SRD室 402 旋轂 404 基材 450 分配臂123 contact layer 124 barrier layer 125a vacuum pump 126 reduction layer 127 liquid source 127a throttle valve 128 catalytic layer 128a-128f liquid storage tank 129 seed layer 129a grapefruit channel 129b, 159 valve 130 block layer 13 1a vacuum 埠 133a Lining 135a opening 140a processing area 144 injection inlet 148 lower wall 150 process baffle 15 1 waste collection system 152 hole 153 discharge area 154 gasket 155 treatment area 160 gas sump 160a valve port 161 gas source 163 anode 200 (cluster) tool 200a upper cover Assembly 200B plating chamber 201B outer basin 202B 202, inner basin 204, 206, 208, 210 > 212, 214, 216 process 203B frame member 204B base member 205B anode 206B uppermost end 2 10a upper lid edge 2 1 OB plating head assembly 2 11 Controller 21 IB contact ring 2 13 (wet) process platform 2 15 connection channel 2 16a brush box 2 16b steam drying device (5) 119 1374951 220 ' 232 robot arm 222, 224 blade 230 working interface 235, 235a process station 250 Transmission rod 250b rail 270a heating element 300a support assembly 302 enclosed area 3 04 pin 309 drain basin 360a runner 401 bowl 403 finger 408, 410 nozzle 500, 510' 520 process 220a, 240a electrode 226 substrate 234 loading station 241a power supply 250a top plate 25 1 flip robot 300 IBC chamber 301 inner wall 303 Suction seat 305, 306 Dispensing arm 3 10a Supporting device 400 SRD chamber 402 Rotor hub 404 Substrate 450 Dispensing arm

600 沉積室 601 輸送系統 603A 製程室 605 傳輸裝置 6 10 狹縫 612、 613 製程 空 間 612B 臭氧產生 裝 置 615 環控裝置 603 箭頭 604 閥門 608 内壁 6 1 1、6 1 1 A - 6 1 1 E 氣體源 6 1 2A 臭氧隔離閥 614 排放口 620、 634C、 644 熱交換器 120 1374951 621 ' 634B、642 控溫器 623 基材支撐物 624 導體塊 624A 支撐面 630 處理容器 63 1 容器 634A 、643 控溫裝置 635 輸入口 636 輸出口 637 處理管線 637A 閥門 63 8、 639 、 661 隔 640 來源容器組件 641 來源容器 645 入口 646 出σ 650 排放糸統 65 1 排放管線 660 出口管線 670、 672 電漿源 673 入口管線 700 沉積站 701 基材 702、 704 製程站 703 平台組件 703 A 擴散構件 706、 708 分配臂 709 導管 7 12 指狀物件 7 13 升降裝置 7 14 支撐裝置 911 蒸汽乾燥裝置 9 18 沈浸室 920 溢流堰 924 隔牆 926 洗蘇區 927 流體 928 乾燥區 930、 932 喷嘴 934 裝載口 936 托架 937 卸載口 944 推進器 946 斜導 950 喷灑機制 958 基材平台 960 捕捉器 962 指狀物件 隔離閥 121 5 1374951600 deposition chamber 601 conveying system 603A process chamber 605 conveying device 6 10 slit 612, 613 process space 612B ozone generating device 615 environmental control device 603 arrow 604 valve 608 inner wall 6 1 1 , 6 1 1 A - 6 1 1 E gas source 6 1 2A ozone isolation valve 614 discharge port 620, 634C, 644 heat exchanger 120 1374951 621 '634B, 642 temperature controller 623 substrate support 624 conductor block 624A support surface 630 processing container 63 1 container 634A, 643 temperature control device 635 Input port 636 Output port 637 Processing line 637A Valve 63 8 , 639 , 661 Separate 640 Source container assembly 641 Source container 645 Inlet 646 Out σ 650 Emission system 65 1 Discharge line 660 Outlet line 670, 672 Plasma source 673 Inlet line 700 deposition station 701 substrate 702, 704 process station 703 platform assembly 703 A diffusion member 706, 708 distribution arm 709 conduit 7 12 finger member 7 13 lifting device 7 14 support device 911 steam drying device 9 18 immersion chamber 920 overflow 堰924 partition 926 wash area 927 fluid 928 drying area 930, 932 nozzle 934 load port 936 support 937 946 a discharge opening 944 inclined guiding the pusher mechanism 958 950 spraying the substrate platform 960 capture the fingers 962 objects isolation valve 12,151,374,951

w、w,、w"、w·"、w"" 基材 970 垂直杆 97 1 握爪裝置 972 内站 973b 、973c ' 973d 974 蒸汽乾燥裝置 975 刷箱室 976 傳動橫杆 1300 流程 1405 側壁 1406 頂壁 140 7 基底 1410 噴灑頭 1415 基材座 1420 空間 1421 製程區 1422 基材 1425 氣體供應源 1426 入口管線 1427 處理區域 1430 噴嘴口 1435 幫浦 1450 製程室 1462 產生器 1464 ' 1 475 阻抗; 1490 電源 1800 沉積室 1806 製程室 1807 底部 18 12 基材支撐物 1813 支撐裝置 18 14 基材接收面 1818 升舉銷 1827 排放裝置 1849 收集槽系統 1900 製程室 2 100 清洗室 2018 封閉區 2 114 基材支撐物 2 115 音波換能器 2123 流體管線 2 126 幫浦/壓縮機 基材 配元件 if «1. 1 122 1374951w, w,, w", w·", w"" Substrate 970 Vertical rod 97 1 Grip device 972 Internal station 973b, 973c ' 973d 974 Steam drying unit 975 Brush box 976 Transmission cross rod 1300 Flow 1405 Side wall 1406 top wall 140 7 base 1410 sprinkler head 1415 substrate holder 1420 space 1421 process area 1422 substrate 1425 gas supply 1426 inlet line 1427 processing area 1430 nozzle port 1435 pump 1450 process chamber 1462 generator 1464 '1 475 impedance; 1490 Power supply 1800 Deposition chamber 1806 Process chamber 1807 Bottom 18 12 Substrate support 1813 Support device 18 14 Substrate receiving surface 1818 Lift pin 1827 Discharge device 1849 Collection trough system 1900 Process chamber 2 100 Cleaning chamber 2018 Enclosed area 2 114 Substrate Support 2 115 Sonic transducer 2123 Fluid line 2 126 Pump/compressor substrate with components if «1. 1 122 1374951

2132 ' 2 143 加熱元件 2 150 矽接觸孔 2 15 1 原生氧化層 2152 介電層 2 153 基材 2 154 梦表面 2156 ' 2 1 56Α 金屬層 2157 ' 2157Α J 2200 流程 2300 製程空 間 2301 室體 2302 加熱盤 2304 冷卻盤 2306 基材傳輸機制 2308、 2312 葉 23 10 護片 23 14 進出門 2322、 2324 開口 2326 氣體分散 2399 退火室 化物區域 片 σ C S ) 1232132 ' 2 143 Heating element 2 150 矽 Contact hole 2 15 1 Primary oxide layer 2152 Dielectric layer 2 153 Substrate 2 154 Dream surface 2156 ' 2 1 56 Α Metal layer 2157 ' 2157 Α J 2200 Process 2300 Process space 2301 Chamber 2302 Heating Disk 2304 Cooling plate 2306 Substrate transfer mechanism 2308, 2312 Leaf 23 10 Blank 23 14 In and out door 2322, 2324 Opening 2326 Gas dispersion 2399 Annealing chamber region σ CS ) 123

Claims (1)

1374951 •丨彿抓曰修正本 -----1 第丨巧竹/號專莉藤^年I丄月修正 * 十、申請專利範圍: ^ 一種在一基材處理平台中處理一具有一基材結構形成 其上之基材的方法’該基材處理平台包含一主要平台,該 主要平台具有圍住—主要平台處理區的一或多個壁該方 法至少包含: 在—環控封閉區(an environmentally controlled enclosure)中,利用一無電沉積(electroless deposition)1374951 • As the 曰 曰 曰 - - - - - - - - - - - - - / / ^ ^ ^ ^ 十 十 十 十 十 十 十 十 十 十 十 十 十 十 十 十 十 十 十 十 十 十 十 十Method of forming a substrate on a substrate structure comprising a primary platform having one or more walls enclosing a primary platform processing zone. The method comprises at least: In an environmentally controlled enclosure, using an electroless deposition 製程於該基材之一表面上形成一導電層,其中該環控封閉 區配置在該主要平台處理區内並且包含: 一或多個壁,該等壁圍住一無電處理區;以及 一處理氣體源,該處理氣體源設以提供一處理氣體 至該無電處理區以控制該無電處理區中的氧含量: 在一旋轉洗滌乾燥(SRD)室中,洗滌該基材;以及 在該SRD室中,旋乾該基材。 2.如申請專利範圍第1項所述之方法,更包含在一 SRD 室中洗滌該基材的步驟之前,利用一整合式斜面清洗(IBC) 製程來移除該基材之周圍上多餘的沉積物。 3. 如申請專利範圍第2項所述之方法,其中上述之SRD 製程與該IBC製程在相同之一製程室内於該基材上執行。 4. 如申請專利範圍第1項所述之方法,更包含: 124 1374951 在一電漿加強乾蝕刻室中,移除該基材之該表面上的一 原生(native )氧化層,該電漿加強乾蝕刻室包含: 一溫控之基材支撐物; 一溫控之室體; 一上蓋组件,含有一第一電極與一第二電極,其中 電漿於該第一電極與該第二電極之間點燃,而該第二電極 係適以加熱該基材;以及Forming a conductive layer on a surface of the substrate, wherein the environmentally controlled closed area is disposed in the main platform processing area and comprises: one or more walls surrounding an electroless treatment zone; and a process a gas source, the process gas source is configured to provide a process gas to the electroless treatment zone to control the oxygen content in the electroless treatment zone: washing the substrate in a spin washing and drying (SRD) chamber; and in the SRD chamber Medium, spin dry the substrate. 2. The method of claim 1, further comprising the step of removing the excess of the substrate by an integrated bevel cleaning (IBC) process prior to the step of washing the substrate in an SRD chamber. Sediment. 3. The method of claim 2, wherein the SRD process and the IBC process are performed on the substrate in the same one of the process chambers. 4. The method of claim 1, further comprising: 124 1374951 removing a native oxide layer on the surface of the substrate in a plasma enhanced dry etch chamber, the plasma The enhanced dry etching chamber comprises: a temperature controlled substrate support; a temperature controlled chamber; an upper cover assembly comprising a first electrode and a second electrode, wherein the plasma is applied to the first electrode and the second electrode Ignition between, and the second electrode is adapted to heat the substrate; 一處理區域,位於該第二電極與該基材支撐物之 間,其中多個製程氣體從該上蓋組件流出;以及 加熱該基材,以移除該基材之該表面上的揮發性污染 物·。 5.如申請專利範圍第4項所述之方法,更包含在一環控封 閉區中,利用一無電沉積製程來填入一金屬層至該基材之 一表面上的溝渠(trench)、通孔(via)、或其他内連線特 徵結構内。 6.如申請專利範圍第1項所述之方法,更包含: 施加一流體至該基材結構,其中該流體是選自由一超臨 界流體、一稠密(dense )流體、和其組合物所構成之群組。 7.如申請專利範圍第1項所述之方法,其中上述形成一導 電層的製程包含填入一金屬層至溝渠、通孔、或其他内連 125 1374951 線特徵結構内。 8.如申請專利範圍第1項所述之方法,更包含在一環控封 閉區中,利用一链(plating)製程來填入一金屬層至該基 材之一表面上的溝渠、通孔、或其他内連線特徵结構内。a processing region between the second electrode and the substrate support, wherein a plurality of process gases flow out of the cap assembly; and heating the substrate to remove volatile contaminants on the surface of the substrate ·. 5. The method of claim 4, further comprising: in an environmentally controlled enclosure, an electroless deposition process to fill a metal layer to a trench or via on a surface of the substrate. (via), or other interconnect features within the structure. 6. The method of claim 1, further comprising: applying a fluid to the substrate structure, wherein the fluid is selected from the group consisting of a supercritical fluid, a dense fluid, and a combination thereof Group of. 7. The method of claim 1, wherein the forming of the conductive layer comprises filling a metal layer into a trench, via, or other interconnected 125 1374951 line feature. 8. The method of claim 1, further comprising using a plating process to fill a metal layer to a trench, a via, or a surface of the substrate, in a ring-controlled enclosure. Or other interconnect features within the structure. 9.如申請專利範圍第8項所述之方法,其中上述之鍍製程 包含一電鐘(electroplating)製程。 10. 如申請專利範圍第9項所述之方法,更包含在一 SRD 室中洗滌該基材的步驟之前,利用一 IBC製程來移除該基 材斜面上多餘的沉積_物。 11. 如申請專利範圍第8項所述之方法,其中上述之鍍製 程包含一無電電鐘製程(electroless plating process)。9. The method of claim 8, wherein the plating process comprises an electroplating process. 10. The method of claim 9, further comprising the step of removing the excess deposit on the slope of the substrate by an IBC process prior to the step of washing the substrate in an SRD chamber. 11. The method of claim 8, wherein the plating process comprises an electroless plating process. 1 2.如申請專利範圍第1 1項所述之方法,更包含在洗滌之 前,利用一刷箱室(brush box chamber)來清洗該基材之 該表面。 13.如申請專利範圍第11項所述之方法,更包含在一 SRD 室中洗滌該基材的步驟之前,利用一 IBC製程來移除該基 材斜面上多餘的沉積物。 126 1374951 14.如申請專利範圍第13項所述之方法,其中上述之SRD 製程與該IBC製程在相同之一製程室内於該基材上執行。 15.如申請專利範圍第1項所述之方法,更包含於該基材 上形成一還原層及/或一含金屬之催化層。1 2. The method of claim 11, further comprising washing the surface of the substrate with a brush box chamber prior to washing. 13. The method of claim 11, further comprising removing an excess deposit on the slope of the substrate by an IBC process prior to the step of washing the substrate in an SRD chamber. The method of claim 13, wherein the SRD process and the IBC process are performed on the substrate in the same one of the process chambers. 15. The method of claim 1, further comprising forming a reducing layer and/or a metal-containing catalytic layer on the substrate. 16.如申請專利範圍第15項所述之方法,其中上述含金屬 之催化層是採用一氣相沉積製程來形成。 17.如申請專利範圍第16項所述之方法,其中上述之氣相 沉積製程包含使用一催化前驅物,該催化前驅物是選自由 四氧化釕、二環戊釕(ruthenocene)、其衍生物、和其組 合物所構成之群組。16. The method of claim 15, wherein the metal-containing catalytic layer is formed using a vapor deposition process. 17. The method of claim 16, wherein the vapor deposition process comprises using a catalytic precursor selected from the group consisting of osmium tetroxide, ruthenocene, and derivatives thereof. And a group of compositions thereof. 18.如申請專利範圍第15項所述之方法,其中上述之還原 層是採用一電漿浸漬製程來形成。 19.如申請專利範圍第18項所述之方法,其中上述之電漿 浸潰製程包含使該基材暴露於一揮發性還原前驅物,該還 原前驅物是選自由膦、二硼烷、矽烷、二矽烷、氫、氨、 聯氨、其衍生物、和其組合物所構成之群組。 127 1374951 2 0.如申請專利範圍第1項所述之方法,更包含: 在一製程室中,於該基材上形成一阻障層,該製程室是 選自由化學氣相沉積室、原子層沉積室、和氣相沉積室所 構成之群組;以及 在用以於該基材上形成該阻障層的該製程室中,於該基 材上形成一還原層。18. The method of claim 15, wherein the reducing layer is formed by a plasma impregnation process. 19. The method of claim 18, wherein the plasma impregnation process comprises exposing the substrate to a volatile reduction precursor selected from the group consisting of phosphine, diborane, and decane. a group consisting of dioxane, hydrogen, ammonia, hydrazine, derivatives thereof, and combinations thereof. 127 1374951 2 0. The method of claim 1, further comprising: forming a barrier layer on the substrate in a process chamber, the process chamber being selected from a chemical vapor deposition chamber, an atom a layer deposition chamber, and a vapor deposition chamber; and in the process chamber for forming the barrier layer on the substrate, a reduction layer is formed on the substrate. 21. —種在一基材處理平台中處理一具有一基材結構形成 其上之基材的方法,該基材處理平台包含一主要平台,該 主要平台具有圍住一主要平台處理區的一或多個壁,該方 法至少包含: 在一環控封閉區中利用一無電沉積製程於該基材之一 表面上形成一金屬層,其中該環控封閉區配置在該主要平 台處理區内並且包含:21. A method of processing a substrate having a substrate structure formed thereon in a substrate processing platform, the substrate processing platform comprising a primary platform having a surrounding a primary platform processing zone Or a plurality of walls, the method comprising: forming a metal layer on a surface of the substrate by an electroless deposition process in a ring-controlled closed region, wherein the environmentally controlled closed region is disposed in the main platform processing region and includes : 一或多個壁,該等壁圍住一無電處理區;以及 一處理氣體源,該處理氣體源設以提供一處理氣體 至該無電處理區以控制該無電處理區中的氧含量;; 在一刷箱室中,清洗該基材之該表面;以及 在一蒸汽乾燥室中,洗蘇與乾燥該基材。 22.如申請專利範圍第21項所述之方法,其中上述之形成 一金屬層的步驟包含形成一覆蓋層。 128 Γ374951 23.如申請專利範圍第21項所述之方法,更包含在清洗該 基材之該表面的步驟之前,利用一無電沉積製程來填充該 基材之該表面上的溝渠、通孔、或其他内連線特徵結構。 24.如申請專利範圍第21項所述之方法,更包含: 在一電漿加強乾钱刻室中,移除該基材之該表面上的一 原生氧化層,該電漿加強乾蝕刻室包含:One or more walls enclosing an electroless treatment zone; and a process gas source configured to provide a process gas to the electroless treatment zone to control the oxygen content of the electroless treatment zone; The surface of the substrate is cleaned in a brush box; and the substrate is washed and dried in a steam drying chamber. 22. The method of claim 21, wherein the step of forming a metal layer comprises forming a cover layer. The method of claim 21, further comprising, prior to the step of cleaning the surface of the substrate, using an electroless deposition process to fill the trenches, vias, Or other interconnect feature structure. 24. The method of claim 21, further comprising: removing a native oxide layer on the surface of the substrate in a plasma enhanced dry etching chamber, the plasma enhancing the dry etching chamber contain: 一溫控之基材支撐物; 一溫控之室體; 一上蓋組件,含有一第一電極與一第二電極,其中 電漿於該第一電極與該第二電極之間點燃,而該第二電極 係適以加熱該基材;以及 一處理區域,位於該第二電極與該基材支撐物之 間,其中多個製程氣體從該上蓋組件流出;以及 加熱該基材,以移除該基材之該表面上的揮發性污染 物。 25.如申請專利範圍第21項所述之方法,更包含: 提供一流體給該基材結構,其中該流體是選自由一超臨 界流體、一稠密流體、和其組合物所構成之群組。 26.如申請專利範圍第21項所述之方法,更包含於該基材 上形成一還原層及/或一含金屬之催化層。 129 1374951 27. 如申請專利範圍第26項所述之方法,其中上述 屬之催化層是採用一氣相沉積製程所形成的。 28. 如申請專利範圍第26項所述之方法,其中上述 層是採用一電漿浸潰製程所形成的。 之含金 之還原a temperature-controlled substrate support; a temperature-controlled chamber; an upper cover assembly comprising a first electrode and a second electrode, wherein the plasma is ignited between the first electrode and the second electrode, and the a second electrode is adapted to heat the substrate; and a processing region between the second electrode and the substrate support, wherein a plurality of process gases flow out of the upper cover assembly; and heating the substrate to remove Volatile contaminants on the surface of the substrate. 25. The method of claim 21, further comprising: providing a fluid to the substrate structure, wherein the fluid is selected from the group consisting of a supercritical fluid, a dense fluid, and combinations thereof . 26. The method of claim 21, further comprising forming a reducing layer and/or a metal-containing catalytic layer on the substrate. 129. The method of claim 26, wherein the catalytic layer of the above-mentioned genus is formed by a vapor deposition process. 28. The method of claim 26, wherein the layer is formed by a plasma impregnation process. Gold-containing reduction 29.如申請專利範圍第21項所述之方法,更包含: 在一製程室中,形成一阻障層於該基材上,該製 選自由4匕學氣相沉積室、原子層沉積室、和氣相沉 構成之群組;以及 在用以於該基材上形成該阻障層的該製程室中, 材上形成一還原層。29. The method of claim 21, further comprising: forming a barrier layer on the substrate in a process chamber selected from the group consisting of a vapor deposition chamber and an atomic layer deposition chamber And a group of vapor phase sinks; and in the process chamber for forming the barrier layer on the substrate, a reducing layer is formed on the material. 30. —種在一基材處理平台中處理一具一基材結構 上之基材的方法,該基材處理平台包含一主要平台 要平台具有圍住一主要平台處理區的一或多個壁, 至少包含: 在一製程室中,於一環控封閉區中利用一無電沉 而於該基材之一表面上形成一金屬層,其中該環控 配置在該主要平台處理區内並且包含: 一或多個壁,該等壁圍住一無電處理區;以, 一處理氣體源,該處理氣體源設以提供一處 程室是 積室所 於該基 形成其 ,該主 該方法 積製程 封閉區 理氣體 130 1374951 至該無電處理區以控制該無電處理區中的氧含量;以及 在該製程室中,利用一 IBC製程來移除該基材斜面上 多餘的沉積物。30. A method of processing a substrate having a substrate structure in a substrate processing platform, the substrate processing platform comprising a primary platform having a platform having one or more walls surrounding a primary platform processing zone The method at least includes: forming, in a process chamber, a metal layer on a surface of the substrate by using an electroless sink in a ring-controlled enclosure, wherein the ring control is disposed in the main platform processing region and includes: Or a plurality of walls surrounding the electroless treatment zone; a process gas source, the process gas source being provided to provide a process chamber in which the buildup chamber is formed, the main process of the method is closed The gas 130 1374951 is passed to the electroless treatment zone to control the oxygen content in the electroless treatment zone; and in the process chamber, an IBC process is utilized to remove excess deposits on the slope of the substrate. 31. —種在一基材處理平台中處理一具有一基材結構形成 其上之基材的方法,該基材處理平台包含一主要平台,該 主要平台具有圍住一主要平台處理區的一或多個壁,該方 法至少包含: 在一環控封閉區中,利用一無電電鍍製程來將一導電層 填入該基材上的一具高深寬比之次微米特徵結構内,其中 該環控封閉區配置在該主要平台處理區内並且包含: 一或多個壁,該等壁圍住一無電處理區;以及 一處理氣體源,該處理氣體源設以提供一處理氣體 至該無電處理區以控制該無電處理區中的氧含量;以及 利用一電鍍製程來將一導電層填入該基材上的所有其 餘特徵結構内。 32.如申請專利範圍第31項所述之方法,更包含在該無電 電鍍製程之前,在該基材結構上形成一導電晶種層。 33.如申請專利範圍第32項所述之方法,其争上述之晶種 層是採用一無電電鍍製程所形成的。 131 1374951 34.如申請專利範園第31項所述之方法,更包含在利用該 無電電鍍製程之前,在該基材結構上形成一含金屬之催化 層031. A method of processing a substrate having a substrate structure formed thereon in a substrate processing platform, the substrate processing platform comprising a primary platform having a wall surrounding a primary platform processing zone Or a plurality of walls, the method comprising: at least in a ring-controlled enclosure, an electroless plating process is used to fill a conductive layer into a sub-micron feature of the high aspect ratio of the substrate, wherein the ring control An enclosed area is disposed in the main platform processing area and includes: one or more walls surrounding an electroless treatment zone; and a process gas source configured to provide a process gas to the electroless treatment zone To control the oxygen content in the electroless treatment zone; and to utilize an electroplating process to fill a conductive layer into all of the remaining features on the substrate. 32. The method of claim 31, further comprising forming a conductive seed layer on the substrate structure prior to the electroless plating process. 33. The method of claim 32, wherein the seed layer is formed by an electroless plating process. 131 1374951 34. The method of claim 31, further comprising forming a metal-containing catalytic layer on the substrate structure prior to utilizing the electroless plating process. 35.如申請專利範圍第34項所述之方法,其中上述之形成 一含金屬之催化層的步驟更包含利用一氣相沉積製程與使 用一催化前驅物來形成一含金屬之催化層,該催化前驅物 是選自由四氧化釘、二環戊釕、其衍生物、和其組合物所 構成之群組。 36. —種在一基材處理平台中處理一具有一基材結構形成 其上之基材的方法,該基材處理平台包含一主要平台,該 主要平台具有圍住一主要平台處理區的一或多個壁,該方 法至少包含: 在該基材結構上形成一含金屬之催化層;35. The method of claim 34, wherein the step of forming a metal-containing catalytic layer further comprises forming a metal-containing catalytic layer using a vapor deposition process and using a catalytic precursor. The precursor is selected from the group consisting of a tetraoxide, a dicyclopentanyl, a derivative thereof, and a combination thereof. 36. A method of processing a substrate having a substrate structure formed thereon in a substrate processing platform, the substrate processing platform comprising a primary platform having a surrounding a primary platform processing zone Or a plurality of walls, the method comprising: forming a metal-containing catalytic layer on the substrate structure; 在該基材結構上形成一導電晶種層; 在一環控封閉區中利用一無電電鍍製程來將一導電層 填入該基材上的一具高深寬比之次微米特徵結構内,其中 該環控封閉區配置在該主要平台處理區内並且包含: 一或多個壁,該等壁圍住一無電處理區;以及 一處理氣體源,該處理氣體源設以提供一處理氣體 至該無電處理區以控制該無電處理區中的氧含量;以及 利用一電化學電鍍(ECP)製程來將一導電層填入該基材 132 1374951 上的所有其餘特徵結構内。 37.如申請專利範圍第36項所述之方法,其中上述之形成 一導電晶種層的步驟包含在一環控封閉區中,利用一無電 沉積製程而在該基材結構上形成一導電層。 38_如申請專利範圍第36項所述之方法,更包含:Forming a conductive seed layer on the substrate structure; using an electroless plating process in a ring-controlled closed region to fill a conductive layer into a high-aspect sub-micron feature on the substrate, wherein The environmentally controlled enclosure is disposed within the primary platform processing zone and includes: one or more walls enclosing an electroless treatment zone; and a process gas source configured to provide a process gas to the electroless The treatment zone controls the oxygen content of the electroless treatment zone; and an electrochemical plating (ECP) process is used to fill a conductive layer into all of the remaining features on the substrate 132 1374951. 37. The method of claim 36, wherein the step of forming a conductive seed layer comprises forming a conductive layer on the substrate structure using an electroless deposition process in a ring-controlled closed region. 38_ The method of claim 36, further comprising: 在一電漿加強乾蝕刻室中,移除該基材之該表面上的一 原生氧化層;以及 在該電漿加強乾蝕刻室中加熱該棊材,以移除該基材之 該表面上的揮發性污染物。 39.如申請專利範圍第36項所述之方法,更包含: 施加一流體給該基材結構,其中該流體是選自由一超臨 界流體、一稠密流體、和其組合物所構成之群組。Removing a native oxide layer on the surface of the substrate in a plasma enhanced dry etch chamber; and heating the material in the plasma enhanced dry etch chamber to remove the surface of the substrate Volatile contaminants. 39. The method of claim 36, further comprising: applying a fluid to the substrate structure, wherein the fluid is selected from the group consisting of a supercritical fluid, a dense fluid, and combinations thereof . 40. —種在一基材處理平台中處理一具有一基材結構形成 其上之基材的方法,其至少包含: 形成一還原層覆於一阻障層上,該阻障層位在該基材結 構上; 在該還原層上形成一催化層,包含: 形成一含四氧化釕之氣體; 收集該氣體至一來源容器; 133 Γ374951 清除該來源容器中多餘的氧氣: 加熱該來源容器;以及 輸送該含四氧化釕之氣體至一製程室中的該基材; 以及 於該催化層上形成一導電層。40. A method for processing a substrate having a substrate structure formed thereon in a substrate processing platform, the method comprising: forming a reduction layer overlying a barrier layer, wherein the barrier layer is Forming a catalytic layer on the reduced layer, comprising: forming a gas containing osmium tetroxide; collecting the gas to a source container; 133 Γ 374951 removing excess oxygen from the source container: heating the source container; And transporting the cerium oxide-containing gas to the substrate in a process chamber; and forming a conductive layer on the catalytic layer. 41.如申請專利範圍第40項所述之方法,其中上述之形成 一導電層的步驟包含利用一無電電鍍製程來形成一導電 層。 42.如申請專利範圍第40項所述之方法,其中上述之形成 一導電層的步驟包含利用一電鍍製程來形成一導電層。 43_如申請專利範圍第40項所述之方法,更包含:41. The method of claim 40, wherein the step of forming a conductive layer comprises forming an electrically conductive layer using an electroless plating process. 42. The method of claim 40, wherein the step of forming a conductive layer comprises forming a conductive layer using an electroplating process. 43_ The method of claim 40, further comprising: 在一電漿加強乾蝕刻室中,移除該基材之一表面上的一 原生氧化層;以及 在該電梁加強乾蝕刻室中加熱該基材,以移除該基材之 該表面上的揮發性污染物。 44.如申請專利範圍第40項所述之方法,更包含: 施加一流體給該基材結構,其中該流體是選自由一超臨 界流體、一稠密流體、和其組合物所構成之群組。 134 1374951 45.如申請專利範圍第42項所述之方法,更包含利用一電 漿浸潰製程而在該基材結構上形成該阻障層及/或還原層。 46. —種在一基材處理平台中用以於一基材表面上形成一 石夕化物接觸礼(contact)的方法,該基材處理平台包含一 主要平台,該主要平台具有圍住一主要平台處理區的一或 多個壁,該方法至少包含:Removing a native oxide layer on a surface of the substrate in a plasma enhanced dry etch chamber; and heating the substrate in the beam reinforced dry etch chamber to remove the surface of the substrate Volatile contaminants. 44. The method of claim 40, further comprising: applying a fluid to the substrate structure, wherein the fluid is selected from the group consisting of a supercritical fluid, a dense fluid, and combinations thereof . 134 1374951. The method of claim 42, further comprising forming the barrier layer and/or the reducing layer on the substrate structure using a plasma impregnation process. 46. A method for forming a contact on a substrate surface in a substrate processing platform, the substrate processing platform comprising a main platform having a major platform Processing one or more walls of the zone, the method comprising at least: 提供一基材,該基材上具有一暴露的$夕基 (silicon-based)材料; 移除該矽基材料上的一原生氧化層,以暴露出一未氧化 的表面; 於該未氧化的表面上形成一氫化層;Providing a substrate having an exposed silicon-based material thereon; removing a native oxide layer on the germanium-based material to expose an unoxidized surface; Forming a hydrogenation layer on the surface; 在一環控封閉區中利用一無電沉積製程來沉積一金屬 層至該未氧化的表面上,其申在該未氧化的表面上形成一 矽與金屬化學鍵,且其中該環控封閉區配置在該主要平台 處理區内並且包含: 一或多個壁,該等壁圍住一無電處理區;以及 一處理氣體源,該處理氣體源設以提供一處理氣體 至該無電處理區以控制該無電處理區中的氧含量;以及 退火處理該基材,以於該暴露的矽基材料表面生成一第 一階段矽化物。 47.如申請專利範圍第46項所述之方法,其中上述之矽基 I 135 1374951 材料選自由單晶矽、單晶矽鍺化物、多晶矽 '和多晶矽鍺 化物所構成之群组。 48.如申請專利範圍第46項所述之方法,其中上述之金屬 層是由一材料所组成,該材料係選自由鎳、鈷、和其組合 物所構成之群組。Depositing a metal layer onto the unoxidized surface by an electroless deposition process in a ring-controlled closed region, wherein a non-oxidized surface forms a metal bond with the metal, and wherein the ring-controlled closed region is disposed a main platform processing zone and comprising: one or more walls surrounding an electroless treatment zone; and a process gas source configured to provide a process gas to the electroless treatment zone to control the electroless process The oxygen content in the zone; and annealing the substrate to form a first stage telluride on the surface of the exposed bismuth based material. 47. The method of claim 46, wherein the thiol I 135 1374951 material is selected from the group consisting of a single crystal germanium, a single crystal germanide, a polycrystalline germanium, and a polycrystalline germanide. 48. The method of claim 46, wherein the metal layer is comprised of a material selected from the group consisting of nickel, cobalt, and combinations thereof. 49.如申請專利範圍第46項所述之方法,其中上述之形成 該氫化層的步驟是透過使用一蝕刻原生氧化物的溶液完 成,該溶液包含: 一氫氟酸;以及 一添加劑,該添加劑係選自由乙醇胺、二乙醇胺、和三 乙醇胺所構成之群組。 50. 如申請專利範圍第48項所述之方法,其中上述之退火 處理該基材的製程係於約3 5 0 °C至約4 5 0 °C的溫度下進行。 51. 如申請專利範圍第46項所述之方法,其申上述之退火 處理該基材的步驟生成一第一階段矽化物層與一額外的金 屬層,該方法更包含使用一酸剝除製程來移除該額外的金 屬層。 52. 如申請專利範圍第51項所述之方法,更包含退火處理 S 136 1374951 該基材,以生成一第二階段的矽化物層。 53. 如申請專利範圍第46項所述之方法,更包含在進行該 無電沉積製程的同一製程室中來洗滌與乾燥該基材。 54. 如申請專利範圍第46項所述之方法,更包含在沉積該 金屬層之後,利用一蒸汽乾燥製程來乾燥該基材。49. The method of claim 46, wherein the step of forming the hydrogenation layer is performed by using a solution of etching a native oxide, the solution comprising: a hydrofluoric acid; and an additive, the additive It is selected from the group consisting of ethanolamine, diethanolamine, and triethanolamine. 50. The method of claim 48, wherein the annealing the substrate is performed at a temperature of from about 350 ° C to about 450 ° C. 51. The method of claim 46, wherein the step of annealing the substrate to form a first stage vapor layer and an additional metal layer further comprises using an acid stripping process To remove the extra metal layer. 52. The method of claim 51, further comprising annealing the substrate S 136 1374951 to form a second stage telluride layer. 53. The method of claim 46, further comprising washing and drying the substrate in the same process chamber in which the electroless deposition process is performed. 54. The method of claim 46, further comprising drying the substrate using a steam drying process after depositing the metal layer. 55. 如申請專利範圍第46項所述之方法,更包含移除該矽 基材料上的有機污染物。 56. 如申請專利範圍第55項所述之方法,其中上述之移除 有機污染物的製程包含使用一 SC-1清洗製程。55. The method of claim 46, further comprising removing organic contaminants from the bismuth based material. 56. The method of claim 55, wherein the process for removing organic contaminants comprises using a SC-1 cleaning process. 57. 如申請專利範圍第55項所述之方法,其中上述之移除 有機污染物的步驟包含使用一超臨界流體。 58. 如申請專利範圍第49項所述之方法,其中上述之移除 有機污染物的製程與移除一原生氧化層的製程為在同一製 程室中進行。 59. 如申請專利範圍第46項所述之方法,其中上述之移除 一原生氧化層的製程包含: $ 137 1374951 在一電漿加強乾蝕刻室中,移除該基材之該表面上的一 原生氧化層,該電漿加強乾蝕刻室包含: 一溫控之基材支撐物; 一溫控之室體; 一上蓋组件,含有一第一電極與一第二電極,其中 電漿於該第一電極與該第二電極之間點燃;以及57. The method of claim 55, wherein the step of removing organic contaminants comprises using a supercritical fluid. 58. The method of claim 49, wherein the process for removing organic contaminants and the process for removing a native oxide layer are performed in the same process chamber. 59. The method of claim 46, wherein the process for removing a native oxide layer comprises: $137 1374951 in a plasma enhanced dry etch chamber, removing the surface of the substrate a primary oxide layer, the plasma enhanced dry etching chamber comprises: a temperature controlled substrate support; a temperature controlled chamber; an upper cover assembly comprising a first electrode and a second electrode, wherein the plasma is Ignition between the first electrode and the second electrode; 一處理區域,位於該第二電極與該基材支撐物之 間,其中多個製程氣體從該上蓋組件流出。 60. —種無電沉積群集工具(cluster tool )’其至少包含: 一主要平台,具有圍住一主要平台處理區的一或多個 壁; 至少一無電電锻單元(electroless plating cell)’配置 於一環控封閉區中,其中該環控封閉區配置在該主要平台 處理區内並且包含:A processing region is located between the second electrode and the substrate support, wherein a plurality of process gases flow out of the upper cover assembly. 60. An electroless deposition cluster tool comprising at least: a primary platform having one or more walls surrounding a primary platform processing zone; at least one electroless plating cell configured In a ring-controlled closed area, wherein the ring-controlled closed area is disposed in the main platform processing area and includes: 一或多個壁,該等壁圍住一無電處理區;以及 一處理氣體源,該處理氣體源設以提供一處理氣體 至該無電處理區以控制該無電處理區中的氧含量; 一無電沉積溶液源,設以提供一無電沉積溶液給該環控 封閉區中的該至少一無電電鍍單元;以及 至少一流體處理室,配置在該主要平台處理區中。 61.如申請專利範圍第60項所述之群集工具,其中上述之 138 1374951 環控封閉區包含: 一基材傳輸裝置,係適以傳輸該環控封閉區内的多個基 材;以及 一加熱器,該加熱器適於加熱該處理氣體,其中該處理 氣體是一惰氣。One or more walls enclosing an electroless treatment zone; and a process gas source configured to provide a process gas to the electroless treatment zone to control the oxygen content of the electroless treatment zone; And depositing a solution source to provide an electroless deposition solution to the at least one electroless plating unit in the environmentally controlled enclosure; and at least one fluid processing chamber disposed in the main platform processing zone. 61. The cluster tool of claim 60, wherein the 138 1374951 environmentally controlled enclosure comprises: a substrate transport device adapted to transport a plurality of substrates within the environmentally controlled enclosure; and A heater adapted to heat the process gas, wherein the process gas is an inert gas. 62.如申請專利範圍第60項所述之群集工具,更包含: 至少一整合式斜面清洗室,配置在該主要平台處理區 内;以及 至少一旋轉洗滌乾燥室,配置在該主要平台處理區内。 63.如申請專利範圍第62項所述之群集工具,更包含一電 漿處理室,該電漿處理室係耦接該主要平台並且適以在一 基材上形成一還原層及/或一催化層,其至少包含: 一或多個壁,形成一處理區域;62. The cluster tool of claim 60, further comprising: at least one integrated bevel cleaning chamber disposed in the main platform processing zone; and at least one rotating washing and drying chamber disposed in the main platform processing zone Inside. 63. The cluster tool of claim 62, further comprising a plasma processing chamber coupled to the main platform and adapted to form a reduction layer and/or a substrate a catalytic layer comprising: at least: one or more walls forming a processing region; 一溫控之基材支撐物,位於該一或多個壁之一上,其中 該溫控之基材支撐物適以在進行製程時支撐該基材; 一氣體供應源,適以提供適當之製程氣體至該處理區 域,以於該基材上形成一還原層及/或一催化層; 一氣體分散喷灑頭,位於該一或多個壁之一上,且與該 氣體供應源為流體連通,其中該氣體分散噴灑頭係適以將 該些製程氣體均勻地分配至該處理區域;以及 一:RF電源供應器,連接該氣體分散喷灑頭,如此該氣 139 1374951 體分散喷灑頭可適以控制在該處理區域内產生的一電漿。 64.如申請專利範圍第63項所述之群集工具,更包含耦接 該主要平台的一電漿加強乾蝕刻室,其至少包含: 一溫控之室體,具有一或多個壁,該等壁形成一處理區 域; 一溫控之基材支撐物,位在該處理區域中;a temperature controlled substrate support on one of the one or more walls, wherein the temperature controlled substrate support is adapted to support the substrate during processing; a gas supply source suitable for providing Process gas to the treatment zone to form a reduction layer and/or a catalytic layer on the substrate; a gas dispersion showerhead located on one of the one or more walls and fluid with the gas supply source Connected, wherein the gas dispersing shower head is adapted to evenly distribute the process gases to the processing area; and: an RF power supply connected to the gas dispersing shower head, such that the gas 139 1374951 body dispersing sprinkler head A plasma generated in the treatment zone can be controlled. 64. The cluster tool of claim 63, further comprising a plasma enhanced dry etching chamber coupled to the main platform, the method comprising: at least: a temperature controlled chamber having one or more walls, the The equal wall forms a processing area; a temperature controlled substrate support is located in the processing area; 一上蓋組件,含有一第一電極與一第二電極,其中電漿 於該第一電極與該第二電極之間點燃,而該第二電極係適 以加熱該基材;以及 一流體源,係與該上蓋組件為流體連通,其中該流體源 適於從該上蓋組件輸送一製程氣體至該基材之該表面。 65.如申請專利範圍第63項所述之群集工具,其中上述之 氣體供應源更適以提供適當之該些製程氣體至該處理區 域,以於該基材上形成一阻障層。An upper cover assembly comprising a first electrode and a second electrode, wherein a plasma is ignited between the first electrode and the second electrode, and the second electrode is adapted to heat the substrate; and a fluid source, And being in fluid communication with the upper cover assembly, wherein the fluid source is adapted to deliver a process gas from the upper cover assembly to the surface of the substrate. 65. The cluster tool of claim 63, wherein the gas supply source is adapted to provide a suitable process gas to the processing region to form a barrier layer on the substrate. 6 6.如申請專利範圍第60項所述之群集工具,更包含: 至少一刷箱室,配置在該主要平台處理區内;以及 至少一蒸汽乾燥室,配置在該主要平台處理區内。 67.如申請專利範圍第60項所述之群集工具,更包含耦接 該主要平台的一電漿加強乾蝕刻室,其至少包含: 140 1374951 一溫控之基材支撑物; 一溫控之室體: 一上蓋组件,含有一第一電極與一第二電極,其中電漿 於該第一電極與該第二電極之間點燃,而該第二電極係適 以加熱該基材;以及 一處理區域,位於該第二電極與該基材支撐物之間,其 中多個製程氣體從該上蓋組件流到該基材之該表面。6. The cluster tool of claim 60, further comprising: at least one brush box disposed within the primary platform processing zone; and at least one steam drying chamber disposed within the primary platform processing zone. 67. The cluster tool of claim 60, further comprising a plasma enhanced dry etching chamber coupled to the main platform, comprising: at least: 140 1374951 a temperature controlled substrate support; a temperature control a chamber cover assembly comprising a first electrode and a second electrode, wherein a plasma is ignited between the first electrode and the second electrode, and the second electrode is adapted to heat the substrate; a processing region between the second electrode and the substrate support, wherein a plurality of process gases flow from the cap assembly to the surface of the substrate. 68.如申請旱利範圍第60項所述之群集工具,其中上述之 環控封閉區包含: 一基材支樓裝置(substrate support assembly)’ 具有一 基材支撐面,其中該基材支撐裝置係適以置中與旋轉一基 材;以及 一流體分配臂,係適以分配多種處理流體至置放於該基 材支樓面上的一基材之一表面上,其中該流體分配臂更包 含:68. The cluster tool of claim 60, wherein the environmentally controlled enclosure comprises: a substrate support assembly having a substrate support surface, wherein the substrate support device Suitable for centering and rotating a substrate; and a fluid dispensing arm adapted to dispense a plurality of processing fluids onto a surface of a substrate disposed on a surface of the substrate, wherein the fluid dispensing arm is further contain: 複數個流體導管,用以供應一流體至一基材之一表 面,其中該流體選自由一無電沉積流體、一洗滌流體、和 一基材斜面清洗流體所構成之群組;以及 一流體喷嘴,與該些流體導管其中之一為流體連 通,且適以輸送一流體至該基材之一邊緣。 69. —種無電沉積群集工具,其至少包含: $ 141 1374951 一主要平台,具有圍住一主要平台處理區的一或多個 壁; 至少兩個無電電鍍單元,該至少兩個無電電鍍單元耦接 在一起並且配置在該主要平台處理區内,其中該至少兩個 無電電鎳單元的各者包含:a plurality of fluid conduits for supplying a fluid to a surface of a substrate, wherein the fluid is selected from the group consisting of an electroless deposition fluid, a wash fluid, and a substrate bevel cleaning fluid; and a fluid nozzle, One of the fluid conduits is in fluid communication and is adapted to deliver a fluid to an edge of the substrate. 69. An electroless deposition clustering tool comprising: at least: $141 1374951 a primary platform having one or more walls enclosing a primary platform processing zone; at least two electroless plating units, the at least two electroless plating units coupled Connected together and disposed within the primary platform processing zone, wherein each of the at least two electroless nickel units comprises: 一或多個壁,該等壁圍住一無電處理區;以及 —處理氣體源,該處理氣體源設以提供一處理氣體 至該無電處理區以控制該無電處理區中的氧含量; 一無電沉積溶液源,設以提供一無電沉積溶液給該環控 封閉區中的該至少兩個無電電鍍單元; 至少一電鍵單元,位於該主要平台上; 至少一整合式斜面清洗室,位於該主要平台上;以及 至少一旋轉洗滌乾燥室,位於該主要平台上。One or more walls enclosing an electroless treatment zone; and - a process gas source configured to provide a process gas to the electroless treatment zone to control oxygen content in the electroless treatment zone; Depositing a solution source to provide an electroless deposition solution to the at least two electroless plating units in the environmentally controlled enclosure; at least one key unit located on the main platform; at least one integrated bevel cleaning chamber located on the main platform And at least one rotating washing and drying chamber located on the main platform. 70.如申請專利範圍第69項所述之群集工具,更包含至少 一乾處理室,該乾處理室耦接該主要平台並且設以在該乾 處理室中使用一沉積氣體沉積一層於一基材上,該沉積氣 體是從一沉積氣體源輸送而來。 71.如申請專利範圍第69項所述之群集工具,更包含耦接 該主要平台的至少一電漿加強乾蝕刻室。 72. —種無電沉積群集工具,其至少包含: 142 137495170. The cluster tool of claim 69, further comprising at least one dry processing chamber coupled to the main platform and configured to deposit a layer on a substrate using a deposition gas in the dry processing chamber The deposition gas is delivered from a source of deposition gas. 71. The cluster tool of claim 69, further comprising at least one plasma enhanced dry etch chamber coupled to the primary platform. 72. An electroless deposition clustering tool comprising at least: 142 1374951 一主要平台,具有圍住一主要平台處理區的一 壁; 至少兩個無電電鍍單元,配置在一環控封閉區中 控封閉區配置在該主要平台處理區内,其中該至少 電電鍍單元的各者包含: 一或多個壁,該等壁圍住一無電處理區,該 理區形成在該環控封閉區内;以及 一惰氣源,該惰氣源設以提供一惰氣至該無 區以控制該無電處理區中的氧含量; 一無電沉積溶液源,設以提供一無電沉積溶液給 封閉區中的該至少兩個無電電鍍單元;以及 至少一旋轉洗滌乾燥室,位於該主要平台上。 73 .如申請專利範圍第72項所述之群集工具,其中 環控封閉區包含: 一基材傳輸裝置,用以在該環控封閉區内在 兩個無電電鍍單元的各者中的無電處理區之間傳輸 材。 74. 如申請專利範圍第72項所述之群集工具,更包 一刷箱室,其配置在該主要平台處理區内。 75. 如申請專利範圍第72項所述之群集工具,更包 或多個 ,該環 兩個無 無電處 電處理 該環控 上述之 該至少 多個基 含至少 含至少 143 1374951 一整合式斜面清洗室,其配置在該主要平台處理區内。 76.如申請專利範圍第75項所述之群集工具,其中上述之 整合式斜面清洗室與該旋轉洗滌乾燥室係結合成配置在該 主要平台處理區内的一混成(hybrid)之整合式斜面清洗/ 旋轉洗滌乾燥室。a main platform having a wall enclosing a main platform processing area; at least two electroless plating units disposed in a centrally controlled closed area disposed in the main platform processing area, wherein each of the at least electroplating units The method comprises: one or more walls enclosing an electroless treatment zone formed in the environmentally controlled enclosure; and an inert gas source configured to provide an inert gas to the a region for controlling the oxygen content in the electroless treatment zone; an electroless deposition solution source for providing an electroless deposition solution to the at least two electroless plating units in the enclosed region; and at least one rotary washing and drying chamber located at the main platform on. 73. The cluster tool of claim 72, wherein the environmentally controlled enclosure comprises: a substrate transport device for an electroless treatment zone in each of the two electroless plating units within the environmentally controlled enclosure Transfer material between. 74. The cluster tool of claim 72, further comprising a brush box disposed within the main platform processing area. 75. The cluster tool according to claim 72, further comprising or multiple, the ring is electrically non-electrically treated, and the at least one base comprises at least 143 1374951 an integrated bevel A cleaning chamber disposed in the main platform processing area. 76. The cluster tool of claim 75, wherein the integrated bevel cleaning chamber and the rotary washing and drying chamber are combined into a hybrid integrated bevel disposed in the main platform processing zone. Clean / rotate the washing and drying chamber. 77.如申請專利範圍第72項所述之群集工具,更包含耦接 該主要平台的至少一電漿加強乾蝕刻室。 78.如申請專利範圍第72項所述之群集工具,更包含耦接 該主要平台的至少一超臨界清洗室。 7 9. —種無電沉積群集工具,其至少包含: 一主要平台,具有圍住一主要平台處理區的一或多個 壁;77. The cluster tool of claim 72, further comprising at least one plasma enhanced dry etch chamber coupled to the primary platform. 78. The cluster tool of claim 72, further comprising at least one supercritical cleaning chamber coupled to the primary platform. 7. An electroless deposition clustering tool comprising at least: a primary platform having one or more walls enclosing a primary platform processing zone; 至少兩個無電電鍍單元,配置於一環控封閉區中,該環 控封閉區配置在該主要平台處理區内,其中該至少兩個無 電電鍍單元的各者包含: 一或多個壁,該等壁圍住一無電處理區;以及 一惰氣源,該惰氣源設以提供一惰氣至該無電處理 區以控制該無電處理區中的氧含量; 一無電沉積溶液源,設以提供一無電沉積溶液給該環控 I 144 1374951 封閉區中的該至少兩個無電電鍍單元; 至少一刷箱室,位於該主要平台上;以及 至少一蒸汽乾燥室,位於該主要平台上。 80_如申請專利範圍第79項所述之群集工具,其中上述之 環控封閉區包含:At least two electroless plating units are disposed in a ring-controlled closed area disposed in the main platform processing area, wherein each of the at least two electroless plating units comprises: one or more walls, and the like The wall encloses an electroless treatment zone; and an inert gas source, the inert gas source is configured to provide an inert gas to the electroless treatment zone to control the oxygen content in the electroless treatment zone; and an electroless deposition solution source is provided to provide a source The electroless deposition solution is supplied to the at least two electroless plating units in the enclosed area of the I 144 1374951; at least one brush chamber is located on the main platform; and at least one steam drying chamber is located on the main platform. 80_ The cluster tool of claim 79, wherein the above-mentioned environmentally controlled closed area comprises: 一基材傳輸裝置,適以在該環控封閉區内在該至少 兩個無電電渡單元之間傳輸多個基材。 81.如申請專利範圍第79項所述之群集工具,更包含耦接 該主要平台的至少一電漿加強乾蝕刻室。 8 2. —種無電沉積群集工具,其至少包含: 一主要平台,具有圍住一主要平台處理區的一或多個 壁;A substrate transport device adapted to transport a plurality of substrates between the at least two electroless cells in the environmentally controlled enclosure. 81. The cluster tool of claim 79, further comprising at least one plasma enhanced dry etch chamber coupled to the primary platform. 8 2. An electroless deposition clustering tool comprising at least: a primary platform having one or more walls surrounding a primary platform processing zone; 至少一乾處理室,耦接該主要平台,且適以於一基材上 形成一還原層及/或一催化層,其中該至少一乾處理室包 含: 一或多個壁,形成一處理區域; 一溫控之基材支撐物,位於該一或多個壁之一上, 其中該溫控之基材支撐物適以在進行製程時支撐該基材的 一背面; 一氣體供應源,適以提供適當之製程氣體至該處理At least one dry processing chamber coupled to the main platform and adapted to form a reducing layer and/or a catalytic layer on a substrate, wherein the at least one dry processing chamber comprises: one or more walls to form a processing region; a temperature controlled substrate support on one of the one or more walls, wherein the temperature controlled substrate support is adapted to support a back side of the substrate during processing; a gas supply source is provided Proper process gas to the treatment 145 Γ374951 區域,以於該基材的一前面上形成一還原層及/或一催化 層; 一氣體分散喷灑頭,位於該一或多個壁之一上,且 與該氣體供應源為流體連通,其中該氣體分散噴灑頭係適 以將該些製程氣體均勻地分配至該處理區域;以及a 145 374 951 region for forming a reduction layer and/or a catalytic layer on a front surface of the substrate; a gas dispersion shower head on one of the one or more walls and fluid to the gas supply source Connected, wherein the gas dispersing showerhead is adapted to evenly distribute the process gases to the processing zone; 至少一流體處理室,配置在一環控封閉區中,該 環控封閉區配置在該主要平台處理區内,其中該至少一流 體處理室包含·· 一或多個壁,該等壁圍住一處理區;以及 一處理氣體源,該處理氣體源設以提供一處理氣體 至該處理區以控制該處理區中的氧含量 一基材支撐裝置,係配置在該處理區中並且適以支 撐與旋轉該基材; . 一或多個流體分配臂,係適以分配多種處理流體至 配置於該基材支撐裝置上的一基材之一前面上;以及At least one fluid processing chamber disposed in an environmentally controlled enclosure, the annular enclosure being disposed within the primary platform processing zone, wherein the at least one fluid processing chamber comprises one or more walls surrounding the wall a treatment zone; and a process gas source configured to provide a process gas to the treatment zone to control the oxygen content of the treatment zone - a substrate support device disposed in the treatment zone and adapted to support Rotating the substrate; one or more fluid dispensing arms adapted to dispense a plurality of processing fluids to a front surface of one of the substrates disposed on the substrate support device; 一基材處理平台組件,係適以於進行製程時分配一 溫控之液體至該基材之該背面。 83.如申請專利範圍第82項所述之群集工具,其中上述之 氣體供應源係適以提供一製程氣體給該處理區域,其中該 製程氣體係適以在配置於該溫控之基材支撐物上的一基材 之一表面上形成一阻障層。 146 1374951A substrate processing platform assembly is adapted to dispense a temperature controlled liquid to the back side of the substrate during processing. 83. The cluster tool of claim 82, wherein the gas supply source is adapted to provide a process gas to the processing region, wherein the process gas system is adapted to be supported on a substrate disposed on the temperature control. A barrier layer is formed on a surface of one of the substrates. 146 1374951 84.如申請專利範圍第82項所述之群集工具,其中 氣體供應源更適以提供適當之製程氣體給該處理區 於該基材上形成一含釕阻障層。 85.如申請專利範圍第82項所述之群集工具,其中 乾處理室更包含: 一四氧化釕產生系統,包含: 一容器,適以保留一定量的金屬釕; 一氧化源,適以輸送一含臭氧之氣體至該容 在該容器中形成一含四氧化釕之氣體;以及 一來源容器組件,與該容器及該乾處理室中 該處理區域為流體連通,且適以收集該容器内形成 四氧化釕之氣體,其中該來源容器組件包含: 一來源容器,耦接一氣體流動導管,該氣 導管用於將該容器連接該處理區域; 一熱交換裝置,熱連接(thermal communi 至該來源容器中配置的一收集表面,且適以控制該 面的溫度,以使收集的該四氧化釕在第一段時間内 集表面上維持冷凝並且於一第二段時間内從該收集 發或昇華;以及 一惰氣源,該惰氣源與該來源容器連通,其 氣源設以在該第一段時間内輸送一惰氣通過該來源 一排放裝置且隨後在該第二段時間内輸送該惰氣至 上述之 域,以 上述之 器,以 形成的 的該含 體流動 cation ) 收集表 在該收 表面蒸 中該惰 容器至 該處理 147 137495184. The cluster tool of claim 82, wherein the gas supply is more suitable to provide a suitable process gas to the processing zone to form a germanium-containing barrier layer on the substrate. 85. The cluster tool of claim 82, wherein the dry processing chamber further comprises: a ruthenium tetroxide generating system comprising: a container adapted to retain a certain amount of metal ruthenium; an oxidation source adapted to transport An ozone-containing gas to the chamber to form a gas containing osmium tetroxide; and a source container assembly in fluid communication with the container and the processing region in the dry processing chamber and adapted to collect the container Forming a gas of osmium tetroxide, wherein the source container assembly comprises: a source container coupled to a gas flow conduit for connecting the container to the processing region; a heat exchange device, thermal communication to a collecting surface disposed in the source container and adapted to control the temperature of the surface such that the collected osmium tetroxide remains condensed on the surface of the set during a first period of time and is collected or collected from the collection for a second period of time Sublimation; and an inert gas source connected to the source vessel, the gas source being configured to deliver an inert gas through the source to the discharge during the first period of time And then conveys the inert gas in the second period of time into the domain of the above, the cation-containing fluid flow) it is collected in the above table, to form a receiving surface of the steam in the container to the processing idler 1471374951 區域。 8 6.如申請專利範圍第82項所述之群集工具,更包含 一整合式斜面清洗製程室,配置在該主要平台上, 有一或多個流體分配臂,該些流體分配臂係適以輸送 體至放置於一基材支撐物上的一基材之一表面,其中 合式斜面清洗製程室更包含: 複數個流體導管,用以供應一流體至一基材之 面,其中該流體選自由一無電沉積流體、一洗滌流體 一基材斜面清洗流體所構成之群組;以及 一流體喷嘴,與該些流體導管其甲之一為流 通,且適以輸送一流體至該基材之一邊緣;以及 一旋轉洗滌乾燥室,配置在該主要平台上。 8 7.如申請專利範圍第82項所述之群集工具,更包含 至少一製程室,配置在該基材上並且用以在該基材 行一整合式斜面清洗製程; 至少一刷箱室;以及 至少一蒸汽乾燥室。 88.如申請專利範圍第82項所述之群集工具,更包含 該主要平台的一電漿加強乾蝕刻室,其至少包含: 一溫控之室體,具有一或多個壁,該等壁形成一處 且具 一流 該整 一表 、和 體連 上進 耦接 理區 148 Γ374951 域; 一溫控之基材支撐物,位在該處理區域中; —上蓋组件,包括一第一電極與一第二電極,其中電漿 於該第一電極與該第二電極之間點燃,而該第二電極係適 以加熱該基材;以及 一流體源,與該上蓋組件為流體連通,其中該流體源係 適以從該上蓋組件輸送一製程氣體至該基材之該表面。region. 8. The cluster tool of claim 82, further comprising an integrated bevel cleaning process chamber disposed on the main platform, one or more fluid dispensing arms adapted to transport The body is disposed on a surface of a substrate placed on a substrate support, wherein the combined bevel cleaning process chamber further comprises: a plurality of fluid conduits for supplying a fluid to a surface of the substrate, wherein the fluid is selected from the group consisting of An electroless deposition fluid, a wash fluid-group comprising a substrate bevel cleaning fluid; and a fluid nozzle circulated with one of the fluid conduits and adapted to deliver a fluid to an edge of the substrate; And a rotary washing and drying chamber disposed on the main platform. 8. The cluster tool of claim 82, further comprising at least one process chamber disposed on the substrate and configured to perform an integrated bevel cleaning process on the substrate; at least one brush box; And at least one steam drying chamber. 88. The cluster tool of claim 82, further comprising a plasma enhanced dry etch chamber of the primary platform, comprising at least: a temperature controlled chamber having one or more walls, the walls Forming a first-class and one-piece integrated table, and a body-connected upper coupling area 148 Γ 374951; a temperature-controlled substrate support located in the processing area; - an upper cover assembly including a first electrode and a second electrode, wherein the plasma is ignited between the first electrode and the second electrode, and the second electrode is adapted to heat the substrate; and a fluid source in fluid communication with the upper cap assembly, wherein The fluid source is adapted to deliver a process gas from the cap assembly to the surface of the substrate. 89.如申請專利範圍第82項所述之群集工具,更包含耦接 該主要平台之至少一超臨界清洗室。 90. —種無電沉積(electroless deposition)群集-工具,其 至少包含: 一主要平台,具有圍住一主要平台處理區的一或多個 壁;89. The cluster tool of claim 82, further comprising at least one supercritical cleaning chamber coupled to the primary platform. 90. An electroless deposition cluster-tool comprising at least: a primary platform having one or more walls surrounding a primary platform processing zone; 至少一電漿處理室,耦接該主要平台,且適以於一基材 上形成一還原層,其中該電漿處理室包含: 一或多個内壁’形成一處理區域; 一溫控之基材支撐物,位於該一或多個壁之一上, 其中該溫控之基材支撐物係適以在進行製程時來支撐該基 材的一背面; 一氣體供應源,適以提供多個製程氣體至該處理區 域,以在該基材之一前面上形成一還原層及/或一催化層; 149 Γ374951 以及 一氣體分散噴灑頭,位於該一或多個壁之一上,且 與該氣體供應源為流體連通,其中該氣體分散噴灑頭係適 以將該等製程氣體均勻地分配至該處理區域;以及 至少一流體處理室,配置在該主要平台上,且適 以透過一無電電鍍製程而於一基材之一表面上形成一含金 屬之催化層及/或一導電層,其中該流體處理室包含:At least one plasma processing chamber coupled to the main platform and adapted to form a reducing layer on a substrate, wherein the plasma processing chamber comprises: one or more inner walls forming a processing region; a temperature control base a material support on one of the one or more walls, wherein the temperature-controlled substrate support is adapted to support a back surface of the substrate during the process; a gas supply source is provided to provide a plurality of Process gas to the treatment zone to form a reduction layer and/or a catalytic layer on one of the front sides of the substrate; 149 Γ 374951 and a gas dispersion showerhead on one of the one or more walls, and The gas supply source is in fluid communication, wherein the gas dispersion shower head is adapted to evenly distribute the process gases to the processing area; and at least one fluid processing chamber is disposed on the main platform and is adapted to pass an electroless plating Forming a metal-containing catalytic layer and/or a conductive layer on a surface of a substrate, wherein the fluid processing chamber comprises: 一或多個壁,該等壁圍住一處理區; 一處理氣體源,該處理氣體源設以提供一處理氣體 至該處理區以控制該處理區中的氧含量; 一基材支撐裝置,配置在該處理區中並且適以支撐 與旋轉該基材; 一或多個流體分配臂,適以分配多種處理流體至位 於該基材支撐裝置上的該基材之一前面上;以及 一基材處理平台組件,適以在進行製程期間分配一 溫控液體至該基材之該背面。 91_如申請專利範圍第90項所述之群集工具,更包含耦接 該主要平台的至少一電漿處理室,該電漿處理室係適以於 一基材上形成一阻障層,其至少包含: 一或多個壁,形成一處理區域; 一溫控之基材支撐物,位於該一或多個壁之一上,其中 該溫控之基材支撐物適以在進行製程時支撐該基材; 150 1374951 一氣體供應源,適以提供適當之製程氣體給該處理區 域,以於該基材上形成一阻障層; 一氣體分散喷灑頭,位於該一或多個壁之一上,且與該 氣體供應源為流體連通,其中該氣體分散喷灑頭係適以將 該些製程氣體均勻地分配至該處理區域;以及 一 RF電源供應器,連接該氣體分散喷灑頭,如此該氣 體分散喷灑頭可適於控制在該處理區域内產生的一電漿。One or more walls surrounding a processing zone; a process gas source configured to provide a process gas to the process zone to control oxygen content in the process zone; a substrate support device, Arranged in the treatment zone and adapted to support and rotate the substrate; one or more fluid dispensing arms adapted to dispense a plurality of treatment fluids to the front of one of the substrates on the substrate support; and a base The material processing platform assembly is adapted to dispense a temperature-controlled liquid to the back side of the substrate during the processing. The cluster tool of claim 90, further comprising at least one plasma processing chamber coupled to the main platform, the plasma processing chamber being adapted to form a barrier layer on a substrate, At least: one or more walls forming a processing region; a temperature-controlled substrate support on one of the one or more walls, wherein the temperature-controlled substrate support is adapted to support during processing The substrate; 150 1374951 a gas supply source for supplying a suitable process gas to the treatment zone to form a barrier layer on the substrate; a gas dispersion showerhead located at the one or more walls And in fluid communication with the gas supply source, wherein the gas dispersion showerhead is adapted to evenly distribute the process gases to the processing region; and an RF power supply is coupled to the gas dispersion showerhead Thus, the gas dispersing showerhead can be adapted to control a plasma generated within the processing zone. 92. 如申請專利範圍第90項所述之群集工具,其中上述之 氣體供應源更適以輸送可於一基材表面上形成一阻障層的 一製程氣體。 93. 如申請專利範圍第90項所述之群集工具,更包含: 至少一製裎室,配置在該主要平台處理區中並且適以在 一基材上進行一整合式斜面清洗製程;以及 至少一旋轉洗滌乾燥室,配置在該主要平台處理區中。92. The cluster tool of claim 90, wherein the gas supply source is adapted to deliver a process gas that forms a barrier layer on a surface of a substrate. 93. The cluster tool of claim 90, further comprising: at least one chamber, disposed in the main platform processing zone and adapted to perform an integrated bevel cleaning process on a substrate; and at least A rotary washing and drying chamber is disposed in the main platform processing zone. 94.如申請專利範圍第90項所述之群集工具,更包含: 至少一製程室,配置在該主要平台處理區中且適以在該 基材上進行一整合式斜面清洗製程; 至少一刷箱室,配置在該主要平台處理區中;以及 至少一蒸汽乾燥室,配置在該主要平台處理區中。 151 1374951 95.如申請專利範圍第90項所述之群集工具,更包含耦接 該主要平台的一電漿加強乾蝕刻室,其至少包含: 一溫控之室體,具有一或多個壁,該等壁形成一處理區 域; 一溫控之基材支撐物,位在該處理區域中;94. The cluster tool of claim 90, further comprising: at least one process chamber disposed in the main platform processing zone and adapted to perform an integrated bevel cleaning process on the substrate; at least one brush a tank chamber disposed in the main platform processing zone; and at least one steam drying chamber disposed in the main platform processing zone. 151 1374951 95. The cluster tool of claim 90, further comprising a plasma enhanced dry etching chamber coupled to the main platform, the method comprising: at least: a temperature controlled chamber having one or more walls The walls form a processing region; a temperature controlled substrate support is located in the processing region; 一上蓋組件,含有一第一電極與一第二電極,其中電漿 於該第一電極與該第二電極之間點燃,而該第二電極係適 以加熱該基材;以及 一流體源,係與該上蓋組件為流體連通,其中該流體源 適以從該上蓋組件輸送一製程氣體至該基材之該表面。 96. —種無電沉積群集工具,其至少包含: 一主要平台,具有圍住一主要平台處理區的一或多個 壁; 至少一電漿處理室,耦接該主要平台並且適以於一基材 上形成一還原層及/或一阻障層,該電漿處理室包含:An upper cover assembly comprising a first electrode and a second electrode, wherein a plasma is ignited between the first electrode and the second electrode, and the second electrode is adapted to heat the substrate; and a fluid source, And being in fluid communication with the upper cover assembly, wherein the fluid source is adapted to deliver a process gas from the upper cover assembly to the surface of the substrate. 96. An electroless deposition cluster tool comprising: at least one primary platform having one or more walls surrounding a primary platform processing zone; at least one plasma processing chamber coupled to the primary platform and adapted to a base Forming a reducing layer and/or a barrier layer on the material, the plasma processing chamber comprising: 一或多個壁,形成一處理區域; 一溫控之基材支撐物,位於該一或多個壁之一上, 其中該溫控之基材支撐物係適以在進行製程時支撐該基 材; 一氣體供應源,適以提供適當之製程氣體至該處理 區域,以於該基材上形成一還原層及/或一阻障層; 一氣體分散喷灑頭,位於該一或多個壁之一上,且 152 Γ374951 與該氣體供應源為流體連通,其中該氣體分散噴灑頭係適 以將該些製程氣體均勻地分配至該處理區域;以及 一 RF電源供應器,連接該氣體分散喷灑頭,如此該 氣體分散喷灑頭可適以控制在該處理區域内產生的一電 漿;One or more walls forming a processing region; a temperature controlled substrate support on one of the one or more walls, wherein the temperature controlled substrate support is adapted to support the substrate during processing a gas supply source for supplying a suitable process gas to the treatment zone to form a reduction layer and/or a barrier layer on the substrate; a gas dispersion showerhead located in the one or more One of the walls, and 152 Γ 374951 is in fluid communication with the gas supply source, wherein the gas dispersing sprinkler is adapted to evenly distribute the process gases to the processing region; and an RF power supply is coupled to the gas dispersion a sprinkler head, such that the gas dispersing sprinkler head is adapted to control a plasma generated in the treatment zone; 一第一流體處理室,適以透過一無電電鍍製程而於該基 材上形成一含金屬之催化層及/或一導電層,其辛該第一流 體處理室配置在該主要平台處理區内並且包含: 一或多個壁,該等壁圍住一無電處理區; 一處理氣體源,該處理氣體源設以提供一處理氣體 至該無電處理區以控制該無電處理區中的氧含量;以及 一無電沉積溶液源,設以提供一無電沉積溶液給該 無電處理區;以及 一第二流體處理室,適以透過一電鍍製程而於該基材上 形成一導電層,其中該第二流體處理室配置在該主要平台 處理區内;以及a first fluid processing chamber for forming a metal-containing catalytic layer and/or a conductive layer on the substrate through an electroless plating process, wherein the first fluid processing chamber is disposed in the main platform processing region And comprising: one or more walls surrounding the electroless treatment zone; a process gas source configured to provide a process gas to the electroless treatment zone to control the oxygen content in the electroless treatment zone; And an electroless deposition solution source for providing an electroless deposition solution to the electroless treatment zone; and a second fluid processing chamber for forming a conductive layer on the substrate through an electroplating process, wherein the second fluid a processing chamber disposed within the processing area of the primary platform; 一機械臂組件,包含至少一個機械臂,其中該機械臂組 件設以將一基材輸送至該至少一電漿處理室、該第一流體 處理室、以及該第二流體處理室。 97.如申請專利範圍第96項所述之群集工具,更包含耦接 該主要平台的一電漿加強乾蝕刻室,其至少包含: 一溫控之室體,具有一或多個壁,該等壁形成一處理區 S 153 Γ374951 域; 一溫控之基材支撐物,位在該處理區域中; 一上蓋组件,含有一第一電極與一第二電極,其中電漿 於該第一電極與該第二電極之間點燃,而該第二電極係適 以加熱該基材;以及 一流體源,與該上蓋组件為流體連通,其中該流體源適 以從該上蓋組件輪送一製程氣體至該基材之該表面。A robotic arm assembly includes at least one robotic arm, wherein the robotic arm assembly is configured to deliver a substrate to the at least one plasma processing chamber, the first fluid processing chamber, and the second fluid processing chamber. 97. The cluster tool of claim 96, further comprising a plasma enhanced dry etching chamber coupled to the main platform, the method comprising: at least: a temperature controlled chamber having one or more walls, The equal wall forms a processing zone S 153 Γ 374951 domain; a temperature-controlled substrate support is located in the processing region; an upper cap assembly comprising a first electrode and a second electrode, wherein the plasma is at the first electrode Ignition is performed between the second electrode and the second electrode is adapted to heat the substrate; and a fluid source is in fluid communication with the upper cap assembly, wherein the fluid source is adapted to transfer a process gas from the cap assembly To the surface of the substrate. 98. —種用於沉積一或多個電鍍層於一基材上的群集工 具,其至少包含: 一主要平台,具有圍住一主要平台處理區的一或多個 壁; 一處理室,耦接該主要平台並且適以於一基材上沉積一 含釕之催化層,該處理室包含: 一四氧化釕產生系統,包含·· 一容器,適以保留一定量的金屬釕;98. A cluster tool for depositing one or more electroplated layers on a substrate, the method comprising: at least: a primary platform having one or more walls surrounding a primary platform processing zone; a processing chamber coupled Connected to the main platform and suitable for depositing a ruthenium-containing catalytic layer on a substrate, the processing chamber comprising: a ruthenium pentoxide generating system comprising: a container for retaining a certain amount of metal ruthenium; 一氧化源,適以輸送一含臭氧之氣體至該容器, 以在該容器中形成一含四氧化釕之氣體;以及 一來源容器組件,與該容器為流體連通,且適以 收集該容器内形成的該含四氧化釕之氣體,其中該來源容 器組件包含: 一來源容器,耦接一氣體流動導管,該氣體 流動導管用於將讀容器連接該處理區域;以及 154 1374951 一熱交換裝置,熱連接至該來源容器中配置 的一收集表面,且適以控制該收集表面的溫度,以使收集 的該四氧化釕在第一段時間内在該收集表面上維持冷凝並 且於一第二段時間内從該收集表面蒸發或昇華;以及An oxidation source adapted to deliver an ozone-containing gas to the vessel to form a gas containing osmium tetroxide in the vessel; and a source vessel assembly in fluid communication with the vessel and adapted to collect the vessel Forming the osmium tetroxide-containing gas, wherein the source container assembly comprises: a source container coupled to a gas flow conduit for connecting the read container to the processing region; and 154 1374951 a heat exchange device, Thermally coupled to a collection surface disposed in the source vessel and adapted to control the temperature of the collection surface such that the collected osmium tetroxide remains condensed on the collection surface for a second period of time Evaporating or sublimating from the collection surface; 一惰氣源,該惰氣源與該來源容器連通,其 中該惰氣源設以在該第一段時間内輸送一惰氣通過該來源 容器至一排放裝置且隨後在該第二段時間内輸送該惰氣至 該處理區域,以及 一流體製程室,配置在該主要平台處理區内且適以於該 基材上形成一導電層;以及 一機械臂組件,包含至少一個機械臂,其中該機械臂組 件設以將一基材從該處理室輸送至該流體製程室。 99.如申請專利範圍第98項所述之群集工具,其中上述之 適以形成一導電層的該流體製程室為一無電電鍍室,該無 電電鍍室包含:An inert gas source in communication with the source vessel, wherein the inert gas source is configured to deliver an inert gas through the source vessel to a drain during the first period of time and then during the second period of time Transmitting the inert gas to the processing region, and a first-class process chamber disposed in the main platform processing region and forming a conductive layer on the substrate; and a robot arm assembly including at least one robot arm, wherein the inert gas The robotic arm assembly is configured to deliver a substrate from the processing chamber to the fluid processing chamber. 99. The cluster tool of claim 98, wherein the fluid processing chamber adapted to form a conductive layer is an electroless plating chamber, the electroless plating chamber comprising: 至少一無電電鍍單元,配置於一環控封閉區中,該環控 封閉區配置在該主要平台處理區内,其中該無電電鍍單元 包含: 一或多個壁,該等壁圍住一無電處理區;以及 一處理氣體源,該處理氣體源設以提供一處理氣體 至該無電處理區以控制該無電處理區中的氧含量;以及 —無電沉積溶液源,設以提供一無電沉積溶液給該環控 155 1374951The at least one electroless plating unit is disposed in a ring-controlled closed area disposed in the main platform processing area, wherein the electroless plating unit comprises: one or more walls surrounding the electroless treatment area And a process gas source configured to provide a process gas to the electroless treatment zone to control the oxygen content of the electroless treatment zone; and - a source of electroless deposition solution to provide an electroless deposition solution to the ring Control 155 1374951 封閉區中的該至少一無電電鍍單元。 10 0.如申請專利範圍第99項所述之群集工具,更包 電漿處理室,該電漿處理室耦接該主要平台並且適以 基材上形成一還原層及/或一阻障層,其至少包含: 一或多個壁,形成一處理區域; 一溫控之基材支撐物,位於該一或多個壁之一上’ 該溫控之基材支撐物係適以在進行製程時支撐該基材 一氣體供應源,適以提供適當之製程氣體至該處 域,以在該溫控之基材支樓物上的一基材之一表面上 一還原層及/或一阻障層; 一氣體分散噴灑頭,位於該一或多個壁之一上’且 氣體供應源為流體連通,其中該氣體分散喷灑頭係適 該些製程氣體均勻地分配至該處理區域;以及 一 RF電源供應器,連接該氣體分散喷灑頭,如此 體分散喷灑頭可適以控制在該處理區域内產生的一電 101.如申請專利範圍第98項所述之群集工具,其中 之適以形成一導電層的該流體製程室為一電鍍室。 102.如申請專利範圍第101項所述之群集工具,更包 接該主要平台的一電漿處理室,該電漿處理室係適以 基材上形成一還原層及/或一阻障層,其至少包含: 含一 於該 其中 » 理區 形成 與該 以將 該氣 漿。 上述 含耦 於該 156 1374951The at least one electroless plating unit in the enclosed area. The cluster tool of claim 99, further comprising a plasma processing chamber, the plasma processing chamber coupled to the main platform and adapted to form a reducing layer and/or a barrier layer on the substrate And comprising at least: one or more walls forming a processing region; a temperature-controlled substrate support on one of the one or more walls 'the temperature-controlled substrate support is suitable for the process Supporting the substrate with a gas supply source to provide a suitable process gas to the field for a reduction layer and/or a resistance on a surface of a substrate on the temperature controlled substrate support a gas dispersing sprinkler head on one of the one or more walls' and the gas supply source is in fluid communication, wherein the gas dispersing sprinkler head is adapted to uniformly distribute the process gases to the processing region; An RF power supply is coupled to the gas dispersing showerhead, such that the body dispersing sprinkler head is adapted to control an electricity generated in the processing area. The cluster tool of claim 98, wherein Suitable for forming a conductive layer of the fluid processing chamber A plating chamber. 102. The cluster tool of claim 101, further comprising a plasma processing chamber of the main platform, wherein the plasma processing chamber is adapted to form a reducing layer and/or a barrier layer on the substrate. , which at least comprises: containing one of the zones in which the zone is formed with the gas slurry. The above is coupled to the 156 1374951 一或多個壁,形成一處理區域; 一溫控之基材支撐物,位於該一或多個壁之一上, 該溫控之基材支撐物係適以在進行製程時支撐該基材 一氣體供應源,係適以提供適當之製程氣體至該處 域,以在該溫控之基材支撐物上的一基材之一表面上 一還原層及/或一阻障層; 一氣體分散喷灑頭,位於該一或多個壁之一上,且 氣體供應源為流體連通,其中該氣體分散噴灑頭係適 該些製程氣體均勻地分配至該處理區域;以及 一 RF電源供應器,連接該氣體分散噴灑頭,如此 體分散喷灑頭可適以控制在該處理區域内產生的一電 其中 > 理區 形成 與該 以將 該氣 毁。One or more walls forming a processing region; a temperature-controlled substrate support on one of the one or more walls, the temperature-controlled substrate support being adapted to support the substrate during processing a gas supply source adapted to provide a suitable process gas to the field for a reduction layer and/or a barrier layer on a surface of a substrate on the temperature controlled substrate support; a dispersing sprinkler head on one of the one or more walls, the gas supply source being in fluid communication, wherein the gas dispersing sprinkler head is adapted to uniformly distribute the process gases to the processing region; and an RF power supply And connecting the gas-dispersing sprinkler head, such that the body-dispersing sprinkler head is adapted to control an electricity generated in the treatment zone, wherein the zone is formed to destroy the gas. 157 1-374951 第竹丨號專利案㈣年月修正157 1-374951 The fourth bamboo plaque patent case (four) year and month amendment 厂 1/35Factory 1/35 第1Α圖(先前技術)Figure 1 (prior art) 第1B圖(先前技術)Figure 1B (previous technique)
TW95127791A 2005-07-29 2006-07-28 Integrated electroless deposition system TWI374951B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/192,993 US20060033678A1 (en) 2004-01-26 2005-07-29 Integrated electroless deposition system
US11/428,230 US20070111519A1 (en) 2003-10-15 2006-06-30 Integrated electroless deposition system

Publications (2)

Publication Number Publication Date
TW200716794A TW200716794A (en) 2007-05-01
TWI374951B true TWI374951B (en) 2012-10-21

Family

ID=37709161

Family Applications (1)

Application Number Title Priority Date Filing Date
TW95127791A TWI374951B (en) 2005-07-29 2006-07-28 Integrated electroless deposition system

Country Status (2)

Country Link
TW (1) TWI374951B (en)
WO (1) WO2007016218A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI633939B (en) * 2016-02-26 2018-09-01 弘塑科技股份有限公司 Method and device of supplying process liquid

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5295719B2 (en) * 2008-10-31 2013-09-18 シャープ株式会社 Plating equipment
US9328427B2 (en) * 2012-09-28 2016-05-03 Sunpower Corporation Edgeless pulse plating and metal cleaning methods for solar cells
TWI559598B (en) * 2014-05-16 2016-11-21 台灣塑膠工業股份有限公司 Manufacturing apparatus and method for production of dye-sensitized solar cell
US11587807B2 (en) * 2018-10-28 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Annealing apparatus and method thereof
CN113881993A (en) * 2021-09-29 2022-01-04 新阳硅密(上海)半导体技术有限公司 Process method capable of optimizing electroplating hole filling capacity
CN113897649A (en) * 2021-09-29 2022-01-07 新阳硅密(上海)半导体技术有限公司 TSV (through silicon via) combined electroplating pretreatment process method
CN114232062A (en) * 2021-12-24 2022-03-25 新阳硅密(上海)半导体技术有限公司 Metal plating equipment
CN114250501A (en) * 2021-12-24 2022-03-29 新阳硅密(上海)半导体技术有限公司 Equipment and method capable of continuously carrying out electroplating and chemical plating
CN114182333B (en) * 2021-12-24 2023-06-23 新阳硅密(上海)半导体技术有限公司 Metal plating equipment and method for sharing wafer clamp

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3862023A (en) * 1972-09-15 1975-01-21 Ppg Industries Inc Electrode having silicide surface
US6110011A (en) * 1997-11-10 2000-08-29 Applied Materials, Inc. Integrated electrodeposition and chemical-mechanical polishing tool
US6551488B1 (en) * 1999-04-08 2003-04-22 Applied Materials, Inc. Segmenting of processing system into wet and dry areas
US6258223B1 (en) * 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
WO2001088972A1 (en) * 2000-05-15 2001-11-22 Asm Microchemistry Oy Process for producing integrated circuits
KR100797202B1 (en) * 2000-06-23 2008-01-23 허니웰 인터내셔널 인코포레이티드 A method of imparting hydrophobic properties to a damaged silica dielectric film and a method of treating a damaged silica dielectric film
US6555909B1 (en) * 2001-01-11 2003-04-29 Advanced Micro Devices, Inc. Seedless barrier layers in integrated circuits and a method of manufacture therefor
WO2003042434A1 (en) * 2001-11-14 2003-05-22 Asahi Engineering Co.,Ltd Method and device for surface treatment of treated object
US6770565B2 (en) * 2002-01-08 2004-08-03 Applied Materials Inc. System for planarizing metal conductive layers
US6899816B2 (en) * 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI633939B (en) * 2016-02-26 2018-09-01 弘塑科技股份有限公司 Method and device of supplying process liquid

Also Published As

Publication number Publication date
WO2007016218A3 (en) 2009-04-30
WO2007016218A2 (en) 2007-02-08
TW200716794A (en) 2007-05-01

Similar Documents

Publication Publication Date Title
TWI374951B (en) Integrated electroless deposition system
US20060033678A1 (en) Integrated electroless deposition system
US20070111519A1 (en) Integrated electroless deposition system
US7615486B2 (en) Apparatus and method for integrated surface treatment and deposition for copper interconnect
TWI393186B (en) Processes and integrated systems for engineering a substrate surface for metal deposition
US8771804B2 (en) Processes and systems for engineering a copper surface for selective metal deposition
US8241701B2 (en) Processes and systems for engineering a barrier surface for copper deposition
JP4875492B2 (en) Equipment for electroless deposition
US8415261B1 (en) Capping before barrier-removal IC fabrication method
TWI333234B (en) Integration of ald/cvd barriers with porous low k materials
US8747960B2 (en) Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
KR101078627B1 (en) Methods and systems for barrier layer surface passivation
JP2006501360A (en) Electroless plating system
WO2008002977A2 (en) Apparatus for applying a plating solution for electroless deposition
KR101094125B1 (en) Methods and systems for low interfacial oxide contact between barrier and copper metallization
SG174751A1 (en) Apparatus and method for integrated surface treatment and deposition for copper interconnect
US9287110B2 (en) Method and apparatus for wafer electroless plating
US20060003570A1 (en) Method and apparatus for electroless capping with vapor drying
JP2001181851A (en) Plating method and plated structure
JP2003253488A (en) Electrolytic treatment apparatus

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees