TWI373810B - Substrate processing with rapid temperature gradient control - Google Patents

Substrate processing with rapid temperature gradient control Download PDF

Info

Publication number
TWI373810B
TWI373810B TW095143406A TW95143406A TWI373810B TW I373810 B TWI373810 B TW I373810B TW 095143406 A TW095143406 A TW 095143406A TW 95143406 A TW95143406 A TW 95143406A TW I373810 B TWI373810 B TW I373810B
Authority
TW
Taiwan
Prior art keywords
substrate
usa
temperature
application
article
Prior art date
Application number
TW095143406A
Other languages
Chinese (zh)
Other versions
TW200807560A (en
Inventor
Alexander Matyushkin
Dan Katz
John Holland
Theodoros Panagopoulos
Michael D Willwerth
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200807560A publication Critical patent/TW200807560A/en
Application granted granted Critical
Publication of TWI373810B publication Critical patent/TWI373810B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Description

1373810 九、發明說明: 【發明所屬之技術領域】 本發明的實施例係涉及利用在整個基材上進行快 度梯度控制而處理基材。 【先前技術】 在處理諸如半導體和顯示器的基材的過程中使 電吸座將基#固定在腔室令,以處理該基材上的層。 常用的靜電吸座包括以陶兗覆蓋的電極。當對電極進 電時,靜電電荷聚集在電極和基材内,並且由此産生 電力將基材固定在吸座上。-般地,係,藉由在基材背 持氦氣以控制基材溫度,因而促進橫跨基材背面和吸 面之間的介面處的微小間隙之熱傳輸速率。靜電吸座 底座支撐,該底座具有供液體流經其間的通道以冷 加熱吸座。一旦將基材牢固地固定在吸座上之後將 氣體引入腔室中,並且形成電漿以處理基材。基材可 CVD、PVD、蝕刻、佈植(impUnt )、氡化氮化或其 似製程而進行處理。 在這種傳統的基材製程中’於處理過程中,係將 維持在單一溫度。一般地,利用晶圓托片(bUde )而 材通過腔室中的狹縫,並放置在升降銷上,該升降銷 伸通過靜電吸座的主體。接著,升降銷從吸座縮回, 基材放置在吸座的表面上。基材的溫度迅速上升至預 度,接著利用吸座中的加熱器或是於腔室中形成的電 速溫 用靜 .一種 行充 的靜 部保 座表 可由 卻或 製程 藉由 他類 基材 使基 係延 以將 設溫 漿來 5 13738101373810 IX. INSTRUCTIONS OF THE INVENTION: TECHNICAL FIELD OF THE INVENTION Embodiments of the present invention relate to the treatment of substrates by rapid gradient control over the entire substrate. [Prior Art] In the process of processing a substrate such as a semiconductor and a display, a susceptor is fixed to the chamber to process a layer on the substrate. Commonly used electrostatic chucks include electrodes covered with ceramic pots. When the electrodes are energized, electrostatic charges accumulate in the electrodes and the substrate, and electrical power is thereby generated to secure the substrate to the holder. Typically, the heat transfer rate across the small gap at the interface between the back side of the substrate and the suction surface is promoted by controlling the substrate temperature by holding helium gas over the substrate. The electrostatic suction base is supported by a base having a passage for liquid to flow therethrough to heat the suction base. Once the substrate is firmly secured to the holder, gas is introduced into the chamber and a plasma is formed to treat the substrate. The substrate can be processed by CVD, PVD, etching, implantation (impUnt), deuterated nitridation or the like. In this conventional substrate process, the process will be maintained at a single temperature during processing. Typically, a wafer carrier (bUde) is used to pass through a slit in the chamber and placed on a lift pin that extends through the body of the electrostatic chuck. Then, the lift pins are retracted from the suction cup, and the substrate is placed on the surface of the suction seat. The temperature of the substrate rises rapidly to a predetermined degree, and then the heater in the suction seat or the electric speed formed in the chamber is used for the static temperature. A static filling table of the line can be used by the process or by the process. The material is extended to the base system to set the temperature slurry to 5 1373810

將該溫度維持穩定。可藉由控制流經底座通道和吸座下 的冷卻劑的溫度和流速,而可進一步控制基材的溫度, 中該冷卻劑係用於移除吸座的熱量。 雖然傳統的製程腔室係適合在處理期間使基材維持 穩定的單一溫度下,但是該些製程室無法使基材溫度在 一製程循環中快速改變。在部分製程中,需要使基材溫 快速上升或下降,以在製程期間獲得特定的溫度分佈。 如,在蝕刻製程的不同階段中,基材溫度需要能迅速改穆 以在不同的溫度下蝕刻基材上的不同材料。在這些不同 蝕刻階段中,提供給腔室的製程氣體還可在成分上不同 具有相同的成分。另一實施例中,在蝕刻製程中,這種 度分佈有利於在基材上所姓刻的特徵結構(feature)之 壁上沉積側壁聚合物,並在相同的蝕刻製程之後期,藉 提高蝕刻製程的溫度以除去側壁聚合物,或反之亦然。 樣地,在沉積製程中,例如,爲了在基材上首先沉積成 層(nucleating layer),並接著在基材上生長一熱處理的 積層,可能需要使第一製程溫度高於或低於第二製程 度。傳統的基材製程室和其内部結構通常無法充分地使 材溫度迅速上升或下降。 在製程過程中,當基材於其徑向方向上處於不均勻 製程條件時,會出現另一個複雜情況,這種情況會導致 生不均勻的同中心處理帶(processingband)。這種不均 的製程條件可以由腔室中氣體或電漿物質的分佈所引起 其通常基於腔室中的入口和排氣口的位置而有所改變。 方 其 在 單 度 例 ' ) 的 或 溫 側 由 同 核 沉 溫 基 的 産 勻 ϊ 質 6 1373810This temperature is kept stable. The temperature of the substrate can be further controlled by controlling the temperature and flow rate of the coolant flowing through the base passage and the suction seat, wherein the coolant is used to remove heat from the suction seat. While conventional process chambers are suitable for maintaining a stable single temperature during processing, such process chambers do not allow the substrate temperature to change rapidly over a process cycle. In some processes, it is desirable to have the substrate temperature rise or fall rapidly to achieve a specific temperature profile during the process. For example, in different stages of the etching process, the substrate temperature needs to be rapidly changed to etch different materials on the substrate at different temperatures. In these various etching stages, the process gases supplied to the chamber may also differ in composition from the same composition. In another embodiment, in the etching process, the degree distribution facilitates deposition of sidewall polymers on the walls of the feature features on the substrate, and improves etching after the same etching process. The temperature of the process is removed to remove the sidewall polymer, or vice versa. Alternatively, in a deposition process, for example, to first deposit a nucleating layer on a substrate and then grow a heat treated laminate on the substrate, it may be desirable to have the first process temperature above or below the second system. degree. Conventional substrate processing chambers and their internal structures are often unable to adequately increase or decrease the temperature of the substrate. During the manufacturing process, when the substrate is in a non-uniform process condition in its radial direction, another complication occurs, which can result in an uneven homogeneous processing band. Such uneven process conditions can be caused by the distribution of gas or plasma species in the chamber which is typically varied based on the location of the inlet and outlet ports in the chamber. It is produced in the unitary case ' or the temperature side by the homonuclear sedimentation temperature base 6 1373810

量傳遞構件(mass transport mechanism)也可以改變在基 材表面的不同區域之氣態物質擴散和到達的速率。在腔室 中的非均勻熱負載也可能造成非均勻處理,例如,由於從 電漿鞘層至基材之非均勻能量耦合或者從腔室壁反射的輻 射熱量都可能引起非均勻的熱負載。不希望在基材上發現 存在有處理帶或是其他處理差異,因爲這樣會導致在基材 的不同區域(例如,週邊和中心基材區域)製造的電子元 件具有不同的特性。因此,在處理基材期間,希望能夠減 少基材表面上的處理速率和其他製程特性的變化。 因此,期望存在有一種製程腔室和腔室部件,其允許 在腔室中進行處理的基材之溫度能夠迅速上升和下降。而 且,亦期望對基材的處理表面上不同區域的溫度進行控 制,以減少基材表面沿徑向處的不均勻處理條件的影響。 另外,亦期望在製程期間對基材的溫度分佈進行控制。 【發明内容】The mass transport mechanism can also change the rate of diffusion and arrival of gaseous species in different regions of the substrate surface. Non-uniform thermal loading in the chamber can also cause non-uniform processing, for example, non-uniform thermal loading due to non-uniform energy coupling from the plasma sheath to the substrate or from the chamber walls. It is undesirable to have processing strips or other processing differences found on the substrate as this would result in electronic components fabricated in different regions of the substrate (e.g., perimeter and central substrate regions) having different characteristics. Therefore, it is desirable to be able to reduce variations in processing rates and other process characteristics on the surface of the substrate during processing of the substrate. Accordingly, it is desirable to have a process chamber and chamber component that allows the temperature of the substrate being processed in the chamber to rise and fall rapidly. Moreover, it is also desirable to control the temperature of different regions of the treated surface of the substrate to reduce the effects of uneven processing conditions on the surface of the substrate along the radial direction. In addition, it is also desirable to control the temperature distribution of the substrate during the process. [Summary of the Invention]

本發明的目的在於提供一種可以對於待處理的基材之 不同區域進行快速溫度控制的製程腔室和腔室部件,其基 本上能夠解決由於現有技術中存在的缺點所産生一個或者 多個問題。 根據本發明的一方面,本發明提供一種能在製程腔室 中承接並加熱基材的基材支撐組件,該組件包括:(a)包 括基材承接表面和相對之背面的陶瓷圓盤,而陶瓷圓盤包 括(i)嵌設於陶瓷圓盤中的電極,以産生靜電力來固定放 7 1373810 置在基材承接表面上的基材,以及(ii)嵌設於陶瓷圓盤 中以加熱基材的加熱器;(b)冷卻劑底座,其包括使冷卻 劑循環於其中的冷卻劑通道,而通道包括入口和终端;(c) 缓衝層,其使陶曼圓盤結合至冷卻劑底座,而缓衝層包括 (i)具有嵌設之鋁纖維的矽,或(ii)具有嵌設之金屬絲 網的丙烯酸的至少其中之一。It is an object of the present invention to provide a process chamber and chamber component that allows for rapid temperature control of different regions of the substrate to be treated, which substantially solves one or more problems due to disadvantages of the prior art. According to an aspect of the present invention, there is provided a substrate support assembly capable of receiving and heating a substrate in a process chamber, the assembly comprising: (a) a ceramic disk including a substrate receiving surface and an opposite back surface, and The ceramic disk includes (i) an electrode embedded in the ceramic disk to generate an electrostatic force to fix the substrate placed on the substrate receiving surface, and (ii) embedded in the ceramic disk for heating a heater for the substrate; (b) a coolant base including a coolant passage in which the coolant is circulated, and the passage includes an inlet and a terminal; and (c) a buffer layer that bonds the Tauman disc to the coolant The base, and the buffer layer comprises at least one of (i) a crucible having embedded aluminum fibers, or (ii) acrylic having an embedded wire mesh.

根據本發明的另一方面,本發明提供一種能在製程腔 室中承接並加熱基材的靜電吸座,其包括:(a)陶瓷圓盤, 其包括基材承接表面和相對的背面,而陶瓷圓盤包括小於 約7mm的厚度;(b)嵌設於陶瓷圓盤中的電極,其用於産 生靜電力來固定放置於基材承接表面上的基材,以及(c) 嵌設於陶瓷圓盤中的加熱器,以加熱在基材承接表面上所 承接的基材。According to another aspect of the present invention, there is provided an electrostatic chuck capable of receiving and heating a substrate in a process chamber, comprising: (a) a ceramic disk comprising a substrate receiving surface and an opposite back surface, and The ceramic disk includes a thickness of less than about 7 mm; (b) an electrode embedded in the ceramic disk for generating an electrostatic force to fix the substrate placed on the substrate receiving surface, and (c) embedded in the ceramic A heater in the disc to heat the substrate that is carried on the substrate receiving surface.

根據本發明的另一方面,本發明提供一種用於將包括 電極和加熱器的陶究圓盤結合至冷卻劑底座上的緩衝層 (compliant layer),而冷卻劑底座包括使冷卻劑循環通過 其中的冷卻劑通道,緩衝層包括如下材料至少其中之一: (a )具有嵌設之鋁纖維的矽,或(b )具有嵌設之金屬絲 網的丙烯酸。 根據本發明的另一方面,本發明提供一種基材處理設 備,包括:(a) —製程腔室,包括安裝在其内的一基材支 撐件,而基材支撐件包括:(i)具有一電極和一加熱器的 一陶瓷圓盤;(ii)位於陶瓷圓盤下方的一底座,而底座包 括多個冷卻劑通道;以及(i i i ) 一用於使一冷卻劑維持在 8 1373810According to another aspect of the present invention, there is provided a compliant layer for bonding a ceramic disc including an electrode and a heater to a coolant base, and the coolant base includes circulating a coolant therethrough The coolant passage, the buffer layer comprises at least one of: (a) a crucible having embedded aluminum fibers, or (b) an acrylic having an embedded wire mesh. According to another aspect of the present invention, there is provided a substrate processing apparatus comprising: (a) a process chamber including a substrate support mounted therein, and the substrate support comprising: (i) having a ceramic disc with an electrode and a heater; (ii) a base below the ceramic disc, the base including a plurality of coolant passages; and (iii) one for maintaining a coolant at 8 1373810

一預設溫度下的冷卻器,冷卻劑係提供至底座的冷卻劑通 道;(b) —氣體分配器,用於將一製程氣體提供至製程腔 室;(c) 一氣體激發器,用於激發製程氣體;(d) —排氣 口 ,將製程氣體由製程腔室而經由排氣口排出;以及(e) 一控制器,包括多個溫度控制指令集(instruction set), 指令集包括多個程式碼而用以:(i)在提高施加至陶瓷圓 盤中之加熱器的功率層級之前,將冷卻器中的一冷卻劑溫 度升高至一較高層級,或者(ii)在降低施加至陶瓷圓盤 中之加熱器的功率層級之前,使冷卻器中的冷卻劑溫度降 低至一較低層級,從而使得基材的溫度可以一較快的速率 升高或降低。 因此,本發明可以獨立地控制靜電吸座上之陶瓷圓盤 中不同加熱區的溫度,從而實現對不同基材區域的快速溫 度控制。a cooler at a predetermined temperature, a coolant providing a coolant passage to the base; (b) a gas distributor for supplying a process gas to the process chamber; and (c) a gas actuator for Exciting process gas; (d) an exhaust port for exhausting process gas from the process chamber through the exhaust port; and (e) a controller including a plurality of temperature control instruction sets, the instruction set including The code is used to: (i) raise the temperature of a coolant in the cooler to a higher level before increasing the power level of the heater applied to the ceramic disk, or (ii) reduce the application The temperature of the coolant in the cooler is lowered to a lower level before the power level of the heater in the ceramic disk, so that the temperature of the substrate can be increased or decreased at a faster rate. Therefore, the present invention can independently control the temperature of different heating zones in the ceramic disk on the electrostatic chuck, thereby achieving rapid temperature control of different substrate regions.

以下將結合附圖詳細描述本發明的一個或多個實施方 式。本發明的其他目的、特徵、方面和優點在以下描述並 結合附圖和申請專利範圍將變得更加明顯可見》 【實施方式】 第1圖係概要繪示能夠蝕刻基材25的腔室106之示範 性方案。腔室 106 係以 Decoupled Plasma Source (DPS™) 腔室來代表,其爲位於加州聖克拉拉的應用材料公司 (Applied Materials Inc.)提供的感應輕合電漿#刻腔 9 1373810One or more embodiments of the present invention will be described in detail below with reference to the drawings. Other objects, features, aspects and advantages of the present invention will become more apparent from the description and appended claims appended claims claims An exemplary program. The chamber 106 is represented by a Decoupled Plasma Source (DPSTM) chamber, which is an inductive light plasma that is supplied by Applied Materials Inc. in Santa Clara, Calif. 9 1373810

室。該 DPS 腔室 106 可用於 CENTURA® Integrated Processing System (整合式處理系統)中,其由加卅聖克 拉拉的應用材料公司(Applied Materials Inc.)提供。然 而,也可將其他製程腔室與本發明結合使用,包括,例如, 電容耦合平行板腔室、電磁增強離子蝕刻腔室、具有不同 設計的感應耦合電漿钱刻腔室,及沉積腔室。雖然本發明 的設備和製程係有利於使用在DPS腔室中,但該腔室僅用 於描述本發明,而不應理解或解釋爲對本發明範圍的限定》room. The DPS chamber 106 can be used in the CENTURA® Integrated Processing System, supplied by Applied Materials Inc. of St. Kerala. However, other process chambers can also be used in conjunction with the present invention, including, for example, capacitively coupled parallel plate chambers, electromagnetically enhanced ion etching chambers, inductively coupled plasma cavities with different designs, and deposition chambers. . Although the apparatus and process of the present invention are advantageously used in a DPS chamber, the chamber is only used to describe the invention and should not be construed or construed as limiting the scope of the invention.

參照第1圖,一種典型的腔室106包括:由圍牆壁118 構成的外殼114,其而圍牆壁118包括有側壁128、底壁 122和頂壁130。頂壁130可包括如圖所示的平坦形狀,或 者例如:由Chinn等人遞交的美國專利第7,074,723號, 且發明名稱爲“採用電漿源氣體調節蝕刻劑系統而電漿蝕 刻深度凹陷之特徵結構的方法(Method of Plasma Etching a Deeply Recessed Feature in a Substrate Using a Plasma Source Gas Modulated Etchant System)"之發明案所描述 的具有多半徑拱形的圓頂形狀,在此引入該專利的全部内 容作爲參考。壁118通常由諸如鋁的金屬或陶瓷材料所製 成。頂壁130和/或側壁128也可具有輻射可穿透的視窗 126’其允許輻射通過該腔室以監視在腔室1〇6中正在進行 的製程。電漿係於由製程腔室106、基材支撐件和圓頂壁 130所界定的製程區中形成。 基材25係支托在腔室106中而位於基材支撐件的承接 表面26上,該基材支撐件包括靜電吸座20,而吸座2〇則 10 1373810Referring to Fig. 1, a typical chamber 106 includes a housing 114 formed by a perimeter wall 118, and the perimeter wall 118 includes side walls 128, a bottom wall 122 and a top wall 130. The top wall 130 may comprise a flat shape as shown, or for example, U.S. Patent No. 7,074,723, to Chinn et al., entitled "Using a Plasma Source Gas Regulating Etch System and Plasma Etching Depth Features" A dome shape having a multi-radius arch shape as described in the Invention of Plasma Etching a Deeply Respirated Feature in a Substrate Using a Plasma Source Gas Modulated Etchant System, and the entire contents of the patent are incorporated herein. For reference, the wall 118 is typically made of a metal or ceramic material such as aluminum. The top wall 130 and/or the side wall 128 may also have a radiation transmissive window 126' that allows radiation to pass through the chamber to monitor the chamber 1 The process is being carried out in 〇 6. The plasma is formed in a process zone defined by the process chamber 106, the substrate support and the dome wall 130. The substrate 25 is supported in the chamber 106 and is located on the substrate. On the receiving surface 26 of the support member, the substrate support member comprises an electrostatic suction seat 20, and the suction seat 2 is 10 1373810

設置在底座91上。如第1圖和第2圖所示,靜電吸. 包括陶瓷圓盤(ceramic puck) 24,其具有作爲該陶 盤24的頂表面的基材承接表面26,並用於承接基材 該陶瓷圓盤24亦具有與基材承接表面26相對的背面 陶瓷圓盤24具有週邊突出部29,該突出部29具有第 階31和第二臺階33。陶瓷圓盤24包括氧化鋁、氮仆 氧化矽、碳化矽、氮化矽、氧化鈦、氧化鍅及其混合 至少其中之一者。陶瓷圓盤24可由單一陶瓷塊製成, 藉由熱壓(hot pressing)和燒結陶瓷粉末,並接著以 加工燒結後的物品以形成吸座2 0的最終形狀。 認爲陶瓷圓盤24的厚度會實質上影響基材溫度 上升和下降的能力》如果陶瓷圓盤2 4太厚,則使得該 圓盤24花費很長時間而仍無法使溫度快速上升和下P 而導致上方基材的溫度亦需要相應過長的時間才可到 期望的設定值溫度。另外,亦認爲如果陶瓷圓盤2 4太 則其無法在處理過程中將基材維持在穩態溫度,因而 基材溫度波動。另外,陶瓷圓盤24的厚度亦會影響嵌 陶瓷圓盤2 4中的電極3 6之操作。如果直接位於嵌設 36上方的陶瓷圓盤24層的厚度太厚,則電極36無法 地將能量耦合至製成區域中所形成的電漿。另一方面 果電極36上方的陶瓷圓盤24之厚度太薄,則施加在 36上的射頻(RF)電壓可放電至電漿,而産生電弧並 電漿不穩定性。因此,將陶瓷圓盤24的厚度精確地控 約小於7 m m,例如,約4〜約7 m m之厚度;以及在 I 20 瓷圓 25 ° 28 » 一臺 鋁、 物的 其係 機械 快速 陶瓷 h因 達所 薄, 造成 設於 電極 有效 ,如 電極 引起 制在 一方 11 1373810It is placed on the base 91. As shown in FIGS. 1 and 2, the electrostatic chuck includes a ceramic puck 24 having a substrate receiving surface 26 as a top surface of the ceramic disk 24 and used to receive the substrate. The back ceramic disc 24, which also has a surface opposite the substrate receiving surface 26, has a peripheral projection 29 having a third step 31 and a second step 33. The ceramic disk 24 includes at least one of alumina, nitrous oxide, lanthanum carbide, tantalum nitride, titanium oxide, cerium oxide, and a mixture thereof. The ceramic disk 24 can be made of a single ceramic block by hot pressing and sintering of the ceramic powder, and then processing the sintered article to form the final shape of the suction cup 20. It is believed that the thickness of the ceramic disk 24 substantially affects the ability of the substrate to rise and fall. If the ceramic disk 24 is too thick, the disk 24 takes a long time and still does not allow the temperature to rise rapidly and lower. As a result, the temperature of the upper substrate also takes a correspondingly long time to reach the desired setpoint temperature. In addition, it is also considered that if the ceramic disk 24 is too small, it cannot maintain the substrate at a steady state temperature during the process, and thus the substrate temperature fluctuates. In addition, the thickness of the ceramic disk 24 also affects the operation of the electrode 36 embedded in the ceramic disk 24. If the thickness of the layer of ceramic discs 24 directly above the embedding 36 is too thick, the electrode 36 cannot couple energy to the plasma formed in the finished region. On the other hand, if the thickness of the ceramic disk 24 above the electrode 36 is too thin, the radio frequency (RF) voltage applied to 36 can be discharged to the plasma to cause arcing and plasma instability. Therefore, the thickness of the ceramic disk 24 is precisely controlled to be less than about 7 mm, for example, a thickness of about 4 to about 7 mm; and in the case of an I 20 ceramic circle of 25 ° 28 » an aluminum, the mechanical fast ceramic of the body h Inda thin, caused by the electrode is effective, such as the electrode caused by the side 11 1373810

案中,陶瓷圓盤厚度爲5 mm。在這些厚度層級,陶瓷 24允許基材溫度在製程中迅速上升和下降,並可同時 溫度波動,而且實質上不會造成電漿不穩定性。 嵌設於陶瓷圓盤24中的電極36係用於産生靜電 以將基材固定放置在基材承接表面26上,並且可選擇 以將能量電容耦合至腔室106中所形成的電漿。電箱 爲諸如金屬的導體,並且可形成爲單極或雙極電極。 電極包括單個導體,以及具有連接至外部電源之單一 接,並且與在腔室上方形成的電漿之帶電物質協同作 而對固定在吸座20上的整個基板施加電偏壓。雙極電 有兩個或多個導體,其中該些導體係相對於另一導體 壓,以産生用於保持基材的靜電力。電極36可以成形 屬絲網或者具有適當開口區域的金屬盤。例如,包括 電極的電極 36可以是如圖所示的嵌設於陶瓷圓盤中 一連續金屬絲網。包括雙極電極的電極3 6的一實施例 是一對嵌入式的C型盤,而該些盤係橫跨C型直邊而 對。電極36可以由鋁、銅、鐵、鉬、鈦、鎢或者其合 成。電極36的一個方案包括鉬網。電極36與接線木 相連,其中接線柱58將來自外部電源230的電功率供 電極3 6,該外部電源2 3 0包括D C電壓源,和可選澤 係為RF電壓源。 可選擇地,多個熱傳氣體導管38a、38b係橫穿陶 盤24,並終止於位於吸座20的基材承接表面 26上 40a、4 0b處,以將熱傳氣體提供給基材承接表面26。 圓盤 減少 力, 地, i 36 單極 電連 用, 極具 而偏 爲金 單極 的單 可以 相面 金組 t 58 應到 地, 瓷圓 的埠 在基 12 1373810In the case, the ceramic disc has a thickness of 5 mm. At these thickness levels, the ceramic 24 allows the substrate temperature to rise and fall rapidly during the process, and can simultaneously fluctuate in temperature without substantially causing plasma instability. Electrode 36 embedded in ceramic disk 24 is used to generate static electricity to securely place the substrate on substrate receiving surface 26 and optionally to capacitively couple energy to the plasma formed in chamber 106. The electrical box is a conductor such as a metal and can be formed as a monopolar or bipolar electrode. The electrode includes a single conductor and has a single connection to an external power source and cooperates with a charged species of plasma formed over the chamber to apply an electrical bias to the entire substrate secured to the holder 20. Bipolar power has two or more conductors, wherein the conductors are pressed relative to the other conductor to create an electrostatic force for holding the substrate. The electrode 36 can be formed into a wire mesh or a metal disk having a suitable opening area. For example, the electrode 36 including the electrode may be a continuous wire mesh embedded in the ceramic disk as shown. An embodiment of the electrode 36 comprising a bipolar electrode is a pair of embedded C-shaped discs that straddle the C-shaped straight edge. The electrode 36 may be composed of aluminum, copper, iron, molybdenum, titanium, tungsten or the like. One version of electrode 36 includes a molybdenum mesh. The electrode 36 is connected to the wiring wood, wherein the terminal 58 supplies electrical power from the external power source 230 to the electrode 3, which includes a DC voltage source, and optionally an RF voltage source. Optionally, a plurality of heat transfer gas conduits 38a, 38b traverse the ceramic disk 24 and terminate at 40a, 40b on the substrate receiving surface 26 of the suction seat 20 to provide heat transfer gas to the substrate. Surface 26. Disc reduction force, ground, i 36 unipolar electrical connection, extremely eccentric and gold unipolar single can face the face gold group t 58 should be to the ground, porcelain round 埠 at the base 12 1373810

材背面34的下方提供該熱傳氣體(可例如爲氦氣),從而 使上方基材25的熱量傳導至陶瓷圓盤24的承接表面26。 例如,第一氣體導管38a係設置以提供熱傳氣體至基材承 接表面26的中心加熱區42a,而第二氣體導管38b係設置 以將熱傳氣體提供至基材承接表面26的週邊加熱區42 b。 陶瓷圓盤 24的基材承接表面 26之中心和週邊加熱區 42a、42b使得基材表面44的相應部分(例如,基材25上 方的中心和週邊部分46a、46b)維持在不同的溫度下,以 補償不均勻的同中心處理帶,而該同中心處理帶係由於在 基材25上相應的具有不同製程條件之不均勻帶所造成。 陶瓷圓盤24還具有嵌設的加熱器以加熱基材25。該 加熱器包括嵌設在陶瓷圓盤24中的多個加熱器線圈50、The heat transfer gas (which may be, for example, helium) is provided below the back side 34 of the material to conduct heat from the upper substrate 25 to the receiving surface 26 of the ceramic disk 24. For example, the first gas conduit 38a is configured to provide a heat transfer gas to the central heating zone 42a of the substrate receiving surface 26, and the second gas conduit 38b is configured to provide a heat transfer gas to the peripheral heating zone of the substrate receiving surface 26. 42 b. The center of the substrate receiving surface 26 of the ceramic disk 24 and the peripheral heating zones 42a, 42b maintain respective portions of the substrate surface 44 (eg, the center and peripheral portions 46a, 46b above the substrate 25) at different temperatures, In order to compensate for the uneven concentric processing strip, the concentric processing strip is caused by a corresponding uneven strip on the substrate 25 having different process conditions. The ceramic disk 24 also has an embedded heater to heat the substrate 25. The heater includes a plurality of heater coils 50 embedded in the ceramic disk 24,

5 2,例如:第一加熱器線圈5 0和第二加熱器線圈5 2。利 用同中心的並且彼此徑向間隔設置的加熱器線圈5 0、5 2, 則可控制陶瓷圓盤2 4的基材承接表面2 6的中心和週邊加 熱區42a、42b處的溫度。在一方案中,第一加熱器線圈 50位於陶瓷圓盤24的週邊部分54b處,以及第二加熱器 線圈5 2位於陶瓷圓盤2 4的中心部分5 4 a處。第一和第二 加熱器線圈50、52允許對於陶瓷圓盤'24的中心和週邊部 分5 4a、5 4b的溫度進行獨立控制,進而提供對加熱區42a、 4 2 b的溫度獨立控制的能力,以達到沿著基材2 5處理表面 44的徑向具有不同的處理速率或特性。因此,可在兩個加 熱區42a、42b維持不同的溫度,以影響上方基材25的中 心和週邊部分46a、46b的溫度,從而抵抗在處理基材25 13 13738105 2, for example, the first heater coil 50 and the second heater coil 52. The center of the substrate receiving surface 26 of the ceramic disk 24 and the temperature at the peripheral heating zones 42a, 42b can be controlled by the heater coils 50, 52 which are concentric and radially spaced from one another. In one version, the first heater coil 50 is located at the peripheral portion 54b of the ceramic disk 24, and the second heater coil 52 is located at the central portion 504 of the ceramic disk 24. The first and second heater coils 50, 52 allow for independent control of the temperature of the center and peripheral portions 5 4a, 5 4b of the ceramic disk '24, thereby providing the ability to independently control the temperature of the heating zones 42a, 42b. To achieve different processing rates or characteristics in the radial direction of the processing surface 44 along the substrate 25. Therefore, different temperatures can be maintained in the two heating zones 42a, 42b to affect the temperature of the center and peripheral portions 46a, 46b of the upper substrate 25, thereby resisting the processing of the substrate 25 13 1373810

的過程中發生的任何氣體物質分佈或熱負荷的變化 如,當位於基材25的處理表面44的週邊部分46b處 體之活性低於位於中心部分4 6 b處的氣體時,週邊加 42b的溫度上升至比中心加熱區42a更高的溫度,從 基材25的處理表面44上提供更均勻的處理速率或處 性。第8圖示出了基材溫度的變化與由嵌設在吸座20 内部和外部加熱線圈所提供的加熱器功率百分比之間 係。 在一方案中,第一和第二加熱器線圈50、52各包 排設置的電阻加熱元件之線圈環(loop ),且其甚至可 位於同一平面上。例如,加熱器線圈50、52均爲一個 的同心線圈環,其在陶瓷圓盤24的主體中逐漸沿徑向 内捲繞。在一實施例中,加熱器包括線圈,且其具有 一距離間隔設置的第一線圈環,和以大於第一長距離 二距離間隔設置的第二線圈環。第二線圈環設置於陶 盤中的升降銷孔之周圍處。加熱器線圈50、52還可爲 通過線圈中心之軸而捲繞的捲繞線圈,.例如,類似燈 其設置於陶瓷圓盤24内部空間的同心圓中。電阻加熬 可由例如鎢或者鉬等不同的電阻材料組成。 加熱器線圈5 0、5 2具有所選的電阻和操作功率層 以提高基材25溫度快速上升和下降的速率。在一方案 加熱器線圈5 0、5 2均包括足夠高的電阻,以使陶瓷 24的基材承接表面 26迅速升高至並維持在從約 80 2 5 0 ° C的溫度。在該方案中,線圈的電阻爲約4到約1 2 。例 的氣 熱區 而在 理特 中的 的關 括並 實質 連續 而往 以第 的第 瓷圓 沿著 絲, 元件 級, 中, 圓盤 到約 Ohm 14 1373810Any change in gas species distribution or heat load that occurs during the process, such as when the activity at the peripheral portion 46b of the treated surface 44 of the substrate 25 is lower than the gas at the central portion 46b, the periphery is 42b The temperature rises to a higher temperature than the central heating zone 42a, providing a more uniform processing rate or locality from the treated surface 44 of the substrate 25. Figure 8 shows the change in substrate temperature between the percentage of heater power provided by the internal and external heating coils embedded in the suction cup 20. In one arrangement, the first and second heater coils 50, 52 each comprise a loop of electrical resistance heating elements disposed therein and which may even lie in the same plane. For example, the heater coils 50, 52 are each a concentric coil loop that is progressively wound radially in the body of the ceramic disk 24. In one embodiment, the heater includes a coil and has a first coil ring spaced apart from each other and a second coil ring disposed at a distance greater than the first long distance. The second coil ring is disposed around the lift pin hole in the ceramic disk. The heater coils 50, 52 may also be wound coils wound through the shaft of the center of the coil, for example, similar to the lamps disposed in concentric circles of the interior space of the ceramic disk 24. The resistance twist can be composed of different resistive materials such as tungsten or molybdenum. The heater coils 50, 52 have a selected resistance and operating power layer to increase the rate at which the substrate 25 rapidly rises and falls. In one aspect, the heater coils 50, 52 include a sufficiently high resistance to cause the substrate receiving surface 26 of the ceramic 24 to rapidly rise to and maintain a temperature of from about 80 2 50 ° C. In this scheme, the resistance of the coil is from about 4 to about 1 2 . The gas-hot zone of the example is in the context of the physical and continuous continuous to the first porcelain circle along the wire, element level, medium, disc to about Ohm 14 1373810

(歐姆)。在一實施例中,第一加熱器線圈50的電阻i Ohm,以及第二加熱器線圈52的内電阻爲8.5 Ohm。 他實施例中,第一及第二加熱器線圈包括一小於 10 的總電阻。於一實施例中,加熱器包括8.50 hm的電 藉由延伸穿過陶瓷圓盤24的獨立接線柱58 a-d而對加 線圈50、52供電。 結合利用加熱器線圈50、52,還可在兩個加熱區 42b對熱傳氣體的壓力進行控制,以使基材25上的基 理速率更均勻。例如,可以設定兩個加熱區42a、42b 分別在不同平衡壓力下容納熱傳氣體,以提供來自基 背面34的不同熱傳速率。可藉由提供不同氣壓的熱 體,使其分別通過兩個氣體導管38a、38b,以在基材 表面26的兩個不同位置離開而實現上述目的。 陶瓷圓盤24的背面28可具有如第3圖中所示的 分隔的臺面30(mesa)。在一實施例中,臺面30爲藉 個間隙 3 2而彼此分開的圓柱形突出物。在應用中, 3 2充滿諸如空氣的氣體,以調節從背面2 8至.下方之 表面的熱傳速.率。在一實施例中,臺面30包括自背 而向上延伸之圓柱狀凸起,其甚至可以成形爲柱子, 具有矩形或圓形之裁面形狀。臺面 30的高度可以是 1 0到約5 0微米,臺面3 0的直徑從約5 0 0到約5 0 0 0箱 然而,臺面3 0也可以具有其他形狀和尺寸,例如,圓 矩形塊,或者甚至為不同尺寸的凸塊。在一個方案中 用具有適當小的珠子尺寸(例如幾十微米)而喷珠 % 6.5 在其 Ohm 阻。 熱器 42a、 材處 使其 材25 傳氣 承接 多個 由多 間隙 底座 面 28 柱子 從約 i米。 錐或 ,利 處理 15 1373810(ohm). In one embodiment, the resistance i Ohm of the first heater coil 50 and the internal resistance of the second heater coil 52 are 8.5 Ohm. In his embodiment, the first and second heater coils comprise a total resistance of less than 10. In one embodiment, the heater includes 8.50 hm of power to power the winding coils 50, 52 by extending the individual terminals 58 a-d of the ceramic disk 24. In combination with the heater coils 50, 52, the pressure of the heat transfer gas can also be controlled in the two heating zones 42b to provide a more uniform base rate on the substrate 25. For example, two heating zones 42a, 42b can be set to accommodate the heat transfer gases at different equilibrium pressures to provide different heat transfer rates from the base back 34. This can be accomplished by providing a different temperature of heat through the two gas conduits 38a, 38b, respectively, to exit at two different locations on the substrate surface 26. The back side 28 of the ceramic disk 24 can have a divided mesa 30 (mesa) as shown in Fig. 3. In one embodiment, the mesas 30 are cylindrical protrusions that are separated from one another by a gap 3 2 . In the application, 3 2 is filled with a gas such as air to adjust the heat transfer rate from the back surface to the lower surface. In one embodiment, the table top 30 includes a cylindrical projection extending upwardly from the back, which may even be shaped as a post having a rectangular or circular cross-sectional shape. The height of the table top 30 may be from 10 to about 50 microns, and the diameter of the table top 30 may be from about 50,000 to about 50,000. However, the table top 30 may have other shapes and sizes, for example, a rectangular block. Or even bumps of different sizes. In one scheme, the beads have a suitably small bead size (e.g., tens of microns) and the beads are 6.5 at their Ohm resistance. The heater 42a, the material is made to pass the gas to the material 25 to take over a plurality of gaps from the base surface 28 pillars from about i meters. Cone or , Lee treatment 15 1373810

(bead blasting)背面28以形成臺面30,並利用侵钱方 而蝕刻掉背面2 8的材料以形成具有置於其間之間隙3 2 成型臺面3 0。 靜電吸座20還可以包括光學溫度感測器60a、b, 穿過在陶瓷圓盤24中的孔62a、b以接觸並準確測量上 之基材25的中心和週邊部分46a、b的溫度。第一感測 60a位於陶瓷圓盤24的中心加熱區42a處,以讀取基材 中心部分46a的溫度,並且第二感測器60b位於陶瓷圓 24的週邊加熱區42b處,以相應地讀取基材25的週邊 分46b的溫度。光學溫度感測器60a、b位於吸座20中 使得感測器60a、b的尖端64a、b和陶瓷圓盤24的基材 接表面26位於同一平面中,從而感測器尖端64a、b可 接觸保持在吸座20上的基材25的背面34。感測器60a 的支柱66a、b可垂直延伸通過陶瓷圓盤24的主體。 在一實施例中,如第5圖中所示,每個光學溫度感 器60包括熱感測器探針68,該探針68包括成形爲具有 壁72和作為尖端64的圓頂狀頂部74的封閉圓柱體的銅 7 0。銅帽7 0可以由無氧之銅材料組成。含磷插塞7 6係 設於内部,並且與銅帽70的頂部74直接接觸。嵌設在 帽7 0中的含磷插塞7 6對熱感測探針6 8提供更快及更敏 的熱回應。銅帽70的尖端64是圓頂狀的頂部74,以允 與不同基材25的重覆接觸,而不會侵蝕或破壞基材25 銅帽70具有用於容納環氧樹脂79的凹槽78,以將銅帽 固定在感測器探針6 8中。 法 的 其 方 器 25 盤 部 » 承 以 、b 測 側 帽 嵌 銅 感 許 〇 16 70 1373810The back side 28 is (bead blasted) to form the mesas 30, and the material of the back side 28 is etched away by the invading party to form a gap 30 formed with a gap therebetween. The electrostatic chuck 20 can also include optical temperature sensors 60a, b that pass through holes 62a, b in the ceramic disk 24 to contact and accurately measure the temperature of the center and peripheral portions 46a, b of the substrate 25. The first sensing 60a is located at the central heating zone 42a of the ceramic disk 24 to read the temperature of the substrate central portion 46a, and the second sensor 60b is located at the peripheral heating zone 42b of the ceramic circle 24 to read accordingly The temperature of the peripheral portion 46b of the substrate 25 is taken. The optical temperature sensors 60a, b are located in the suction cup 20 such that the tips 64a, b of the sensors 60a, b and the substrate attachment surface 26 of the ceramic disk 24 lie in the same plane so that the sensor tips 64a, b can The back side 34 of the substrate 25 held on the suction cup 20 is contacted. The struts 66a, b of the sensor 60a extend vertically through the body of the ceramic disk 24. In one embodiment, as shown in FIG. 5, each optical temperature sensor 60 includes a thermal sensor probe 68 that includes a dome-shaped top portion 74 that is shaped to have a wall 72 and as a tip end 64. The closed cylinder of copper 7 0. The copper cap 70 can be composed of an oxygen-free copper material. The phosphorus-containing plug 7 6 is internally provided and is in direct contact with the top 74 of the copper cap 70. The phosphorus-containing plugs 76 embedded in the caps 70 provide a faster and more sensitive thermal response to the thermal sensing probes 68. The tip end 64 of the copper cap 70 is a dome-shaped top portion 74 to allow repeated contact with different substrates 25 without eroding or damaging the substrate 25. The copper cap 70 has a recess 78 for receiving the epoxy resin 79. To fix the copper cap in the sensor probe 68. The method of the 25th part of the method » bearing, b measuring side cap embedded copper feeling Xu 〇 16 70 1373810

含磷插塞76將紅外線輻射形式之熱量轉變爲光1 光子係通過光學纖維束80。光學纖維束80可以由硼 鹽玻璃纖維組成。套管82包圍光學纖維束80,而隔 84則部分環繞套管82,隔溫套84係用作為使温度感 與支撐陶瓷圓盤的底座兩者為熱絕緣。套管82可以是 管以提供與周圍構造更好的熱絕緣,但是亦可由諸如 金屬製成。隔溫套84可以由PEEK (聚醚醚酮)組成 且還可以是由美國德拉威州的Dupont de Nemours公 造的 Teflon® (聚四氟乙烤)構成。 包括靜電吸座20的基材支撐件90係固定在冷卻 座91上,該冷卻劑底座91用於支撐和固定吸座20, 卻吸座20 (第4A圖和第4B圖)。該底座91包括具 表面94的金屬主體92,該頂表面94具有吸座承接部 和週邊部分98。頂表面94的吸座承接部分96係用於 靜電吸座20的陶瓷圓盤24之背面28。底座91的週 分9 8係沿著徑向往外延伸超過陶瓷圓盤2 4。底座9 1 邊部分98可用於承接一固定環(clamp ring) 100, 固定在底座91週邊部分98的頂表面94上。底座91 屬主體92具有多個通道102,其從底座91的下表面 通向底座 91的頂表面 9 4,例如,用於容納接線柱ί 或者將氣體供應至陶瓷圓盤24的氣體導管38a、b中 底座 91具有包括入口 95和終端 97的冷卻劑 1 1 0,以循環該冷卻劑而使其通過通道11 0。如第4 B 所示,當冷卻通道110朝向自身而回繞成迴圈時時, L,而 矽酸 溫套 測器 玻璃 銅的 ,而 司製 劑底 並冷 有頂 ^ 96 承接 邊部 的週 其係 的金 1 04 8 a-d 〇 通道 圖中 則可 17 1373810The phosphorus-containing plug 76 converts heat in the form of infrared radiation into a light 1 photon system through the optical fiber bundle 80. The optical fiber bundle 80 may be composed of boron salt glass fibers. The sleeve 82 encloses the bundle of optical fibers 80, while the spacers 84 partially surround the sleeve 82, which is used to thermally insulate both the sense of temperature from the base supporting the ceramic disc. The sleeve 82 can be a tube to provide better thermal insulation from the surrounding construction, but can also be made of, for example, metal. The isolator 84 can be composed of PEEK (polyetheretherketone) and can also be constructed of Teflon® (polytetrafluoroethylene) manufactured by Dupont de Nemours, Delaware. The substrate support member 90 including the electrostatic chuck 20 is fixed to a cooling seat 91 for supporting and fixing the suction holder 20 but the suction holder 20 (Figs. 4A and 4B). The base 91 includes a metal body 92 having a surface 94 having a suction receiving portion and a peripheral portion 98. The suction receiving portion 96 of the top surface 94 is for the back side 28 of the ceramic disk 24 of the electrostatic chuck 20. The circumference 98 of the base 91 extends radially outward beyond the ceramic discs 24. The base 9 1 side portion 98 can be used to receive a clamp ring 100 that is secured to the top surface 94 of the peripheral portion 98 of the base 91. The base 91 is a main body 92 having a plurality of passages 102 that open from a lower surface of the base 91 to a top surface 94 of the base 91, for example, a gas conduit 38a for receiving a terminal or supplying gas to the ceramic disk 24, The base 91 in b has a coolant 110 including an inlet 95 and a terminal 97 to circulate the coolant through the passage 110. As shown in Fig. 4B, when the cooling passage 110 is wound back into a loop toward itself, L, and the tantalum acid temperature gauge is glass-copper, and the bottom of the preparation is cold and has a top. The gold 1 04 8 ad 〇 channel diagram of the system can be 17 1373810

將冷卻通道110的入口 95和终端97設置爲彼此相鄰。冷 卻劑可爲諸如水的流體或其他適合的熱傳流體,其在冷卻 器中維持在預設的溫度,並抽吸通過底座91的通道。具有 循環冷卻流體的底座91可作為熱交換器,以控制吸座20 的溫度,而使得基材25的處理表面44上達到預期的溫度。 可將流經通道1 1 0的流體進行加熱或冷卻以升高或降低吸 座20的溫度以及承接在吸座20上基材25的溫度。在一實 施例中,設計通道11 0的形狀和大小以允許流體從其中通 過以使底座91的溫度維持在約0到1 2 0 ° C。The inlet 95 and the terminal 97 of the cooling passage 110 are disposed adjacent to each other. The coolant can be a fluid such as water or other suitable heat transfer fluid that is maintained at a predetermined temperature in the chiller and is pumped through the passage of the base 91. A base 91 having a circulating cooling fluid can act as a heat exchanger to control the temperature of the suction cup 20 such that the treated surface 44 of the substrate 25 reaches a desired temperature. The fluid flowing through the channel 110 can be heated or cooled to raise or lower the temperature of the aspiration seat 20 and the temperature of the substrate 25 on the suction pad 20. In one embodiment, the channel 110 is shaped and sized to allow fluid to pass therethrough to maintain the temperature of the base 91 at about 0 to 120 °C.

底座91的頂表面94之吸座承接部分96包括一個或多 個凹槽 1 0 8 a、1 0 8 b,以使空氣保持於並流經陶瓷圓盤 2 4 的背面"在一實施例中,吸座承接部分9 6包括與位於陶瓷 圓盤 24背面 28上的多個臺面 30協同合作的週邊凹槽 108a >以控制來自陶瓷圓盤24的週邊部分54b的熱傳速 率。在另一實施例中,底座的吸座承接表面包括週邊凹槽, 以使空氣保留在陶瓷圓盤的背面臺面周圍。在另一實施例 中,利用中心凹槽1 0 8 b與週邊凹槽1 0 8 a之結合以調節來 自陶瓷圓盤24的中心部分5.4a的熱傳輪。 底座91的頂表面94中的凹槽108a、108b與位於陶瓷 圓盤24的背面28上的臺面30協同合作,以進一步調節基 材處理表面44上的溫度。在陶瓷圓盤24背面28上的臺面 30係以均勻或非均勻的圖案分佈在背面28。臺面30的形 狀、大小和間距控制臺面3 0與底座9 1的頂表面94之間的 總接觸表面量,從而控制交界面的總熱傳導面積。當臺面 18 1373810The suction receiving portion 96 of the top surface 94 of the base 91 includes one or more recesses 1 0 8 a, 1 0 8 b to hold air through and through the back surface of the ceramic disc 2 4 " The suction receiving portion 96 includes peripheral grooves 108a > cooperating with a plurality of mesas 30 located on the back surface 28 of the ceramic disk 24 to control the rate of heat transfer from the peripheral portion 54b of the ceramic disk 24. In another embodiment, the suction receiving surface of the base includes a peripheral groove to retain air around the back surface of the ceramic disk. In another embodiment, the combination of the central recess 1 0 8 b and the peripheral recess 10 8 a is utilized to adjust the heat transfer wheel from the central portion 5.4a of the ceramic disk 24. The grooves 108a, 108b in the top surface 94 of the base 91 cooperate with the land 30 on the back side 28 of the ceramic disk 24 to further adjust the temperature on the substrate processing surface 44. The mesas 30 on the back side 28 of the ceramic disk 24 are distributed over the back surface 28 in a uniform or non-uniform pattern. The shape, size and spacing of the mesas 30 are the total amount of contact surface between the console face 30 and the top surface 94 of the base 9 1 to control the total heat transfer area of the interface. When the countertop 18 1373810

30以均勻間隔圖案設置時,用於表示臺面30之間的距 之間隙3 2係實質保持相同,而當以非均勻間隔設置時, 表面2 8上的間隙3 2距離則不同。 可選擇地,如第3圖中所示,陶瓷圓盤24的背面 可具有與在底座中的冷卻劑通道110的入口 95相鄰的臺 30之第一陣列39,以及遠離通道110的入口 95或甚至 冷卻劑通道110的終端97相鄰的臺面30之第二陣列4 臺面30之第二陣列41具有形成不同於第一陣列39的圖 之不同間隙距離,從而調節與冷卻劑通道1 1 〇相鄰或遠 之周圍區域的熱傳速率。例如,位於靠近接收新鮮冷卻 的通道入口 95之部分冷卻劑通道110上方的陶瓷圓盤 通常維持的溫度係低於位於接近通道终端的部分冷卻劑 道110上方的陶瓷圓盤24溫度。這是因爲隨著冷卻劑通 底座中的通道,則藉由獲得來自陶瓷圓盤24的熱量而使 本身升溫。因此,針對放置於陶瓷圓盤24的承接表面 上的基材25,其在位於冷卻通道終端97上方的區域相 於位於入口 95上方的區域而具有較高溫度的溫度分佈。 由在通道1 1 0入口 9 5周圍提供以第一間隙距離隔開的臺 30之第一陣列39,並且在通道110終端97周圍提供以 同於第一間隙距離的第二間隙距離隔開的臺面 3 0的第 陣列4 1,而對於該溫度分佈進行補償。當第一距離大於 二距離時,來自直接位於第一陣列39上方的基材25部 之熱傳速率低於來自直接位於第二陣列41上方的基材 部分之熱傳速率。因此,來自第一基材區域之熱傳速率 離 在 28 面 與 [0 案 離 劑 24 通 過 其 26 對 藉 面 不 第 分 25 低 19 1373810 於來自第二基材區域的熱傳速率,此導致第一區域溫度變 得高於第二區域,從而補償並平衡在冷卻劑通道入口 95 和终端97的基材表面44上會産生的溫度分佈。在一實施 例中,臺面3 0的第一陣列3 9以至少約5 m m的第一距離 隔開,同時臺面3 0的第二陣列41以小於約3 mm的第二 距離隔開。The gaps 3 2 for indicating the distance between the mesas 30 remain substantially the same when arranged in a uniform interval pattern, and the gaps 32 2 on the surface 28 are different when arranged at non-uniform intervals. Alternatively, as shown in FIG. 3, the back side of the ceramic disk 24 may have a first array 39 of stages 30 adjacent the inlet 95 of the coolant passages 110 in the base, and an inlet 95 remote from the channel 110. Or even the second array 41 of the second array 4 mesas 30 of the adjacent mesas 30 of the terminal 97 of the coolant passage 110 has a different gap distance from the map forming the first array 39, thereby adjusting the coolant passage 1 1 〇 The rate of heat transfer in adjacent or far surrounding areas. For example, a ceramic disk located above a portion of the coolant passage 110 that receives the freshly cooled passage inlet 95 is typically maintained at a lower temperature than the ceramic disc 24 located above a portion of the coolant passage 110 near the end of the passage. This is because as the coolant passes through the passage in the base, it heats itself by obtaining heat from the ceramic disk 24. Therefore, for the substrate 25 placed on the receiving surface of the ceramic disk 24, it has a higher temperature temperature distribution in a region above the cooling channel terminal 97 than in the region above the inlet 95. A first array 39 of stages 30 spaced apart by a first gap distance is provided around the channel 1 1 0 inlet 9 5 and is provided around the terminal end 97 of the channel 110 at a second gap distance that is the same as the first gap distance. The array 4 1 of the mesas 30 is compensated for this temperature distribution. When the first distance is greater than two distances, the heat transfer rate from the portion of the substrate 25 directly above the first array 39 is lower than the rate of heat transfer from the portion of the substrate directly above the second array 41. Therefore, the heat transfer rate from the first substrate region is away from the 28-side and [0 spacer agent 24 through its 26 pairs of borrowing surfaces not lower than 25 lower 19 1373810 from the heat transfer rate from the second substrate region, which results in The temperature of the first zone becomes higher than the second zone, thereby compensating and balancing the temperature distribution that would occur on the substrate surface 44 of the coolant passage inlet 95 and the terminal 97. In one embodiment, the first arrays 39 of mesas 30 are separated by a first distance of at least about 5 m, while the second array 41 of mesas 30 is separated by a second distance of less than about 3 mm.

藉由相對於臺面30的第二陣列41的接觸區域的尺寸 而改變臺面30的第一陣列39的接觸區域尺寸,則可以獲 得相同的溫度分佈控制。例如,臺面3 0的第一陣列3 9的 接觸區域的第一尺寸可爲小於約2000微米,而臺面30的 第二陣列41的接觸區域可爲至少約3000微米。第一和第 二尺寸可爲包括一柱狀形狀的臺面30之直徑。在一實施例 中,第一尺寸爲1000微米的直徑,並且第二尺寸爲4000 微米的直徑。接觸面積愈小,基材處理表面44上的溫度愈 高。另外,在臺面30和背面28之間提供空氣,以作為另 一溫度調節器。By varying the size of the contact area of the first array 39 of mesas 30 relative to the size of the contact area of the second array 41 of mesas 30, the same temperature profile control can be obtained. For example, the first dimension of the contact area of the first array 39 of mesas 30 can be less than about 2000 microns, and the contact area of the second array 41 of mesas 30 can be at least about 3000 microns. The first and second dimensions may be the diameter of the mesas 30 comprising a cylindrical shape. In one embodiment, the first dimension is a diameter of 1000 microns and the second dimension is a diameter of 4000 microns. The smaller the contact area, the higher the temperature on the substrate treated surface 44. Additionally, air is provided between the table top 30 and the back side 28 to serve as another temperature regulator.

影響基材溫度迅速上升和降低之能力的另一因素爲陶 曼圓盤24和底座91之間熱交界面的性質。在交界面處較 佳係具有較好的導熱性,從而允許流經底座9 1的冷卻劑容 易地自陶瓷圓盤24移走熱量。另外,交界面較佳爲可緩衝 的(compliant),因爲在陶究圓盤24和冷卻劑底座91之 間的高溫度差會造成熱膨脹應力,其將導致破裂或產生其 他導致陶瓷圓盤24損壞的熱應力。在一實施例中,採用緩 衝層而將陶瓷圓盤24的背面與底座91的正面粘接。製造 20 1373810Another factor affecting the ability of the substrate to rapidly rise and fall is the nature of the thermal interface between the Tauman disk 24 and the base 91. The preferred system at the interface has better thermal conductivity, thereby allowing the coolant flowing through the base 9 to easily remove heat from the ceramic disk 24. In addition, the interface is preferably compliant because the high temperature difference between the ceramic disc 24 and the coolant base 91 causes thermal expansion stress which will cause cracking or other damage to the ceramic disc 24. Thermal stress. In one embodiment, the back side of the ceramic disk 24 is bonded to the front side of the base 91 by means of a buffer layer. Manufacturing 20 1373810

緩衝層以提供良好的導熱性,且同時仍具有充分緩 吸收高的熱應力。在一實施例中,在緩衝層中包括 鋁纖維的矽。該矽材料具有良好的緩衝力,且同時 度的導熱性。利用嵌入的鋁纖維而可提高矽材料 性。在另一實施例中,緩衝層包括嵌設有金屬絲網 酸。另外,丙烯酸聚合物係經選擇以適應熱應力, 入的金屬絲網改善結構的導熱性。 底座91還包括用於將電源傳導到靜電吸座20 36之電接頭組件 120。電接頭組件 120包括陶瓷 1 24。陶瓷絕缘套1 24可例如是氧化鋁。多個接線才 設在陶瓷絕緣套124内。接線枉58、58a-b提供電 電吸座20的電極36和加熱器線圈50、52。例如, 58可以包括銅柱。 亦可設置環組件1 7 0以減少在包括由底座9 1支 電吸座 20之基材支撐件 90的週邊區域上形成製 物,並且保護其不受侵蝕。環組件1 7 0包括固定環 其藉由諸如螺釘或螺栓(未示出)的固定裝置而固 座91的頂表面94的週邊部分98上。固定環100具 並徑向往内延伸的唇緣1 7 2、頂表面1 7 4和外側表d 唇緣172具有設置在陶瓷圓盤24的週邊突出部29 臺階31上的下表面173,以和陶瓷圓盤24、頂表 和外側表面1 7 6形成氣密封。在一個方案中,下表 包括聚合物層 179,例如包括聚醯亞胺,以形成良 密封。固定環1 00由可以抵抗電漿侵蝕的材料製成 衝力而 敌設有 具有適 的導熱 的丙烯 同時喪 的電極 絕緣套 L 58嵌 源至靜 接線柱 撐的靜 程沉積 100, 定到底 有橫向 1 176 > 之第一 面 174 面 173 好的氣 ,例如 21 1373810The buffer layer provides good thermal conductivity while still having a sufficiently high thermal stress absorption. In one embodiment, the crucible of aluminum fibers is included in the buffer layer. The tantalum material has good cushioning power and simultaneous thermal conductivity. The use of embedded aluminum fibers improves the material properties of the crucible. In another embodiment, the buffer layer comprises a metal screen acid embedded therein. In addition, the acrylic polymer is selected to accommodate thermal stresses, and the incoming wire mesh improves the thermal conductivity of the structure. The base 91 also includes an electrical connector assembly 120 for conducting power to the electrostatic chuck 2036. The electrical connector assembly 120 includes a ceramic 1 24. The ceramic insulating sleeve 1 24 can be, for example, alumina. A plurality of wires are provided in the ceramic insulating sleeve 124. The wiring ports 58, 58a-b provide the electrodes 36 and heater coils 50, 52 of the battery holder 20. For example, 58 can include a copper post. The ring assembly 170 can also be provided to reduce the formation of the article on the peripheral region including the substrate support 90 from the base 9 of the electrosump 20 and to protect it from erosion. The ring assembly 170 includes a retaining ring that is secured to the peripheral portion 98 of the top surface 94 of the seat 91 by a securing means such as a screw or bolt (not shown). The retaining ring 100 has a lip extending radially inwardly, and the top surface 174 and the outer side d lip 172 have a lower surface 173 disposed on the step 31 of the peripheral projection 29 of the ceramic disk 24 to The ceramic disc 24, the top and outer surfaces 176 form a hermetic seal. In one version, the following table includes polymer layer 179, including, for example, polyimine to form a good seal. The fixing ring 100 is made of a material that can resist the erosion of the plasma, and the electrode insulating sleeve L 58 with the suitable heat conduction of the propylene is embedded in the statically deposited pillar 100. 1 176 > the first side of the 174 face 173 good gas, such as 21 1373810

質流控制器)之導管 2 0 3,以使具有設定流速的氣 通過。導管203將氣體供應至混合歧管(未示出), 歧管中,氣體係混合以形成預期的製程氣體成分。 管則供應至氣體分配器1 6 2,而氣體分配器1 6 2在腔 中設置有氣體出口。氣體出口可穿過腔室側壁128 於基材支撐件20的週邊處,或者穿過頂壁130而终 材 2 5上方。使用過的製程氣體和副産物則藉由排 210而從腔室106排出,該排氣系統210包括一個 排氣口 211,其接收使用過的製程氣體,並將該氣 排氣導管中,其中該排氣導管中具有控制腔室106 的節流閥。排氣導管連接一個或多個排氣幫浦218 系統2 1 0還可包含流出物處理系統(未示出)以弱 的不期望存在之氣體。 利用氣體激發器208激發製程氣體以處理基材 氣體激發器208係耦合能量至腔室106的製程區域 位於腔室106上游的遠端區域(未示出)中的製程氣 “激發態製程氣體”意指製程氣體係經活化或激發 一種或多種解離的氣體物質'、非解離的氣體物質、 體物質和中性氣體物質。在一實施例中,氣體激發 包括天線186,該天線186包括一個或多個感應線匿 其可以圍繞腔室1 0 6的中心而呈圓形對稱。一般地 1 86包括具有約1到約20匝的螺線管,該螺旋管具 伸通過製程腔室106的縱向垂直軸符合的中心軸。 線管的適合配置以提供強的感應通量連接並與耦合 體從中 而在該 混合歧 室106 而终止 止於基 氣系統 或多個 體通入 中氣壓 。排氣 減排出 25,該 中,或 體,。 而形成 離子氣 器 208 I 188, ,天線 有與延 選擇螺 至製程 23 1373810 氣體。當天線186設置於相鄰腔室106的頂壁130處時, 頂壁130的相鄰部分可由諸如二氧化矽的介電材料製成, 該介電材料可使射頻(RF)場或電磁場穿透。天線186由 天線電流源(未示出)提供功率,並且藉過RF匹配網絡 1 92調整所施加的功率。例如,天線電流源在約50 KHz到 約60 MHz的頻率下提供RF功率至天線186,或者更典型 的在約1 3.56 MHz ;並且功率層級約爲100到約5000瓦。The conduit of the mass flow controller) is 2 0 3 to pass the gas having the set flow rate. The conduit 203 supplies gas to a mixing manifold (not shown) where the gas systems mix to form the desired process gas composition. The tube is supplied to a gas distributor 162, and the gas distributor 162 is provided with a gas outlet in the chamber. The gas outlet may pass through the chamber sidewall 128 at the periphery of the substrate support 20 or through the top wall 130 above the final material 25. The used process gases and by-products are discharged from the chamber 106 by a row 210 that includes an exhaust port 211 that receives the used process gas and that is in the gas exhaust conduit, where There is a throttle valve in the exhaust conduit that controls the chamber 106. The exhaust conduit connection one or more exhaust pumps 218 system 210 may also include an effluent treatment system (not shown) to weakly undesirably present gases. The process gas is excited by gas igniter 208 to process process gas "excited process gas" in process gas region 208 coupling energy to chamber 106 in a remote region (not shown) upstream of chamber 106. By means of a process gas system that activates or excites one or more dissociated gaseous species', non-dissociated gaseous species, bulk matter and neutral gaseous species. In one embodiment, the gas excitation includes an antenna 186 that includes one or more sensing lines that are circularly symmetrical about the center of the chamber 106. Typically, 1 86 includes a solenoid having from about 1 to about 20 turns extending through a central axis along which the longitudinal vertical axis of the process chamber 106 conforms. The conduit is suitably configured to provide a strong inductive flux connection and terminate with the coupling body from the mixing chamber 106 to terminate the air pressure in the base system or the plurality of bodies. Exhaust emissions are reduced by 25, the medium, or the body. The ionizer 208 I 188 is formed, and the antenna has a selection screw to process 23 1373810 gas. When the antenna 186 is disposed at the top wall 130 of the adjacent chamber 106, an adjacent portion of the top wall 130 may be made of a dielectric material such as cerium oxide, which may cause radio frequency (RF) fields or electromagnetic fields to pass through. through. Antenna 186 is powered by an antenna current source (not shown) and the applied power is adjusted by RF matching network 126. For example, the antenna current source provides RF power to the antenna 186 at a frequency of from about 50 KHz to about 60 MHz, or more typically at about 1 3.56 MHz; and the power level is about 100 to about 5000 watts.

當天線186用於腔室106中時,壁118包括由諸如氧 化鋁或二氧化矽的感應場可透過材料製成的頂壁 1 3 0,以 允許來自天線186的感應能量穿透壁118或頂壁130。適 合的半導體材料爲摻雜的(doped)矽。針對摻雜的矽半導 體頂壁,頂壁1 3 0的溫度係較佳保持在一範圍内,而在該 溫度範圍内,該材料具有半導體特性,其中,載子電子的 濃度相對於溫度係完全地維持恒定。針對摻雜的矽,溫度 範圍可從約1 0 0 K (在此溫度以下,矽開始具有介電性質) 到約600K (在此溫度以上,矽開始具有金屬導體性質)。When antenna 186 is used in chamber 106, wall 118 includes a top wall 130 that is made of an inductive field permeable material such as alumina or ceria to allow inductive energy from antenna 186 to penetrate wall 118 or Top wall 130. Suitable semiconductor materials are doped ruthenium. For the top surface of the doped germanium semiconductor, the temperature of the top wall 130 is preferably maintained within a range in which the material has semiconductor characteristics, wherein the concentration of the carrier electrons is completely relative to the temperature system. The ground is kept constant. For doped germanium, the temperature range can be from about 1000 K (below this temperature, the germanium begins to have dielectric properties) to about 600 K (above this temperature, germanium begins to have metallic conductor properties).

在一實施例中,氣體激發器2 0 8亦可為一對電極(未 示出),其係電容性耦合以向製程氣體提供電聚起始能,或 將動能傳給激發態氣體物質。一般地,一個電極位於基材 25下方的支撐件90中,而另一電極爲壁,例如腔室106 的側壁1 2 8或頂壁1 3 0。例如,電極可以爲由半導體製成 的頂壁130,其係充分導電以對其偏壓或接地從而在腔室 106中形成電場,同時對由位於頂壁130上方的天線186 發射的RF感應場提供低阻抗。適合的半導體包括在室溫 24 1373810In one embodiment, the gas energizer 2 0 8 can also be a pair of electrodes (not shown) that are capacitively coupled to provide electropolymerization initiation energy to the process gas or to transfer kinetic energy to the excited state gaseous species. Typically, one electrode is located in the support 90 below the substrate 25 and the other electrode is a wall, such as the sidewall 1 28 or the top wall 130 of the chamber 106. For example, the electrode can be a top wall 130 made of a semiconductor that is sufficiently electrically conductive to bias or ground it to form an electric field in the chamber 106 while simultaneously emitting an RF induced field from the antenna 186 located above the top wall 130. Provide low impedance. Suitable semiconductors are included at room temperature 24 1373810

300可包括電腦,該電腦包括:(1)中央處理單元( 例如來自INTEL公司的傳統的微處理器,其與記 接,該記憶體包括:可移動的儲存媒體(例如CD 機),和不可移動之儲存媒體,諸如硬碟機、ROM和 (Π)針對特定任務進行設計和預程式設計的專用 路(ASIC),諸如從腔室106取得資料和其他資訊 操作特定的腔室部件;以及(iii )用於特定的信號 務的介面板,包括:類比和數位輸入和輸出板,通 板和電動控制器板。例如,控制器介面板可用於處 製程監視器的信號,並將資料信號提供給 CPU 。 具有輔助電路,其包括例如:輔助處理器、時鐘電 速緩衝貯存區、電源和其他已知與 CPU連接的元 執行製程期間,RAM可用於存儲執行本發明的軟體 明的代碼指令集(instruction set)通常儲存在儲 中,當其由CPU執行時,在用於暫存的RAM中調 代碼指令集。操作者和控制器3 00之間的使用者介 如爲顯示器和諸如鍵盤或光筆的資料輸入設備。爲 特定的螢幕或功能,操作者利用資料輸入設備輸入 並能在顯示器上檢視該選擇。 可以將控制器 300所接收和求值(e v a 1 u a t e ) 信號發送給工廠自動化主機,工廠自動化主機包括 程式,主軟體程式計算來自不同系統、平臺或腔室 及多批基材25或者在延長的時間周期内的資料,以 下之統計的製程控制參數,(i )在基材上執行的製系 CPU ), 憶體連 或軟螺 RAM ; 積體電 ,或者 處理任 訊介面 理來自 電腦還 路、快 件。在 。本發 存媒體 用所述 士可例 了選擇 選擇, 的資料 主軟體 106以 確定如 έ ; ( ii) 26 1373810300 can include a computer comprising: (1) a central processing unit (eg, a conventional microprocessor from INTEL, which is coupled to a memory, including: a removable storage medium (eg, a CD player), and Mobile storage media, such as hard disk drives, ROMs, and dedicated circuits (ASICs) designed and pre-programmed for specific tasks, such as taking data and other information from the chamber 106 to operate specific chamber components; Iii) interface panels for specific signaling services, including: analog and digital input and output boards, boards and motor controller boards. For example, the controller interface panel can be used to signal the process monitor and provide data signals. To the CPU. With auxiliary circuitry including, for example, an auxiliary processor, a clock buffer, a power supply, and other meta-execution processes known to be connected to the CPU, the RAM can be used to store a software instruction set that executes the software of the present invention. The (instruction set) is usually stored in the store, and when it is executed by the CPU, the code instruction set is adjusted in the RAM for temporary storage. Between the operator and the controller 300 The user interface is for a display and a data input device such as a keyboard or a light pen. For a particular screen or function, the operator inputs the data input device and can view the selection on the display. The controller 300 can receive and evaluate the value. The (eva 1 uate ) signal is sent to the factory automation host. The factory automation host includes the program. The main software program calculates data from different systems, platforms or chambers and multiple batches of substrates 25 or over an extended period of time. Process control parameters, (i) system CPU executed on the substrate), memory connection or soft screw RAM; integrated body power, or processing interface information from the computer return, express. In. The present storage medium can be selected by selecting the data of the main software 106 to determine such as έ; (ii) 26 1373810

在單一基材上隨統計關係變化的特性;或(iii) 材上隨統計關係變化的特性。主軟體程式還可將 於正在進行的原位製程估計或用於控制其他製程 合的主軟體程式包括可從上述的應用材料公司 Materials )購買的 WORKSTREAM™ 軟體程式。 化主機還可用於提供指令信號以(i )例如,如果 性爲不合格的或者不在統計確定的值範圍内,或 數偏離了可接受的範圍,則將特定的基材25自蝕 序中移去;(ii)終止在特定的腔室106中進行的 者(iii)在確定不適合的基材 25特性或製程參 整製程條件。工廠自動化主機還可相應於主軟體 計的資料而在基材 2 5蝕刻製程的開始或結束時 信號。 在一實施例中,控制器3 00包括電腦可讀取 式,並且可儲存在記憶體中,例如在不可移動的 上或在可移動的儲存媒體上。該電腦程式一般包 控制軟體,該教體包括用以操作腔室1 06及其部 碼;製程監控軟體,用以監視腔室106中正在執个 安全系統軟體;和其他控制軟體。電腦程式可以 組合語言(assembly language) 'C + + 'Pascal i 的傳統程式語言編寫。利用傳統的文字編輯器而 程式碼輸入至單個文件或多個文件中,並儲存在 在電腦可使用的記憶體媒體上。如果輸入的程式 高階語言,則對程式碼進行編譯,接著所得的編 在單批基 資料利用 參數。適 (Applied 工廠自動 基材的特 者製程參 刻製程順 钱刻,或 數時,調 程式所估 提供指令 的電腦程 儲存媒體 括:製程 件之程式 -的製程; 任何例如 ^ Fortran 將適合的 或者包含 碼文字爲 譯程式碼 27 1373810 與預編譯的庫常式(丨ibrary routine)之目標代瑪連接。爲 了執行所連接的、编譯的目標代碼,使用者調用目標代碼, 使CPU讀取並執行該代碼以執行程式中識別的任務。The property that varies with statistical relationships on a single substrate; or (iii) the property of the material as a function of statistical relationship. The main software program can also be used for ongoing in-situ process estimation or main software programs for controlling other processes, including the WORKSTREAMTM software program available from Applied Materials, Inc. above. The host can also be used to provide command signals to (i) shift the particular substrate 25 from the sequence, for example, if the property is unacceptable or not within a statistically determined range of values, or if the number deviates from an acceptable range. (ii) Terminating in a particular chamber 106 (iii) determining the unsuitable substrate 25 characteristics or process conditioning process conditions. The factory automation host can also signal at the beginning or end of the substrate etch process corresponding to the data from the main software. In one embodiment, controller 300 includes computer readable and can be stored in memory, such as on a non-removable or removable storage medium. The computer program generally includes a control software that includes a device for operating the chamber 106 and its part code; a process monitoring software for monitoring the software system in the chamber 106; and other control software. The computer program can be written in the traditional programming language of the assembly language 'C + + 'Pascal i. The code is entered into a single file or multiple files using a conventional text editor and stored on a memory medium that can be used on a computer. If the program is entered in a higher-order language, the code is compiled, and the resulting code is used in a single batch of base data. Appliced (applied factory automatic substrate special process engraving process engraved money, or a few times, the program is estimated to provide instructions for the computer program storage media: the process of the program - the process; any such as ^ Fortran will be suitable Or include the code text as the translation code 27 1373810 and the target daima of the pre-compiled library routine. In order to execute the connected, compiled object code, the user calls the object code to make the CPU read And execute the code to execute the tasks identified in the program.

例如,在使用資料輸入設備的操作中,使用者根據顯 示器上由製程選擇器所産生的選單或螢幕,而將製程設定 和腔室標號輸入電腦程式中。電腦程式包括用於控制基材 位置、氣流、氣壓、溫度、RF功率層級和特定製程的其他 參數之指令集,以及用於監控製程腔室的指令集。製程設 定爲執行特定製程所必須的預設製程參數組。製程參數爲 製程條件,包括但不限於氣體成分、氣流速率、溫度、壓 力和氣體激發器設定(諸如RF或微波功率層級)。當在平 臺上有一套互聯的腔室時,腔室標號則可表示特定腔室的 身份。For example, in an operation using a data input device, a user inputs a process setting and a chamber number into a computer program based on a menu or screen generated by a process selector on the display. The computer program includes an instruction set for controlling substrate position, airflow, air pressure, temperature, RF power level, and other parameters of a particular process, as well as a set of instructions for monitoring the process chamber. The process is set to the set of preset process parameters necessary to perform a specific process. Process parameters are process conditions including, but not limited to, gas composition, gas flow rate, temperature, pressure, and gas trigger settings (such as RF or microwave power levels). When there is a set of interconnected chambers on the platform, the chamber number indicates the identity of the particular chamber.

製程定序器(sequencer)包括從電腦程式或製程選擇 器接收腔室標號和製程參數組,並且用以控制其操作的指 令集。製程定序器藉由將特定的製程參數傳給在腔室106 中控制多個任務的腔室管理器而開始執行製程設定。腔室 管理器可包括指令集,例如:基材定位指令集、氣流控制 指令集、氣壓控制指令集、溫度控制指令集、氣體激發器 控制指令集和製程監控指令集。雖然每個指令集描述爲用 於執行一組任務的獨立指令集,但各指令集可互相整合或 者可爲交疊的;因此,本文所述的腔室控制器300和電腦 可讀取程式不應當限於本文所述的功能常式的具體方案。 基材定位指令集包括用於控制腔室部件的程式碼,而 28 1373810 該腔室部件係用以將基材25放置於基材支撐件90上,並 且可選擇地,在腔室中將基材 25升降至預期的高度。例 如,基材定位指令集可包括:用於操作傳輸機械手臂(未 示出)的程式碼,而該機械手臂將基材傳輸至腔室中;用 於控制升降銷(未示出)的程式碼,該升降銷延伸穿過靜 電吸座中的孔;以及用於協調機械手臂移動與升降銷移動 的程式碼。The sequencer includes a set of instructions for receiving chamber labels and process parameter sets from a computer program or process selector and for controlling its operation. The process sequencer begins execution of the process settings by passing specific process parameters to the chamber manager that controls the plurality of tasks in the chamber 106. The chamber manager can include a set of instructions such as a substrate positioning instruction set, an airflow control instruction set, a pneumatic control instruction set, a temperature control instruction set, a gas trigger control instruction set, and a process monitoring instruction set. Although each instruction set is described as a separate instruction set for performing a set of tasks, each instruction set may be integrated with each other or may be overlapping; therefore, the chamber controller 300 and the computer readable program described herein are not It should be limited to the specific scheme of the functional routines described herein. The substrate positioning instruction set includes code for controlling the chamber components, and 28 1373810 is for placing the substrate 25 on the substrate support 90 and, optionally, the base in the chamber The material 25 is raised and lowered to the desired height. For example, the substrate positioning instruction set can include: a code for operating a transfer robot (not shown) that transfers the substrate into the chamber; a program for controlling the lift pins (not shown) a code that extends through a hole in the electrostatic chuck; and a code for coordinating movement of the robot arm and movement of the lift pin.

程式碼還包括溫度控制指令集,其係例如藉由將不同 的功率層級獨立地施加在吸座20的陶瓷圓盤24中的第一 和第二加熱器線圈 50、52,而設定和控制維持在基材25 的不同區域之溫度。溫度控制指令集亦調節通過氣體導管 38a、38b的熱傳氣流。The code further includes a temperature control command set that is set and controlled for maintenance by, for example, applying different power levels independently to the first and second heater coils 50, 52 in the ceramic disk 24 of the suction cup 20. The temperature in different regions of the substrate 25. The temperature control command set also regulates the heat transfer flow through the gas conduits 38a, 38b.

溫度控制指令集亦包括控制通過底座9 1的冷卻劑通 道1 1 0的冷卻劑流體之溫度和流速的程式碼。在一實施例 中,溫度控制指令集包括一程式碼,其係用以在施加至加 熱器的功率層級上升之前,在至少約一秒鐘内,立即使冷 卻器中的冷卻劑溫度從開始的較低值升高至較高值。這使 較高溫度的冷卻劑在加熱器升溫前,可在底座9 1的冷卻劑 通道中循環,以當加熱器最終升溫時減少從陶瓷圓盤 24 流入底座 91的熱量,從而有效地提高基材溫度之上升速 率。相反地,程式碼包括降低冷卻劑溫度的指令集,例如 至少將冷卻劑降低 1 0 ° C並且在施加給加熱器的功率層級 下降之前,使冷卻器降低至較低值,以當基材溫度下降時 加快從基材傳送熱量的速率。第7圖中之溫度與時間的曲 29 1373810The temperature control command set also includes code for controlling the temperature and flow rate of the coolant fluid passing through the coolant passage 110 of the base 9 1 . In one embodiment, the temperature control command set includes a code for immediately starting the coolant temperature in the cooler for at least about one second before the power level applied to the heater rises. The lower value rises to a higher value. This allows the higher temperature coolant to circulate in the coolant passage of the base 9 1 before the heater heats up to reduce the amount of heat flowing from the ceramic disk 24 into the base 91 when the heater eventually heats up, thereby effectively increasing the base. The rate at which the temperature of the material rises. Conversely, the code includes a set of instructions to reduce the temperature of the coolant, such as at least reducing the coolant by 10 ° C and lowering the cooler to a lower value before the power level applied to the heater drops to the substrate temperature. Speed up the transfer of heat from the substrate as it descends. The temperature and time of the song in Figure 7 29 1373810

可包括,例如用於在蝕刻期間控制基材2 5溫度的卷 或者用於控制腔室106壁的溫度之程式碼(諸如控 的溫度)。氣體激發器控制指令集包括例如用於設定 電極或天線186的RF功率層級的程式碼。 雖然描述爲獨立的指令集係用於執行一組任務 應當理解各指令集可相互整合,或者一組程式碼的 與另一組程式碼的任務相互整合以執行所需的任務 此,本文所述的控制器300和電腦程式不應限於本 的功能常式之特定實施例;並且執行等同的功能組 任意常式組或合併的程式碼亦包括在本發明的範圍 外,雖然控制器參照腔室1 06的實施例進行描述然 也可用於本文所述的任意腔室。 本發明的設備和製程藉由允許在基材和腔室上 的不同製程步驟之間,基材溫度可非常快速改變而 顯的優勢。該快速的溫度改變使得具有多個步驟的 程之可進行的速度提高。本發明的系統還能夠準確 定製程所需的溫度上升和下降之形式,該製程諸如 個蝕刻階段的蝕刻製程,其係為在基材上蝕刻不同 或層之所需。另一優點在於本發明的設備允許基材 保持在明顯高於冷卻劑底座的溫度下,而其可允許 期間,在沒有任何基材溫度漂移的情況下,將較高 功率施加在基材上。基材和冷卻劑底座之間大的溫 許基材内部區和外部區之間存在良好的溫差,從而 材表面上變化的環形製程條件。 式碼, 制頂壁 施加在 ,但是 任務可 組。因 文所述 的其他 内。另 而,其 所執行 具有明 蝕刻製 再現特 具有多 的材料 的溫度 於製程 的電漿 差亦允 補償基 31 1373810 雖然本發明參照其較佳實施例而詳細描述之,但其他 實施例也是可行的。例如,不限於本文所述,諸如基材支 撐件、冷卻劑底座和溫度感測器的設備部件可用於其他腔 室和其他製程。因此,所附的申請專利範圍不應限於本文 所包含的較佳實施例的描述。 【圖式簡單說明】These may include, for example, a roll for controlling the temperature of the substrate 25 during etching or a code for controlling the temperature of the wall of the chamber 106 (such as a controlled temperature). The gas trigger control command set includes, for example, a code for setting the RF power level of the electrode or antenna 186. Although described as a separate instruction set for performing a set of tasks, it should be understood that each instruction set can be integrated with each other, or that one set of code is integrated with another set of coded tasks to perform the required tasks, as described herein. The controller 300 and the computer program should not be limited to the specific embodiment of the functional routine; and the execution of the equivalent functional group arbitrary routine or combined code is also included in the scope of the present invention, although the controller refers to the chamber. The embodiment of 106 is described as yet applicable to any of the chambers described herein. The apparatus and process of the present invention have the advantage of allowing the substrate temperature to change very rapidly between different processing steps on the substrate and chamber. This rapid temperature change allows for an increase in the speed at which a plurality of steps can be performed. The system of the present invention is also capable of accurately tailoring the form of temperature rise and fall required for the process, such as an etch process in an etch phase, which is required to etch different layers or layers on the substrate. Another advantage is that the apparatus of the present invention allows the substrate to be maintained at a temperature significantly above the coolant base, while allowing for higher power to be applied to the substrate without any substrate temperature drift. The large temperature between the substrate and the coolant base has a good temperature difference between the inner and outer regions of the substrate, resulting in varying annular process conditions on the surface of the material. The code, the top wall is applied, but the task can be grouped. Others as described in the text. In addition, the temperature difference between the temperature and the process of the material which is performed by the bright etching process is also allowed to compensate the base 31 1373810. Although the present invention is described in detail with reference to preferred embodiments thereof, other embodiments are also feasible. of. For example, not limited to the description herein, device components such as substrate supports, coolant bases, and temperature sensors can be used in other chambers and other processes. Therefore, the scope of the appended claims should not be limited to the description of the preferred embodiments included herein. [Simple description of the map]

藉由上方的說明、所附申請專利範圍以及用於顯示本 發明實施例的附圖,則可以使本發明的所述特徵、實施方 案和優點更加容易瞭解。但是,應瞭解在本發明中所採用 的各個特徵,不應僅限於特定圖式,並且本發明包括這些 特徵的任意組合,其中: 第1圖爲具有靜電吸座的基.材腔室之實施例的概要側視 圖; 第2圖爲靜電吸座的實施例的概要截面側視圖; 第3圖爲第1圖的靜電吸座的概要底視圖;The features, embodiments, and advantages of the present invention will be more readily understood from the description of the appended claims. However, it should be understood that the various features employed in the present invention are not limited to the specific drawings, and the present invention includes any combination of these features, wherein: Figure 1 is an implementation of a substrate chamber having an electrostatic chuck. 2 is a schematic cross-sectional side view of an embodiment of an electrostatic chuck; FIG. 3 is a schematic bottom view of the electrostatic chuck of FIG. 1;

第4A圖和第4B圖爲用於靜電吸座的底座之一實施例的概 要上視透視圖(第4A圖)和底視透視圖(第4B圖); 第5圖爲光學溫度感測器的概要側視圖; 第6A圖爲第4A圖和第4B圖中位於靜電吸座上的環組件 的概要截面側視圖, 第6B圖爲第6A圖的環組件的局部示意圖; 第7圖爲描述利用處於一恒定溫度的冷卻器,基材溫度在 一時間間隔内變化的示意圖; 32 1373810 第8圖爲描述靜電吸座和冷卻器之間的溫度差與加熱器功 率百分比的關係圖;以及 第9圖爲描述靜電吸座的溫度變化之曲線圖。 【主要元件符號說明】4A and 4B are a schematic top perspective view (Fig. 4A) and a bottom perspective view (Fig. 4B) of one embodiment of a base for an electrostatic chuck; Fig. 5 is an optical temperature sensor Figure 6A is a schematic cross-sectional side view of the ring assembly on the electrostatic chuck in Figures 4A and 4B, and Figure 6B is a partial schematic view of the ring assembly of Figure 6A; Figure 7 is a depiction Schematic diagram of substrate temperature change over a time interval using a cooler at a constant temperature; 32 1373810 Figure 8 is a graph depicting the temperature difference between the electrostatic chuck and the cooler as a function of heater power percentage; Figure 9 is a graph depicting the temperature change of the electrostatic chuck. [Main component symbol description]

20 吸 座 24 圓 盤 25 基 材 26 表 面 28 背 面 29 突 出 部 30 臺 面 32 間 隙 3 1,33 臺 階 34 背 面 36 電 極 3 8 a,b 導 管 39,41 陣 列 40a,b 埠 42a,b 加 熱 區 44 表 面 46a,b 部 分 50,52 加 熱 器 線圈 54a,b 部 分 58 接 線 柱 5 8 a~d 接 線 柱 6 0 a, b 感 測 器 6 2 a, b 孔 64a,b 尖 端 66a,b 支 柱 68 探 針 70 銅 帽 72 側 壁 74 頂 部 76 插 塞 78 凹 槽 79 環 氧 樹 脂 80 光 學 纖維束 82 套 管 84 隔 溫 套 90 支 撐 件 91 底 座 92 主 體 94 頂 表 面 95 入 口 3320 Suction seat 24 Disc 25 Substrate 26 Surface 28 Back 29 Projection 30 Countertop 32 Gap 3 1,33 Step 34 Back 36 Electrode 3 8 a,b Conduit 39,41 Array 40a,b 埠42a,b Heating zone 44 Surface 46a,b part 50,52 heater coil 54a,b part 58 terminal 5 8 a~d terminal 6 0 a, b sensor 6 2 a, b hole 64a, b tip 66a, b strut 68 probe 70 Copper cap 72 Side wall 74 Top 76 Plug 78 Groove 79 Epoxy 80 Optical fiber bundle 82 Sleeve 84 Isolation sleeve 90 Support 91 Base 92 Main body 94 Top surface 95 Entrance 33

Claims (1)

1373810 :發明專利說明書 » y (本說明書格式、順序及粗趙字,請勿任意更動,※記號部分請勿填寫) ※申請案號:95143406 ※申請日期:年11月23日 欲1 P C分類: 一、發明名稱:(中文獻)^1L^, ^7,^0 快速溫度梯度控制之基材處理 (2006.01> SUBSTRATE PROCESSING WITH RAPID TEMPERATURE GRADIENT CONTROL • 一、申請人··(共1人) 姓名或名稱:(中文/英文) 美商·應用材料股份有限公司 applied MATERIALS, INC. 代表人:(中文/英文) 鄺錦安 KWONG, RAYMOND K. 住居所或營業所地址:(中文/英文) 美國加州聖大克勞拉市波爾‘大道3050號 ® 3050 Bowers Avenue, Santa Clara, CA 95054, U.S.A. 國籍:(中文/英文) • 美國/USA / I 三、發明人:(共5人) 姓名:(中文/英文) 1. 瑪佑西金亞力山大/MATYOSHKIN,ALEXANDER 2. 卡茲丹/KATZ, DAN 3. 荷倫約翰/HOLLAND,JOHN 4. 索多洛斯帕納葛波洛斯/PANAGOPOULOS, THEODOROS 1373810 5.威爾沃夫麥可 D/WELLWERTH,MICHAEL D. 國籍:(中文/英文) 1. 以色列/ISRAEL 2. 美國/USA 3. 美國/USA 4. 希臘/GREECE 5. 美國/USA 四、聲明事項:1373810 : Invention patent specification » y (The format, order and bold characters of this manual, please do not change it at will, please do not fill in the ※ part number) ※Application number: 95143406 ※Application date: November 23, 2011 1 PC classification: I. Name of the invention: (Chinese literature) ^1L^, ^7,^0 Substrate treatment for rapid temperature gradient control (2006.01> SUBSTRATE PROCESSING WITH RAPID TEMPERATURE GRADIENT CONTROL • I. Applicant···(1 person in total) Or Name: (Chinese / English) American Business Application Materials Co., Ltd. applied MATERIALS, INC. Representative: (Chinese / English) 邝锦安 KWONG, RAYMOND K. Residence or business address: (Chinese / English) California, California 3050 Boer's Avenue, Greater Crawola® 3050 Bowers Avenue, Santa Clara, CA 95054, USA Nationality: (Chinese/English) • United States/USA / I III. Inventor: (Total 5) Name: (Chinese /English) 1. Ma You Xi Jin Ya Li Shan / MATYOSHKIN, ALEXANDER 2. Kazdan / KATZ, DAN 3. Holborn John / HOLLAND, JOHN 4. Sodolos Panagotos / P ANAGOPOULOS, THEODOROS 1373810 5. Wilhelm Michael D/WELLWERTH, MICHAEL D. Nationality: (Chinese/English) 1. Israel/ISRAEL 2. USA/USA 3. USA/USA 4. Greece/GREECE 5. USA/ USA IV. Declarations: □主張專利法第二十二條第二項□第一款或□第二款規定之事實,其 事實發生日期為:年月曰。 3申請前已向下列國家(地區)申請專利: 【格式請依:受理國家(地區)、申請日 '申請案號順序註記】 XI有主張專利法第二十七條第一項國際優先權: 美國;2006 年 7 月 20 日;60/832,545 ]無主張專利法第二十七條第一項國際優先權: □主張專利法第二十九條第一項國内優先權: 【格式請依:申請曰、申請案號順序註記】□ Proposal for the facts as stipulated in the second paragraph or the second paragraph of Article 22, Paragraph 2 of the Patent Law, the date of which is: Year Month. 3 Before applying, apply for patents from the following countries (regions): [Format please follow: order of application country (region), application date' application case number] XI has the first international priority of Article 27 of the Patent Law: United States; July 20, 2006; 60/832,545] No claim for patent law Article 27, first international priority: □ Patent law, Article 19, first domestic priority: [format please : Application 曰, application case number note] □主張專利法第三十條生物材料: 須寄存生物材料者: 國内生物材料【格式請依:寄存機構、日期、號碼順序註記】 國外生物材料【格式請依:寄存國家、機構、曰期、號碼順序註記】 G不須寄存生物材料者: 所屬技術領域中具有通常知識者易於獲得時,不須寄存 1373810 5.威爾沃夫麥可 D/WELLWERTH,MICHAEL D. 國籍:(中文/英文) 1. 以色列/ISRAEL 2. 美國/USA 3. 美國/USA 4. 希臘/GREECE 5. 美國/USA 四、聲明事項:□ Proposal for patent law Article 30 Biological materials: Those who need to deposit biological materials: Domestic biological materials [format please note: order of depository, date, number order] Foreign biological materials [format please: host country, institution, 曰Period, number order note] G does not need to deposit biomaterials: When there is general knowledge in the technical field, it is not easy to obtain 1373810 5. Wilhelm Michael D/WELLWERTH, MICHAEL D. Nationality: (Chinese / English) 1. Israel/ISRAEL 2. USA/USA 3. USA/USA 4. Greece/GREECE 5. USA/USA IV. Declaration: □主張專利法第二十二條第二項□第一款或□第二款規定之事實,其 事實發生日期為:年月曰。 3申請前已向下列國家(地區)申請專利: 【格式請依:受理國家(地區)、申請日 '申請案號順序註記】 XI有主張專利法第二十七條第一項國際優先權: 美國;2006 年 7 月 20 日;60/832,545 ]無主張專利法第二十七條第一項國際優先權: □主張專利法第二十九條第一項國内優先權: 【格式請依:申請曰、申請案號順序註記】□ Proposal for the facts as stipulated in the second paragraph or the second paragraph of Article 22, Paragraph 2 of the Patent Law, the date of which is: Year Month. 3 Before applying, apply for patents from the following countries (regions): [Format please follow: order of application country (region), application date' application case number] XI has the first international priority of Article 27 of the Patent Law: United States; July 20, 2006; 60/832,545] No claim for patent law Article 27, first international priority: □ Patent law, Article 19, first domestic priority: [format please : Application 曰, application case number note] □主張專利法第三十條生物材料: 須寄存生物材料者: 國内生物材料【格式請依:寄存機構、日期、號碼順序註記】 國外生物材料【格式請依:寄存國家、機構、曰期、號碼順序註記】 G不須寄存生物材料者: 所屬技術領域中具有通常知識者易於獲得時,不須寄存□ Proposal for patent law Article 30 Biological materials: Those who need to deposit biological materials: Domestic biological materials [format please note: order of depository, date, number order] Foreign biological materials [format please: host country, institution, 曰Period, number order note] G does not need to deposit biomaterials: When there is general knowledge in the technical field, it is easy to obtain, no need to register
TW095143406A 2006-07-20 2006-11-23 Substrate processing with rapid temperature gradient control TWI373810B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US83254506P 2006-07-20 2006-07-20

Publications (2)

Publication Number Publication Date
TW200807560A TW200807560A (en) 2008-02-01
TWI373810B true TWI373810B (en) 2012-10-01

Family

ID=39042371

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095143406A TWI373810B (en) 2006-07-20 2006-11-23 Substrate processing with rapid temperature gradient control

Country Status (4)

Country Link
JP (1) JP5183058B2 (en)
KR (1) KR101532906B1 (en)
CN (1) CN101110381B (en)
TW (1) TWI373810B (en)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5049891B2 (en) * 2008-06-13 2012-10-17 新光電気工業株式会社 Substrate temperature adjustment fixing device
US8807075B2 (en) * 2008-09-22 2014-08-19 Applied Materials, Inc. Shutter disk having a tuned coefficient of thermal expansion
WO2010090948A1 (en) * 2009-02-04 2010-08-12 Mattson Technology, Inc. Electrostatic chuck system and process for radially tuning the temperature profile across the surface of a substrate
US8404572B2 (en) * 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
JP5423632B2 (en) * 2010-01-29 2014-02-19 住友大阪セメント株式会社 Electrostatic chuck device
CN101866826B (en) * 2010-04-29 2012-04-11 中微半导体设备(上海)有限公司 Fluid conveying device for vacuum processing system
CN101899650A (en) * 2010-04-30 2010-12-01 苏州索乐机电设备有限公司 Substrate heating furnace of MOCVD
JP6122856B2 (en) * 2011-10-06 2017-04-26 エーエスエムエル ネザーランズ ビー.ブイ. Chuck, lithographic apparatus and method of using the chuck
KR102226887B1 (en) * 2012-02-29 2021-03-12 오아시스 머티리얼 코포레이션 Transient liquid phase, pressureless joining of aluminum nitride components
US20130276980A1 (en) * 2012-04-23 2013-10-24 Dmitry Lubomirsky Esc with cooling base
US10537013B2 (en) 2012-04-23 2020-01-14 Applied Materials, Inc. Distributed electro-static chuck cooling
US20140116622A1 (en) * 2012-10-31 2014-05-01 Semes Co. Ltd. Electrostatic chuck and substrate processing apparatus
CA2843276A1 (en) 2013-02-20 2014-08-20 Hartford Steam Boiler Inspection And Insurance Company Dynamic outlier bias reduction system and method
KR200488076Y1 (en) * 2013-03-14 2018-12-12 어플라이드 머티어리얼스, 인코포레이티드 Substrate support pedestal with heater
JP5633766B2 (en) * 2013-03-29 2014-12-03 Toto株式会社 Electrostatic chuck
CN103388134B (en) * 2013-07-22 2016-05-18 北京工业大学 Capacitively coupled plasma strengthens the method that even thickness film is prepared in chemical vapour deposition (CVD)
US9196514B2 (en) * 2013-09-06 2015-11-24 Applied Materials, Inc. Electrostatic chuck with variable pixilated heating
US10391526B2 (en) * 2013-12-12 2019-08-27 Lam Research Corporation Electrostatic chuck cleaning fixture
US9779971B2 (en) * 2014-04-11 2017-10-03 Applied Materials, Inc. Methods and apparatus for rapidly cooling a substrate
CN105489527B (en) * 2014-09-19 2018-11-06 北京北方华创微电子装备有限公司 Bogey and semiconductor processing equipment
CN105552014B (en) * 2014-10-28 2018-09-18 北京北方华创微电子装备有限公司 A kind of support device and plasma etching equipment
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10832936B2 (en) * 2016-07-27 2020-11-10 Lam Research Corporation Substrate support with increasing areal density and corresponding method of fabricating
JP2018046185A (en) 2016-09-15 2018-03-22 東京エレクトロン株式会社 Method for etching silicon oxide and silicon nitride mutually and selectively
US10910195B2 (en) * 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
JP6820206B2 (en) * 2017-01-24 2021-01-27 東京エレクトロン株式会社 How to process the work piece
US10741425B2 (en) * 2017-02-22 2020-08-11 Lam Research Corporation Helium plug design to reduce arcing
US10147610B1 (en) * 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
KR102408720B1 (en) 2017-06-07 2022-06-14 삼성전자주식회사 Semiconductor process chamber including upper dome
KR102435888B1 (en) * 2017-07-04 2022-08-25 삼성전자주식회사 Electro-static chuck, apparatus for processing substrate and manufacturing method of semiconductor device using the same
CN110275556B (en) * 2018-03-14 2022-04-22 北京北方华创微电子装备有限公司 Temperature control method and system for electrostatic chuck and semiconductor processing equipment
CN108246896B (en) * 2018-03-21 2023-05-05 吉林大学 Gradient heating device for high-strength steel thermoforming and heating method thereof
CN108682635B (en) * 2018-05-03 2021-08-06 拓荆科技股份有限公司 Wafer seat with heating mechanism and reaction cavity comprising wafer seat
US11177144B2 (en) * 2018-06-04 2021-11-16 Applied Materials, Inc. Wafer spot heating with beam width modulation
CN108803702B (en) * 2018-06-26 2020-12-29 武汉华星光电技术有限公司 Temperature control system and method in array substrate manufacturing process
WO2020004091A1 (en) * 2018-06-29 2020-01-02 東京エレクトロン株式会社 Plasma processing device, plasma state detection method, and plasma state detection program
US11908715B2 (en) 2018-07-05 2024-02-20 Lam Research Corporation Dynamic temperature control of substrate support in substrate processing system
WO2020068546A2 (en) * 2018-09-24 2020-04-02 Lam Research Corporation Multiplexed high tcr based ampoule heaters
US11887878B2 (en) * 2019-06-28 2024-01-30 Applied Materials, Inc. Detachable biasable electrostatic chuck for high temperature applications
KR102424374B1 (en) * 2020-06-17 2022-07-22 조중래 Method and apparatus for fabricating semiconductor device
CN114200980B (en) * 2021-12-03 2022-10-18 北京温致科技有限公司 Output control method, system, aerosol control method and heating non-combustion device
CN115287762B (en) * 2022-10-08 2022-12-09 中电化合物半导体有限公司 Crystal crystallization interface control device and silicon carbide crystal growth method
CN116759346B (en) * 2023-08-16 2023-10-24 无锡尚积半导体科技有限公司 Quick temperature control slide holder, photoresist removing etching equipment and photoresist removing process

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4009006B2 (en) * 1998-04-15 2007-11-14 株式会社アルバック Hot plate
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6469283B1 (en) * 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
US6320736B1 (en) * 1999-05-17 2001-11-20 Applied Materials, Inc. Chuck having pressurized zones of heat transfer gas
JP2001118915A (en) * 1999-10-15 2001-04-27 Applied Materials Inc Multilayer ceramic electrostatic chuck having internal channel
JP3642746B2 (en) * 2001-06-21 2005-04-27 日本発条株式会社 Ceramic heater
JP2003077783A (en) * 2001-09-03 2003-03-14 Ibiden Co Ltd Ceramic heater for semiconductor manufacturing/ inspecting device and manufacturing method therefor
JP3881908B2 (en) * 2002-02-26 2007-02-14 株式会社日立ハイテクノロジーズ Plasma processing equipment
JP2004179364A (en) * 2002-11-27 2004-06-24 Kyocera Corp Electrostatic chuck
JP4674792B2 (en) * 2003-12-05 2011-04-20 東京エレクトロン株式会社 Electrostatic chuck
JP4413667B2 (en) * 2004-03-19 2010-02-10 日本特殊陶業株式会社 Electrostatic chuck
JP4540407B2 (en) * 2004-06-28 2010-09-08 京セラ株式会社 Electrostatic chuck
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate

Also Published As

Publication number Publication date
JP2008028354A (en) 2008-02-07
JP5183058B2 (en) 2013-04-17
CN101110381B (en) 2013-08-21
CN101110381A (en) 2008-01-23
TW200807560A (en) 2008-02-01
KR101532906B1 (en) 2015-07-01
KR20080008933A (en) 2008-01-24

Similar Documents

Publication Publication Date Title
TWI373810B (en) Substrate processing with rapid temperature gradient control
US10257887B2 (en) Substrate support assembly
CN109872939B (en) Support assembly and method of assembling a support assembly
US6440221B2 (en) Process chamber having improved temperature control
US8226769B2 (en) Substrate support with electrostatic chuck having dual temperature zones
KR102383357B1 (en) Mounting table and substrate processing apparatus
KR101265807B1 (en) Heat transfer system for improved semiconductor processing uniformity
KR101380879B1 (en) Substrate support with electrostatic chuck having dual temperature zones
KR200479295Y1 (en) A consumable isolation ring for movable substrate support assembly of a plasma processing chamber
CN110010439A (en) Plasma-etching apparatus and plasma-etching method
US20060291132A1 (en) Electrostatic chuck, wafer processing apparatus and plasma processing method
TW201018320A (en) In-chamber member temperature control method, in-chamber member, substrate mounting table and plasma processing apparatus including same
JP2011503877A (en) Workpiece support with fluid zone for temperature control
KR19980032909A (en) Parallel plate plasma reactor inductively connected to a conical dome
CN112136202B (en) Apparatus for suppressing parasitic plasma in a plasma enhanced chemical vapor deposition chamber
JPH10223621A (en) Vacuum treating apparatus
TWI791874B (en) Plasma etching method and plasma treatment device
JP7382329B2 (en) Process kit for substrate supports
TW200906208A (en) Substrate heating method and apparatus
TWI840341B (en) Process kit for a substrate support
CN105097630A (en) Carrying device and plasma etching apparatus
JPS60171728A (en) Dry etching unit