TWI313511B - Method of fabricating strained si soi wafers - Google Patents

Method of fabricating strained si soi wafers Download PDF

Info

Publication number
TWI313511B
TWI313511B TW093134665A TW93134665A TWI313511B TW I313511 B TWI313511 B TW I313511B TW 093134665 A TW093134665 A TW 093134665A TW 93134665 A TW93134665 A TW 93134665A TW I313511 B TWI313511 B TW I313511B
Authority
TW
Taiwan
Prior art keywords
semiconductor layer
crystalline semiconductor
layer
annealing
annealing step
Prior art date
Application number
TW093134665A
Other languages
English (en)
Other versions
TW200529422A (en
Inventor
Stephen W Bedell
Guy M Cohen
Huajie Chen
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Publication of TW200529422A publication Critical patent/TW200529422A/zh
Application granted granted Critical
Publication of TWI313511B publication Critical patent/TWI313511B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Recrystallisation Techniques (AREA)
  • Element Separation (AREA)

Description

1313511 九、發明說明: 【發明所屬之技術領域】 本务明係關於製造半導體基板的方法,尤其關於製造應變 半導體覆絕緣層(strained semiconduct〇r-on—insulat〇r,ss〇i) 基板。 【先前技術】 應變矽已被採用為一有希望的選擇,來改善以互補金氧半 導體為基礎之電晶體元件性能。一般而言,矽沉積於一鬆弛 (relaxed)矽鍺(SiGe)層上,以產生拉伸(tensile)應變的 一石夕層。應變石夕覆石夕鍺亦和矽覆絕緣體(silic〇讀_insulat〇r, SOI) —起使用,以結合兩種科技之優勢。有一些應用中,理 想方式係讓應變矽層直接覆在一絕緣層上方,而無一中間矽鍺 層,此可產生一超薄(ultra thin) SOI層,和目前SOI科技發 展趨勢一致。此外,中間矽鍺層導致製程中之額外困難度,例 如增強N型摻雜物擴散、石夕/秒鍺混合(mtemiixmg)、淺溝槽 隔離(shallow trench isolation ’ STI)製程困難度、同時對矽化 物(silicide )形成可能的負面效應。
4 旧 M/04130TW 1313511 直接於一絕緣層上製造應變矽的方法涉及使 /·*^· 7ψ/τ '^ε?' 矽鍺缓衝層、化學機械研磨(CMP)、應變矽成長、曰 日日 接*、 層轉移(layer transfer)、以及矽鍺回蝕(etch back)。習次口方 法本質上有困難,因為晶圓接合需要非常平滑的表面,而漸: 矽鍺膜有-非f粗齡®,使得補财物使肖在大部分晶 圓接合製程中。習知技術方法使用化學機械研磨,以使表 平滑,但化學機械研磨減少基板之可製造性 (manufacturability),特別是3〇〇毫米的晶圓。 另-種直接於-絕緣層上形成應變石夕的方法涉及直接於 SOI晶圓上成長-厚、鬆财鍺層,具有―厚度大約· 奈米或更厚。此鬆弛雜層之最上面區域會被設計成可能有最 低穿缺陷隸(thfeading defeet density ) ( #由步漸變 (step-gmdmg)鍺含量或其他習知技術方法,以減少差排 (disl〇cation)密度))。接著,最上面、鬆弛、高品質石夕錯層底 下的材料會藉以-劑量與能量之離子佈植予以非晶化 (a—rplnzed) ’以減少或消滅在埋藏氧化層上、最上面鬆弛 魏層下之區耻㈣度(eiys罐nity)。其後的再結晶退火 (reCiyStallizati〇nannealmg)會被執行,以從最上層往下來固 相(solid-phase)磊晶地再成長晶格之非晶化區域。
4IBM/04130TW 1313511 因為最上層為鬆他、高品質補,在此層以下再結晶會往 下傳播此晶格結構。因此,最下層,自s〇I基板之原始石夕層, s 乂最上氣、弛石夕錯層之面内(in_plane)晶才各參數再結晶;因 此以-拉伸方式應變。魏接著可選擇性地被移除,提供一應 變、低缺陷密度S0I基板,供高性能CM〇s應用。… 上述概念主要缺點為U成長具夠低缺陷密度的高品質厚 鬆弛石夕錯合金層的能力成問題,2)於-非常厚隨機合金中再結 曰a而不產生新缺陷的能力成問題,3)以一傳統佈植程序非晶化 如此大埋藏區域的實作挑戰,以及4)在所有這些製程步驟期 間’最小化錯交互擴散(interdiffUsion)入較低;ε夕層。 鑒於以上,需要提供一新且改善之方法,形成沒有上述任 一缺點的一應變半導體覆絕緣層(SSOI)基板。 【發明内容】 本發明提供一方法,製造一應變半導體覆絕緣層(SSOI) 基板’其中應變半導體係一薄半導體層,具一厚度小於50奈. 米’直接位於一絕緣層頂上。形成本發明之應變半導體覆絕緣 層(SS0Q基板中,未使用晶圓接合。
4IBM/04130TW 1313511 本發明所提供之-SSOI基板係藉由,首先形成一第二結 晶半導體層(像是齡-残合金層),為應_,位在一第 二結晶半導體層之-表面上(像是例如_預形成S0i基板之 頂3石夕層)’其位於-絕緣層頂上(像是例如預形成观基 板之一埋藏氧化層)。 •形成第二結晶半導體層後,一般會,但不一定,執行一視 需要的缺陷建立軒倾倾。如職明,此視f要之佈植步 驟在第結晶半導體層内或附近建立缺陷。在一第一溫度下執 行第退火步驟於此結構,有或無此視需要之缺陷建立步 驟,至足夠鬆弛第二結晶半導體層之應變。 ^接下來,於包含目前鬆弛第二結晶半導體層的結構上,執 行一非晶化離子佈植步驟,以於結構中建立一埋藏非晶化區 域。此埋藏非晶化區域包含第一結晶半導體層全體和鬆弛第二 結晶半導體層之一較低部分。 接著以足以再結晶非晶化區域之一第二溫度,執行一第二 退火步驟。第二退火步驟導致第一半導體層在一應變狀態中產 生再結晶。再結晶後第一半導體層内之應變量,係由先前形成 鬆他第二結晶半導體層之面内晶格參數決定。
4IBM/04130TW 1313511 接下來’選擇性地移除第二結 晶半導體層,以提供位於預
以上步驟提供—SS0I基板,其中應變第—半導體層位在 、在緣層全體頂上。本發明另—實關巾,是可能形成—圖型化 (patterned) SSOI基板,包含在—卿成s〇I基板之一絕緣 層頂上的應變帛—半導體材料之局部_,収雜應變第一 半導體材料之局部區域的未賴第—半導體材料。 本發明另一實施例中,缺陷建立離子佈植步驟和非晶化離 子佈植步_於包含第—結晶半導體層之結構帽擇相同區 域來執行。如此,在第一退火步驟期間,第二半導體層之不同 預選擇區域可發生選擇性鬆弛。在隨後製程中完成本發明其餘 製程步驟,以產生一基板,包含局部應變第一半導體層區域於 絕緣層上方,鄰接未應變第一半導體層區域。
4IBM/04130TW 1313511 【實施方式】 本發明提供形成一應變半導體覆絕緣層基板之方法,參照 所附本應用之圖式,以更詳細地說明。注意到本發明之圖式鼓 非按比例續·製’因此本應用不限於玎能由圖式確定的任何尺 寸。此外,所附圖式中,類似參照編號用以說明類似及/或斜 應元件。 首先參照圖一 A,其例示一结構10,係提供於一第士 晶半導體層18之一表面上形成一第二結晶半導體層2〇。第— 結晶半導體層18代表一預形成SOI(SOI)基板12之最上層。 第—半導體層18於此技藝中常稱為一 SOI層,其中可形成電 子元件,像疋例如電晶體及/或電容。除第一結晶半導體層18 外’預形成SOI基板12亦包含一絕緣層16和一底半導體基 板層14。 圖一 A所示預形成SOI基板12可藉以下方式形成:利用 對熟此技藝者習知之一傳統氧離子佈植分隔(separati〇n by i〇n implantation of oxygen ’ SIM0X)製程,以及以下文獻提到的 不同氧離子佈植分隔製程:共讓渡美國專利號6,486,〇37,2002 年11月26曰核准;6,602,757, 2003年8月5日核准; 6,541,356 ’ 2003年4月1曰核准;美國專利申請號 09/861,590,2001 年 5 月 21 日中請;以及 〇9/884,670,2001
4IBM/04130TW -10- 1313511 年6月19日申凊’遠有Sadana等人之美國專利號5,930,634, 各整體之内容於文中納入作為參考。 弋疋預开>成SOI石夕基板12可使用其他製程萝 作,包含例如一熱接合和層轉移製程。 、 ,’預形成S〇1基板12包含—底半導體基板層 Ύ 16和—第一結晶半導體層Μ。預形成SOI基板 ,底半導體基板層14包含任何料體材料,包含例如石夕、 石夕碳、雜、销碳、錯、糾鎵、挪銦、磷化鋼、 3 Μ可為一則基板或一石夕覆絕緣層卿Ge_〇_㈣ 的之絕緣層16包含對錯擴散有高抗性 :一=緣層。魏緣和抗錄擴散性材料之細包含但不限 =、、、。晶或非晶(跡CIy祕ne)氧化物或氮化物。一般預形 成SOI基板之絕緣層16為一埋藏氧化層。 、 納观基板12之第―結晶半導體層18包含任何半 =才料’包含例如石夕、石夕石炭、石夕錄、石夕錯碳、錯、神化嫁、 令化=化銦、其他腑或膽族化合物半導體。於本發 月一較佳貫施射’第-結辭㈣層18由—切材料组成。
4 旧 M/04130TW -11 - 1313511 預形成SOI基板12的層厚度變化,可視其製作使用製程 而定。然而,一般第一結晶半導體層18為一薄層,具厚度從 大約1至大約50奈米’以大約5至大約4〇奈米的厚度更為典 型。關於絕緣層16’-般係具大約丨至大約麵奈米的厚度, 以大約2〇至大約2〇〇奈米的厚度為更佳。半導體基板層Μ 之厚度對本發明不重要。以上提供之厚度範圍為範例,且絕不 限制本發明之範轉。 在提供含第-結晶半導體層18之獅成s〇l基板12後, 形成-應變狀態中的—第二結晶半_層2()於第—結晶半導 f層18頂上。第二結晶半導體層2G可由與第-結晶半導體層 8相同或不同的材料組成,附帶條件為第二結晶半導體層20 形成於一應變狀態中。 -姓貫施例中’第二結晶半導體層2g係—含錯層, 一補合金或純錯。「魏合金」包括含多至99.99原子 居刀^錯的销材料,純錯包括含⑽原子百分比之錯的 用魏層時,切鍺射鍺含量較佳顧大約〇·1至 2 ".9原子百分比,具—錯原子百分比從大約1G至大約35 尤隹。
4IBM/04130TW •12· 1313511 根據本發明,形成第二結晶半導體層20於第一結晶半導 體層18頂上係使用熟此技藝者習知之任何傳統磊晶成長方 法’只要是可成長一半導體材料為應變、介穩(metastable)、 假晶(pseudomorphic ),以及實質上沒有缺陷,即誤置(misfit) 和TD差排(TD Dislocation)。這樣的遙晶成長製程之例示範 例包含但不限於:快速熱化學氣相沉積(rapid thermal chemical vapor deposition ’ RTCVD)、低壓化學氣相沉積(low-pressure chemical vapor deposition,LPCVD)、超高真空化學氣相沉積 (ultra-high vacuum chemical vapor deposition,UHVCVD )、常 壓化學氣相沈積(atmospheric pressure chemical vapor deposition ’ APCVD)、分子束磊晶(m〇iecuiar beamepitaxy, MBE)或電漿輔助化學氣相沉積(plasma_enh_^d咖 vapor deposition,PECVD )。 本号X月此時形成之弟二結晶半導體層2〇的厚度可變化, 但-=第二結晶半導體層2〇具大於底下的第—結晶半導體層 =H-般第二結晶半導體層2G具從大約⑺到大約· 奈米的厚度,而從大約2〇到大約200奈米之厚度則更常見。
導A ^結構後,—般在包含形成於第一結晶半 豆“頂上之第二結晶半導體層20的結構上,視需要 optional)執仃—缺陷建立離子細步驟,以麵—結晶半導體 4IBM/04130TW -13- 1313511 層18中或附近建立缺陷。由此佈植步驟建立之缺陷使第二姅 晶=導體層20之加強鍾鬆弛發生。使加強馳發生的缺: 之靶例包含:小板(platelet)缺陷或泡狀(bubble_like)缺陷, 如同氫離子健之情形。此視需狀佈_執行可使用或不使 用一佈植遮罩。 在執行此視需要之佈植步驟後所形成之結構如圖一 B。此 圖中,芩照編號22代表此離子佈植步驟於第一結晶半導體層 18中形成之缺陷。此缺陷建立離子佈植步驟,係藉建立差排 成核點(nucleation sites)之一隨機陣列,解決第二結晶半導 體/第-結晶半導體雙層⑽和奶中缺陷產生之問題,此差 排成核點之隨機陣列幫助於隨後加熱中的應變鬆弛。特別是, 第一尨βθ半導體層20之彈性鬆弛(eiastic relaxati〇n)藉第一 結晶半導體層18之塑性變形(plastie deformatk)n)而發生, 而離子佈植步驟期間所形成之缺陷促進第一結晶半導體層18 之塑性變形。 這些使第二結晶半導體層2〇之加強鬆弛發生的缺陷,係 ,以下方式形成:佈植離子像是氫、氘(deuterium)、氦、氧、 氖硼、石夕或其混合物進入不同層,使用維持離子分布之高峰 在第-結晶半導體層18巾或附近的佈植條件。此巾亦考慮上 述離子之同位素。本發明使用來建立缺陷之較佳離子為氫離子
4IBM/04130TW -14 - Ϊ313511 (H+)或氧離子(〇+)。注意到此中亦考慮其他種類的氫,像 是 h2+。 本發明之視需要的缺陷建立佈植步驟係在進室溫下進 行’即從大約283K到大約303K之溫度,使用一束電流密度 (beam current density)從大約0.01到大約1〇微安培/平方公 分(microamps/cm2 )。於不同溫度及/或使用其他束電流密度來 佈植會影響鬆弛行為。 使用來形成缺陷22之佈植物種的濃度會隨使用佈植物種 之類型而變化。然而,一般本發明此時使用之佈植離子的濃度 為低於3E16cm—2,其中以離子濃度從大約圧15到大約 2.99E16cm_2為更典型。此視需要之佈植的能量亦可隨所佈植 離子類型改變’附帶條件是佈植能量必須足以把離子打到第一 結晶半導體層18中或附近。 >舉例而言,當使用A為佈_子,使用來確保缺陷形成於 第一結晶半導體層18中或附近的能量是從大約丨到大約 l〇〇keV,其中以從大約3到大約4〇keV之能量尤佳。 前述富能(energetic)離子於第一結晶半導體層18中或 .附近的佈植’建立了可作為有效差排成核點的缺陷,藉由優先
4IBM/04130TW -15- 1313511 地驅使差排顧進人第—結晶铸體層18,差軸核點使第 一结晶+賴層20更有效地舰。缺_立離子佈植步驟所 導致之缺舰⑽機性,亦降健驗·絲所帶來的缺陷 釘扎(defectpinnmg),而藉此使鬆他過程更有效。除前述外, 此視需要之缺陷建立離子佈植步驟亦降低隨後第—退火步驟 期間,#々弛弟一結晶半導體層20.之所需溫度。 在形成圖- A或視需要之圖一 B所示結構後,此結構接 者經歷-第-退火倾,執行於—第—溫度,足以鬆弛第二結 晶半導體層20上的應變。此第—退火步驟係執行於—惰性^ 體環境中’其可視需要地和-低(小於1%)濃度的氧混合, 或另-種方式是可使用-職氣體(fonning gas)。第^火 步驟十可使用之惰性氣體環境的例示範例包含氧、氯、氮、氣、 氪、氖或其混合物’像是例如-氦·氬或H昆合物。 如上指出’第-退火步驟係執行於—第—溫度,足以鬆他 第二結晶半導體層2〇之應變。此外,第—溫度使結構中錯之 擴散最小化。詳細地說’第-退火步驟H度係從大約 700C至大約1100C,以從大約800C至大約i〇5〇°c之第一、、 度尤佳。第一退火步驟之歷時(timeperi〇d)可有多種變化, 但一般第一退火步驟係歷時從大約1秒到大約5小時,以從大 約5秒到大約1小時之歷時較常見。
4IBM/04130TW -16- 1313511 第一退火步驟可使用以下實施:一快速熱退火製程、一爐 退火(famace annealing )製程、一雷射退火、一尖奉退火(Spike anneal)或任何其他類似退火製程,可使應變第二半導體層2〇 足夠鬆弛者。相較於爐退火,快速熱退火一般執行一較短歷 時。第一退火步驟可以一單一斜坡(ramp)溫度,或可以不同 斜坡和均熱(soak)循環實施。 再次強調’在第一退火步驟期間,發生第二結晶半導體層 20之鬆弛。在本發明退火步驟期間所達鬆弛之程度,係第二 結晶半導體層20 t初始應變和那層之厚度的函數。一般本發 明此步驟期間所達鬆弛程度為大於25%。 接下來,執行一非晶化離子佈植步驟以建立一結構,其甲 有=非晶化H域24包含第-結晶轉體層18全體和第二結晶 半導體層20之-較低部分。所得結構顯示於圖一 c。由此佈 植產生之傷害深度分布(damageddepthpr〇file)之高峰與第一 、曰’半導體層ι8同量亦即,離子佈植之非 晶化的發^係為離子分布之高峰在第—結晶半導體層18之中 心±1000埃(angstrom)的範圍内。更佳為饰植離子高峰在第 一結晶半導體層18之中心埃的範圍内發生非晶化。本發 ,中可使用非晶化離子,像是梦、务坤、錯、碳或任何組合, 匕二匕們的同位素。足以導致非晶化以及佈植離子高峰進入上 遠範圍的任何範圍之劑量與能量,都可使用於本發明。
4IBM/04130TW -17- 1313511 發明根據本 足以再結晶非晶化區域24,使執仃於—第二溫度, 狀態中再結晶;應變量係由鬆他第18在—應變 晶格參數決定。再杜曰曰第本道挪、”+v體層20之面内 代表。 …^ — +導體層由圖—D中參照編號26 ^退火步驟係執行於—惰性氣體 1%) ^ 包物付使用之惰性氣體環境的例示範例 m或其混合物,像是例如一氦-氨 如上指出,第二退火倾雜行於—第二溫产 一結晶半導體層18在一應變 ^ 筮-紝曰A、M城β 夂队心、丹、乡口日日。因此,假如鬆弛的 ^第口 Γ 剛開始是在受_應變下(鬆他前),則 -層26係以拉伸方侧,假如獅第 ^日t 始是受鱗應變,騎得第一結晶半 =體層26就是以M縮方式應變。詳細地說,第二退火步驟之 =盈度係從大約贼至大約,其中第二溫度從大約 _C至大約麵。(:尤佳。第二退火步驟之歷時可變化’一 般第二退火步驟係歷時大約i秒到大約5小時,以從大約5 秒到大約1小時之歷時更常見。
4 旧 M/04130TW -18- 1313511 第二退火步驟可使用以下實施:一快速熱退火製程、—爐 退火製程、一雷射退火、一尖峰退火或任何其他類似退火^ 程’可使非晶化區域24和第一結晶半導體層18以一應變方式 再結晶者。相較於爐退火,快速熱退火一般執行一較短歷時。 第一退火步驟可以一單一斜坡溫度,或可以不同斜坡和均熱 環實施。 執行第二退火步驟後,從結構移除第二結晶半導體層 2〇’以提供圖-E所示ss〇I基板。此圖式中,應變第一結曰^ 層26直接位於預形成SOI基板12之絕緣層16上。應變第— L曰曰半‘體層26之厚度—般從大約5G到大約埃,以大約 1〇〇到大約日400埃之厚度更為典型。注意這些厚度範圍類似層 18 j始厚度,可能會產生―稍薄些的層。所得應變程度係 由先則械祕第二結晶半導體層之舶日$格參數決定。 接下來,本發日种移除第二結晶半導體層2〇,使用一選 擇性移除製程,包含例如化學侧、反應式離子_ (reactive 腿etchmg)、低溫氧化、原子氧化(如函c 〇χ脑⑽)、化學 機械研磨、氣集束薄化(gas_d她^隱論㈣)或却 組合。 ^ 如圖一 E所示,應變第一半導體層26位於絕緣層16全 體之頂上。除了圖_ A至—E描緣之實施例外,本發明亦考
4IBM/04130TW -19- 1313511 ^另以也例,其中形成一圖型化臟基板 的應變第一半導體材料之局部區 =明和^應變材料之局部區域的未應縣—半導體材料。本 ^月之此貫施例描繪於圖二A至二B中。 曰化之此另7實施例中’缺陷建立離子佈植步驟和非 日日 植步驟’係於含第-結晶半導體層之結構中,選擇 相同區域來執行。此另一結構描綠於圖二h在第構退中火= 弟—半導體層之不同預選擇區域可發生選擇性鬆弛。隨 =衣私即完成本發日贈述之其餘製程步驟,以產生於絕緣層 t方鄰接未應變第一半導體層區域Μ,含局部應變第一 、體層區域26的一基板。此應變半導體覆絕緣層(SSOI)基 板不於圖二Β。 圖三係執行本發明退火步驟後之一基板的一剖面穿透電 子顯微鏡(咖㈠ectional如刪化⑹electron microscopy, XTEM)圖’藉由優先地驅使差排缺陷進入SOI層,使矽錯層 I弛。本發明此步驟之簡單剖面圖例示於圖一 B中。此樣本 包含:550埃_30%石夕鍺層,成長在—2〇〇埃s〇i層上,以2 5Ei6 原子/平方公分之佈植氫至—深度,使氫濃度高峰靠近 SOI/埋藏氧化層介自,並使用快速熱退火(贼/秒)於_。〇 退火5为釦。用X光繞射量測此矽鍺層,而為29.9%鍺和61〇/〇 鬆弛。
4IBM/04130TW -20- 1313511 本發明較佳實施例已特別地顯示與說明如上,熟此技藝者 可了解,在不悖離本發明之範疇與精神下,可以作到上述之結
在說明纽細社的任何改變。目此本發日杯欲被限制 之範轉Ϊ。不之確切形式和細節〜但落在所附申請專利範圍 4IBM/04130TW -21 - 1313511 【圖式簡單說明】 之夂至一以系示意圖(透過剖面),顯示本發明中使用 =絲製程步驟,用以直接於—預形成观基板之一絕緣 層之一表面上形成一應變半導體層。 施例 圖一A至一b係示意圖(透過剖面),顯示本發明另 實 圖三係執行本發明第-退火步驟後之—基板的—剖面穿 /電子顯微鏡圖(XTEM),顯示優先位於SOI層中的差排。 【主要元件符號說明】 12預形成SOI基板 16絕緣層 1〇結構 14底半導體基板層 18第一結晶半導體層 24非晶化區域 20第二結晶半導體層 22缺陷 26應變第—結晶半導體層
4IBM/04130TW -22-

Claims (1)

1313511 十、申請專利範圍: 1. 一種製造一應變半導體覆絕緣 semiconductor-on-insulator,SSOI)之方法
層(strained 包含下列各步 形成-第二結晶半導體層,其係在一第一結晶半導體 層之-表社產生麵,該第―結日日日轉體層位於一預形 成矽覆絕緣(silieominsulatoi·, SQI)基板之—絕緣層頂 上; ' 使含該第二結晶半導體層之該預形成s〇I基板在— 第—溫度下賴—第—退火步驟H溫度;1以鬆他該 苐一結晶半導體層中之應變; 包含該第一結晶半導體層 之一較低部分; 執行-非晶化離子佈植,以建立—埋藏非晶化區域, 之全體和該第二結晶半導體層 '含有該第二結晶半導體層和該埋藏非晶化區域之 :貝=—SOI基板,在—第二溫度下經歷—第二退火步 弟t溫度如使該埋藏非晶化區域再結晶 ,導致該 晶半導體層在—應變狀態中產生再結晶;以及 4IBM/04130TW -23- 1313511 選擇性地移除該第二結晶半導體層,以提供一應變半 導體覆絕緣層基板。 2·如請求項1所述之方法,其中該絕緣層係'结晶或非晶 (歷-crystamne)概物魏化物,對_減高抗性。
3·如請求項1所述之方法,其中該第一結晶半導體層具厚度 從大約5至大約50奈米(nm)。 4·如》月求項1所述之方法,其中該第一結晶半導體層包含 石夕、石夕碳、石夕錯、石夕錯碳、錄、石申化鎵、石申化姻、碟化鋼、 其他III/V或II/VI族化合物半導體。 5.如請求項4所述之方法,其中該第一結晶半導體層係 矽半導體。
如請求項 所述之方法,其中該第 晶半導體層包 夕夕反夕錯、石夕鍺碳、錯、石申化蘇、石申化鋼、碌偏 其他ΠΙ/ν或贈1族化合物半導體。 ’其中該第二結晶半導體層係一含 如请求項6所述之方法 鍺材料。 4 旧 M/04130TW -24- 7. 如請求項7所述之方法,其中該含鍺材料係—残合金或 純錯。 如請求項1所述之方法,其中形成該第二結晶半導體層包 含一蟲晶成長製程。 如請求項9所述之方法,其中該磊晶成長製程係選自快速 熱化學氣相沉積(rapid thermal chemical vapor deposition)、低壓化學氣相沉積(low_pressure chemical vapor deposition )、超高真空化學氣相沉積(ultra_high vacuum chemical vapor deposition)、常壓化學氣相沈積 (atmospheric pressure chemical vapor deposition)、分子束 蟲晶(molecular beam epitaxy)及電漿輔助化學氣相沉積 (plasma-enhanced chemical vapor deposition )。 如請求項1所述之方法,其中該第二結晶半導體層具厚度 從大約10至大約500奈米。 如請求項1所述之方法,更包含在該形成和第一退火步驟 間’執行一缺陷建立離子佈植,俾在該第一結晶半導體層 中或附近建立缺陷。 4IBM/04130TW -25- 1313511 13. 如請求項12所述之方法,其中該缺陷建立離子佈植係使 用氫、氘(deuterium)、氦、氧、氖、硼、矽或其混合物 與同位素之離子實施之。 14. 如請求項13所述之方法,其中該離子為氫或氧離子。 15. 如請求項12所述之方法,其中該缺陷建立離子佈植係使 用3El6cmf2以下之一離子濃度實施之。 φ 16. 如請求項12所述之方法,其中該缺陷可供作有效差排成 核點(dislocation nucleation sites),其使該第二結晶半導 體層更有效地鬆弛。 17·如請求項12所述之方法,其中該缺陷建立離子佈植係使 用一佈植遮罩執行之。 18. 如請求項1所述之方法,其中該第一退火步驟係執行於一 情性氣體環境(ambient)或一形成氣體(forming gas)環 境中。 19. 如請求項丨所述之方法,其中該第一退火步驟之該第一溫 度係從大約700°C至大約1100T:。 4IBM/04130TW -26- 1313511 2〇.如請求項i所述之方法,其中該第一退火步驟係使用—快 速熱退火製程、一爐退火(fomace annealing)製程、一丨、 峰退火(spike anneal)之一雷射退火製程實施之。 太 21. 如請求項1所述之方法’其中該非晶化離子佈植係使用選 自矽、磷、砷、鍺、碳及其任何組合之離子實施之。 22. 如請求項丨所述之方法’其中該第二退火步驟係執行於— 惰性氣體環境或一形成氣體環境中。 23. 如請求項丨所述之方法’其中該第二退火步驟之該第二溫 度係從大約6〇o°c至大約11〇〇。(:。 m 24. 如請求項1所述之方法,其中該第二退火步驟係使用—快 速熱退火製程、-爐退火製程、—尖峰退火之—雷射退火 製程貫施之。 25. 如請求項丨所述之方法,其中該選擇性地移除包含化學蝕 刻、反應式離子蝕刻(reactive i〇n etching)、低溫氧化、 原子氧化(atomic oxidation)、化學機械研磨、氣集束薄化 (gas-cluster beam thinning)或其任何組合。 4IBM/04130TW -27- 1313511 況-種製造-應變半導體覆絕緣層(ss〇i)的方法,包含下列 各步驟: 形成-應變的第二結晶半導體層於—第—結晶半導 體層之-表面上,該第-結晶半導體層位於—預形成石夕覆 、%緣層(SOI)基板之一絕緣層頂上; 執行-缺賊立離子佈植,以在鱗—結晶半導體層 中或附近建立缺陷; 使含該第一結晶半導體層與該缺陷之該預形成SOI 基板’在一第一溫度下經歷一第一退火步驟,該第一溫度 足以鬆弛該第二結晶半導體層中之應變; 執行一非晶化離子佈植,以建立一埋藏非晶化區域, 包含該第一結晶半導體層之全體和該第二結晶半導體層 之—較低部分; 使含有該第二結晶半導體層和該埋藏非晶化區域之 礒預形成SOI基板,在一第二溫度下經歷一第二退火步 k,该弟一溫度足以再結晶該埋藏非晶化區域,導致該第 〜結晶半導體層在一應變狀態中產生再結晶;以及 4IBM/04130TW -28 - 1313511 選擇性地移除該第二結晶半導體層,以提供一應 導體覆絕緣層基板。 27.如請求項26所述之方法,其中該絕緣層係—結晶或非 氧化物或氮化物,對鍺擴散有高抗性。 28·如請求項26所述之方法,其中該第—結晶半雜層具厚 度從大約5至大約50奈米。 29·如請求項26所述之方法,其中該第一結晶半雜層包含 石夕、石夕碳、石夕鍺、石夕鍺碳、鍺、石申化鎵、坤化銦、麟化銅、 其他III/V或II/VI族化合物半導體。 30.如請求項29所述之方法,其中該第一結晶半導體層係一 含矽半導體。 31. 結晶半導體層包含 砷化銦、鱗化銦、 如請求項26所述之方法,其中該第二 石夕、梦碳、碎鍺、妙錯碳、鍺、钟化鎵、 其他III/V或II/VI族化合物半導體。 如^月求項31所述之方法,其巾該第二結晶半導體層係一 含鍺材料。 4IBM/04130TW -29, 32. 1313511 33.如請求項32所述之 矽鍺合金 或純錯。 〃中該含錯材料係一 第二結晶半導體層 34.如岣未項26所 肖人$ s 1 方去,其中形成該 包s—磊晶成長製程。 35.如請求項34所述之方法, 速熱化學氣相沉積、柄厂,、日日成長製程係選自快 相沉積、常學氣相沉積、超高真空化學氣 氣相沉積。〃 &積、/刀子束如及賴輔助化學 36. 如請求項26所述之方法,1中琴笔_ ,、甲以第一、、.口日日半導體層具從 大約10至大約500奈米的厚度。 37. 如請求項26所述之方法,財該_建立離子佈植係使 用氫、氛、氦、氧、氖、石朋、石夕或其混合物與同位素之離 子實施之。 38. 如請求項37所述之方法,其中該離子為氫或氧離子。 39. 如請求項26所述之方法,其中該缺陷建立離子佈植係使 用3E16cm—2以下之一離子濃度實施之。 4IBM/04130TW -30 - 1313511 40· t求,26所述之方法,其中該缺陷可供作有效差排成 A’、 /、使該第二結晶半導體層更有效地鬆弛。 41Hf26所述之方法’其中該缺陷建立離子佈植係使 用一佈植遮罩執行之。 述之方法’其中該第一退火步驟係執行於 月乳體5衣境或一形成氣體環境中。 43.如請求項26戶斤述之方法,其中 溫度係從大約7机至大約聰〇c。心驟匕亥弟― 44. 求項26所述之方法,其中該第一退火步驟係使用 尖 程、一爐退火製程、-雷射退火製程= 45· 化離子佈植係使用 鍺兔和其任何組合之離子實施之。 46. 如請求項26所述之方法,其中該第 一惰性氣體魏或—形錢體環境中。I驟係執仃於 47. 如請求項26所述之方 溫度係從大約至九㈣退火轉之該第二 4IBM/04130TW 31 1313511 48.如印求項%所述之方法,其中該第二退火步驟係使用— 快速熱退火製程、—爐退火製程、一雷射退火製程或—尖 峰退火實施之。 49. 如請求項26 %·、+、 所迷之方法,其中該選擇性地移除包含化學 侧 '反應式離子钱刻、低溫氧化、原子氧化、化學機械 研磨 '氣縣触或括恤合。 50. :種製造-應變石夕覆絕緣層(s〇i)的方法,包含下列各步 層位含錯層於—含補之—表面上,該含碎 a '預形成so1基板之一絕緣層頂上; 使含該含鍺之該預形成so 經歷一第—退火步 在弟Μ度下, 4 °㈣—溫度足以該含鍺層中之 以建立一埋藏非晶化區域, 執行一非晶化離子佈植, 包含該切層之全體㈣錢叙-較低部分; 4IBM/04130TW -32 - 1313511 使含該含鍺層和該埋藏非晶傾域之該卿成观 土反在第一溫度下,經歷一第二退火步驟,該第二溫 度足以再結晶該城非晶倾域,導致該切層再奸 ~應變狀態;以及 sa''' 基板 選擇性地移除該含鍺層,以提供一應變含矽覆 絕緣層 51.切青求項50所述之方法,其中該絕緣層係—結晶或非晶 氧化物或氮化物,對鍺擴散有高抗性。 ㈤ 处如請求項50所述之方法,其中該含石夕層具厚度從大約$ 至大約50奈米。 53. 如請求項50所述之方法, 純錯。 其中該含鍺層係一矽鍺合金或 %如請求項50所述之方法,其中該形成該含錯層包含一石 晶成長製程,選自快速熱化學氣相沉積、低壓化學氣相: 積、超高真空化學氣相沉積、常壓化學氣相沈積、分 磊晶及電漿輔助化學氣相沉積。 4IBM/04130TW -33- 1313511 55. 如清求項50所述之方法, 約500奈米的厚度。 其中該含鍺層具從大約 1〇至大 56.如請求項50所述之方法, γ 妒鬥,妯―^ 文^3在5亥形成與第一退火步 =執仃—缺陷建立離子佈植, 層中或附近建立缺陷。 Β日千 At求項5峨娜,㈣瓣鱗子佈植係使 用氫、/Fl、氦、4[、气 〃 巩、硼、矽或其混合物與同位素之離 子貫Μ之。 58·如請求項57所述之方法,其中該離子域或氧離子。 59.如請求項57所述之方法,其中該缺陷建立離子佈植係使 用3E16cm2以下之一離子濃度實施之。 6〇。如凊求工頁57所述之方法,Λ中該缺陷可供作有效差排成 核點’其使該第二結晶半導體層更有效地鬆弛。 61·如請求㉟57所述之方法,射該缺陷建立離子佈植係使 用一佈植遮罩執行之。 4IBM/04130TW -34 - 1313511 退火步驟係執行於 62.如清求項50所述之方法,其中該第_ h性氣體環境或一形成氣體環境中 63. 如清求項第5〇項所述之 第—、、w许&,、亥弟—退火步驟之該 弟恤度係從大約700。(:至大約110(rc。 64. 如請求項第5〇項所述 田一 /、甲及弟—退火步驟係使 用一快速熱退火製程、一爐退火製程、— -尖峰退火實献。 65·如請求項第50項所述之方法,其中該非晶化離子佈植係 使用選自矽、磷、.鍺、碳和t 66. 如請求項第5〇項所述之方法,其中該第二退火步驟係執 行於一惰性氣體環境或一形成氣體環境中。 67. 如請求項第50項所述之方法,其中該第二退火步驟之該 第二溫度係伙大約600C至大約ii〇〇°c。 68. 如請求項第50 J員所述之方法,其中該第二退火步驟係使 用/快速熱退火製程、一爐退火製程、一雷射退火製程或 /炎峰退火實施之。 4IBM/04130TW -35- 1313511 69.如請求項第50項所述之方法,其中該選擇性地移除包含 化學蝕刻、反應式離子蝕刻、低溫氧化、原子氧化、化學 機械研磨、氣集束薄化或其任何組合。 4IBM/04130TW -36
TW093134665A 2003-12-05 2004-11-12 Method of fabricating strained si soi wafers TWI313511B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/728,519 US6972247B2 (en) 2003-12-05 2003-12-05 Method of fabricating strained Si SOI wafers

Publications (2)

Publication Number Publication Date
TW200529422A TW200529422A (en) 2005-09-01
TWI313511B true TWI313511B (en) 2009-08-11

Family

ID=34633733

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093134665A TWI313511B (en) 2003-12-05 2004-11-12 Method of fabricating strained si soi wafers

Country Status (7)

Country Link
US (1) US6972247B2 (zh)
EP (1) EP1695377A2 (zh)
JP (1) JP4939224B2 (zh)
KR (1) KR100940748B1 (zh)
CN (1) CN100505163C (zh)
TW (1) TWI313511B (zh)
WO (1) WO2005055290A2 (zh)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005112129A1 (ja) * 2004-05-13 2005-11-24 Fujitsu Limited 半導体装置およびその製造方法、半導体基板の製造方法
US7488670B2 (en) * 2005-07-13 2009-02-10 Infineon Technologies Ag Direct channel stress
FR2890489B1 (fr) * 2005-09-08 2008-03-07 Soitec Silicon On Insulator Procede de fabrication d'une heterostructure de type semi-conducteur sur isolant
US8319285B2 (en) 2005-12-22 2012-11-27 Infineon Technologies Ag Silicon-on-insulator chip having multiple crystal orientations
US7560318B2 (en) * 2006-03-13 2009-07-14 Freescale Semiconductor, Inc. Process for forming an electronic device including semiconductor layers having different stresses
CN100431132C (zh) * 2006-03-30 2008-11-05 上海理工大学 一种采用相变方法实现绝缘体上应变硅的制作方法
DE102006030257B4 (de) * 2006-06-30 2010-04-08 Advanced Micro Devices, Inc., Sunnyvale Teststruktur zum Bestimmen der Eigenschaften von Halbleiterlegierungen in SOI-Transistoren mittels Röntgenbeugung
JP4943820B2 (ja) * 2006-11-10 2012-05-30 信越化学工業株式会社 GOI(GeonInsulator)基板の製造方法
US8603405B2 (en) 2007-03-29 2013-12-10 Npl Associates, Inc. Power units based on dislocation site techniques
US8227020B1 (en) * 2007-03-29 2012-07-24 Npl Associates, Inc. Dislocation site formation techniques
SG182214A1 (en) * 2007-06-20 2012-07-30 Semiconductor Energy Lab Method of manufacturing semiconductor device
KR100868643B1 (ko) * 2007-07-20 2008-11-12 주식회사 동부하이텍 이미지센서 및 그 제조방법
US8329260B2 (en) * 2008-03-11 2012-12-11 Varian Semiconductor Equipment Associates, Inc. Cooled cleaving implant
FR2931293B1 (fr) 2008-05-15 2010-09-03 Soitec Silicon On Insulator Procede de fabrication d'une heterostructure support d'epitaxie et heterostructure correspondante
US8138066B2 (en) 2008-10-01 2012-03-20 International Business Machines Corporation Dislocation engineering using a scanned laser
JP2011254051A (ja) * 2010-06-04 2011-12-15 Sumitomo Electric Ind Ltd 炭化珪素基板の製造方法、半導体装置の製造方法、炭化珪素基板および半導体装置
US8486776B2 (en) 2010-09-21 2013-07-16 International Business Machines Corporation Strained devices, methods of manufacture and design structures
TW201227828A (en) * 2010-12-31 2012-07-01 Bo-Ying Chen Wafers for nanometer process and manufacturing method thereof
US8809168B2 (en) 2011-02-14 2014-08-19 International Business Machines Corporation Growing compressively strained silicon directly on silicon at low temperatures
GB201114365D0 (en) 2011-08-22 2011-10-05 Univ Surrey Method of manufacture of an optoelectronic device and an optoelectronic device manufactured using the method
FR3003686B1 (fr) * 2013-03-20 2016-11-04 St Microelectronics Crolles 2 Sas Procede de formation d'une couche de silicium contraint
FR3006438B1 (fr) * 2013-06-04 2015-06-26 Commissariat Energie Atomique Capteur de temperature
FR3014244B1 (fr) 2013-11-29 2018-05-25 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede ameliore de realisation d'un substrat semi-conducteur contraint sur isolant
FR3041146B1 (fr) 2015-09-11 2018-03-09 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de mise en tension d'un film semi-conducteur
FR3050569B1 (fr) 2016-04-26 2018-04-13 Commissariat A L'energie Atomique Et Aux Energies Alternatives Fabrication amelioree de silicium contraint en tension sur isolant par amorphisation puis recristallisation
FR3091619B1 (fr) * 2019-01-07 2021-01-29 Commissariat Energie Atomique Procédé de guérison avant transfert d’une couche semi-conductrice

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010079918A (ko) * 1998-09-25 2001-08-22 야마모토 카즈모토 반도체 기판과 그 제조 방법, 및 그것을 이용한 반도체디바이스와 그 제조 방법
US6429061B1 (en) * 2000-07-26 2002-08-06 International Business Machines Corporation Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation
US6593625B2 (en) * 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US6855649B2 (en) * 2001-06-12 2005-02-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US20030077882A1 (en) * 2001-07-26 2003-04-24 Taiwan Semiconductor Manfacturing Company Method of forming strained-silicon wafer for mobility-enhanced MOSFET device
JP2003158250A (ja) * 2001-10-30 2003-05-30 Sharp Corp SiGe/SOIのCMOSおよびその製造方法
US6812114B2 (en) * 2002-04-10 2004-11-02 International Business Machines Corporation Patterned SOI by formation and annihilation of buried oxide regions during processing
US6774015B1 (en) * 2002-12-19 2004-08-10 International Business Machines Corporation Strained silicon-on-insulator (SSOI) and method to form the same
US6825102B1 (en) * 2003-09-18 2004-11-30 International Business Machines Corporation Method of improving the quality of defective semiconductor material

Also Published As

Publication number Publication date
US20050124146A1 (en) 2005-06-09
CN100505163C (zh) 2009-06-24
US6972247B2 (en) 2005-12-06
KR100940748B1 (ko) 2010-02-11
WO2005055290A2 (en) 2005-06-16
EP1695377A2 (en) 2006-08-30
CN1890781A (zh) 2007-01-03
KR20060123255A (ko) 2006-12-01
JP4939224B2 (ja) 2012-05-23
TW200529422A (en) 2005-09-01
WO2005055290A3 (en) 2005-09-09
JP2007513511A (ja) 2007-05-24

Similar Documents

Publication Publication Date Title
TWI313511B (en) Method of fabricating strained si soi wafers
JP4446424B2 (ja) 緩和SiGe基板の製造方法
TWI282117B (en) High-quality SGOI by oxidation near the alloy melting temperature
US6703293B2 (en) Implantation at elevated temperatures for amorphization re-crystallization of Si1-xGex films on silicon substrates
CN100405534C (zh) 半导体结构的制造方法
TW412598B (en) Process for the transfer of a thin film comprising an inclusion creation step
US6699764B1 (en) Method for amorphization re-crystallization of Si1-xGex films on silicon substrates
TWI222684B (en) Use of hydrogen implantation to improve material properties of silicon-germanium-on-insulator material made by thermal diffusion
US6709903B2 (en) Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
TWI306662B (en) Defect reduction by oxidation of silicon
US7897444B2 (en) Strained semiconductor-on-insulator (sSOI) by a simox method
US20060057403A1 (en) Use of thin SOI to inhibit relaxation of SiGe layers
JP4446425B2 (ja) 半導体基板の製造方法
US6987072B2 (en) Method of producing semiconductor crystal
JPH03200319A (ja) 多結晶シリコンの形成方法
JPH0244714A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees