TWI222248B - Extreme ultraviolet light source - Google Patents

Extreme ultraviolet light source Download PDF

Info

Publication number
TWI222248B
TWI222248B TW92108103A TW92108103A TWI222248B TW I222248 B TWI222248 B TW I222248B TW 92108103 A TW92108103 A TW 92108103A TW 92108103 A TW92108103 A TW 92108103A TW I222248 B TWI222248 B TW I222248B
Authority
TW
Taiwan
Prior art keywords
source
patent application
scope
plasma
item
Prior art date
Application number
TW92108103A
Other languages
Chinese (zh)
Other versions
TW200403905A (en
Inventor
Stephan T Melnychuk
William N Partlo
Igor V Fomenkov
Roger I Oliver
Richard M Ness
Original Assignee
Cymer Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/690,084 external-priority patent/US6566667B1/en
Priority claimed from US10/120,655 external-priority patent/US6744060B2/en
Priority claimed from US10/189,824 external-priority patent/US6815700B2/en
Priority claimed from US10/384,967 external-priority patent/US6904073B2/en
Priority claimed from US10/409,254 external-priority patent/US6972421B2/en
Application filed by Cymer Inc filed Critical Cymer Inc
Publication of TW200403905A publication Critical patent/TW200403905A/en
Application granted granted Critical
Publication of TWI222248B publication Critical patent/TWI222248B/en

Links

Abstract

The present invention provides a reliable, high-repetition rate, production line compatible high energy photon source. A very hot plasma containing an active material is produced in vacuum chamber. The active material is an atomic element having an emission line within a desired extreme ultraviolet (EUV) range. A pulse power source comprising a charging capacitor and a magnetic compression circuit comprising a pulse transformer, provides electrical pulses having sufficient energy and electrical potential sufficient to produce the EUV light at an intermediate focus at rates in excess of 5 Watts. In preferred embodiments designed by applicants in-band, EUV light energy at the intermediate focus is 45 Watts extendable to 105.8 Watts.

Description

1222248 玖、發明說明: H Ji 發明領域 本發明為下列各案之連續部分:美國申請案第 5 1〇/384,967號,申請日2003年3月8曰、美國申請案第 10/189,824號,申請曰2002年7月3曰、美國申請案第 10/120,655號,申請日2002年4月10曰、美國申請案第 09/875,719號,申請日2001年6月6日、以及美國申請案第 09/875,721號,申請日2001年6月6曰、美國申請案第 10 09/690,084號,申請日2000年10月16日;以及請求專利申請 案第60/422,8085虎’申請日2002年10月31日、以及專利申請 案第60/419,805號,申請日2002年10月18日之權益;各案皆 以引用方式併入此處。本發明係有關高能光子源,以及特 別係有關高度可靠之X光及高能紫外光源。 15 【先前技術】 發明背景 半導體產業不斷開發微影術技術,而可印刷之積體電 路尺寸不斷縮小。此種系統必須有高度可靠性、具有成本 效益之產出量以及合理的製程範圍。積體電路製造業晚近 20由汞G線(436奈米)及1線(365奈米)曝光光源轉成248奈米及 193奈米之準分子雷射光源。此種變遷係為了需要有更高微 影術解析度而將焦深之耗損最小化。 積體電路業界需求很快將超過193奈米曝光光源的解 析能力,因此需要開發一種比193奈米顯著更短波長之可靠 6 曝光光源。準分子射線存在於157奈米,但難以獲得於此種 波長有足夠透射率以及夠高光學品質的光學材料。因此需 要全反射成像系統。全反射光學系統需要的數值孔徑(NA) 比透射系統更小。因數值孔徑較小造成的解析度損失只能 藉由以較大因數縮短波長彌補。如此若光學微影術之解析 度欲改良至超過193奈米或157奈米所能達成的解析度,則 要求10至20奈米範圍之光源。波長低於157奈米之光之光學 成分極為有限。但目前已有有效入射反射鏡,以及良好反 射鏡多層於法線入射角,該反射鏡可用於約1〇奈米至14奈 米波長範圍之光(於此種波長範圍之光係於稱作極端紫外 光之光譜範圍,例如軟乂光)。因此理由故,需要有於此種 範圍例如約13.5奈米波長範圍之良好可靠光源。 於鬲能紫外光源及X光源之目前業界現況係利用雷射 束、電子或其它粒子碰撞多種目標材料製造的電漿。已經 使用口體目&,但由固體目標消餘形成的碎屬對預期供生 產線操作的系統之各個組成元件有不良影響。對碎屑問題 提出之解決之道係使用冷凍液態或液化或凍結氣體目標, 因此碎屑不會鍍覆至光學設備上。但至目前為止此等系統 皆未能證實可實際用於生產線操作。 多年來眾所周知X光及高能紫外光可於電漿撮取操作 產生。一電漿撮取中,電流係以數種可能的組態之一流經 電水讓/爪動電流產生的磁場加快電漿内的電子及離子速 度成為小里溶劑帶有足夠能量來致使外層電子由離子剝 離,結果產生X光及高能紫外線。多種由聚焦或撮取電漿產 生高能射線之先前技藝技術說明於美國專利第6,452,199號 之先前技術節。 典型先前技術電I聚焦、裝置可產生大量適合供近端乂 光微影術的射線,但由於每個脈衝的電能需求大,故重複 率有限以及内部成分壽命短。此種系統之儲存電能需求 千焦耳至100千焦耳。重複率典型不超過每秒數脈衝。 需要有生產線可靠之系統用來產生、收集以及導引於 所需波長範圍之高能紫外光、X光,其可以高重複率可靠地 操作且避免先前技術有關碎屑生成的問題。 【發明内容3 發明概要 本發明提供-種可靠且重複率高之生產線相容之高能 光子源。於真空室製造含有活性材料之極熱錢。該活性 材料為-種具有於所需極端紫外線(Euv)波長範圍之發光 射線之原子元素。包含充電電容器之脈衝功率源以及包含 脈衝變壓ϋ之磁_電路提供電脈衝,該電雜有足夠能 i及電位足以產生中間焦點超過5瓦之Ευν光以連續基準 其比率超過5瓦、而以叢發基準其比率超過2〇瓦。申請人設 計之較佳具體實施例中合規頻帶而光能於中間焦點抓 可延伸至105.8瓦。 車父佳具體實施例中,高能光子源為帶有同軸電極之緊 密電聚聚焦裝置,料電極制㈣置。巾間電極較佳為 中空’活性氣體被導出巾空電極之外。如此允許光譜線光 源的最佳化、以及緩衝氣體的分開最佳化。較佳具體實施 例中中間電極係以高貞電脈衝施加脈衝,讓巾間電極作 為中二陰極。揭示較佳具體實施例提供電容值、陽極長度 及,、、啟仏’舌性氣體輸送系統之最佳化。特殊技術描 述冷部中間電極。一實施例中,水循環通過中空電極壁。 另例中描述熱管冷卻系統用來冷卻中間電極。 外4反射線集極-導向器收集於電槳撮取產生的射線 且導引射線於預定方向。反射鏡材料之良好選擇為錮、!巴、 i 。較佳具體實施例中,活性材料為氣、鐘 蒸氣、錫蒸氣;緩衝氣體為氮,射線集極係由具有高補餘 入射反射率之材料製成或以該種材料塗覆製成。說明 可能之活性材料。 〃 較佳具體實施例中,緩衝氣體為氦或氬。鋰蒸氣係經 由於順著同軸電極組態之中央電極軸線之孔,氣化固體咬 液體鋰產生。鋰也可呈溶液鋰液提供,因鹼金屬可溶解於 胺。經於氣(NH3)之溶液為良好候選者。鐘也可藉賤鍍法提 供,其中前置游離放電用於提供鋰蒸氣以及用於前置游離 的雙重目的。較佳具體實施例中,碎屑收集於錐形巢套碎 屑集極,其表面係以由撮取位置延伸出且導向射線集極_導 向器之光線校準。反射線集極-導向器及錐形巢套碎屑集極 可共同製造成為一個零組件,或可製造成分開零組件而彼 此與撮取位置校準。 此種原型裝置實際上係由申請人所營建且測試,將每 脈衝約儲存10焦耳電能之電脈衝(正或負)轉成約5〇毫焦耳 合規頻帶13.5奈米射線發射成^疋球面角度。如此證實轉換 效率約為〇·5%’申請人估計可收集約2〇% 5〇毫焦耳125奈 米射線,故證實每個脈衝收集之能約為1〇毫焦耳。申請人 證實1000赫茲連續操作及4〇00赫茲短叢發操作。如此證實 10瓦連縯輸出及40瓦叢發輸出。使用申請人設計之收集技 術,約半篁能量可輸送至距電漿源之中間焦點距離。如此 於連繽基準於中間焦點提供至少5瓦合規頻帶EUV光,以及 於叢發基準提供至少20瓦合規頻帶EUV光。申請人也顯示 此處所示技術可應用而可以5,〇〇〇赫茲或以上重複率提供 60瓦範圍之輸出。於2〇〇〇赫茲,測量得之脈衝至脈衝能安 疋性(‘準差)約為9·4°/〇,未見遺失脈衝。此種原型dpf裝置 之電路及操作連同若干標準修改說明提供,意圖改良安定 性、效率及效能。 其它具體實施例中,電漿可於其它電漿撮取裝置產 生,例如習知ζ-撮取裝置、中空陰極Ζ-撮取或毛細管放電, 電漿可以脈衝氣體放電雷射束產生。脈衝功率或各來源係 以此處所述脈衝電源系統製造,各EUV光較佳經收集,且 較佳使用此處所述一或多項技術輸送至中間焦點。 本發明提供EUV微影術之實際實作,使用可靠之高亮 度EUV光源帶有發光特性明確匹配鉬/矽或鉬/鈹鏡系統之 反射頻帶。申請人進行測試證實改良電極組態,其中中心 電極組態之中心電極為中空且組配作為陰極。用於此種組 態,中空陰極本身之前置游離太過特殊因而無需進行前置 游離。 圖式簡單說明 1222248 第8A圖為雙曲面集極之透視圖。 第8B圖顯示橢球集極產生之Euv光束之一部分。 第8Β圖顯示雙曲面集極產生之Ευν光束之一部分。 第9、9Α、9Β及9C圖顯示射線集極及碎屑集極之組合。 5 第圖顯示氤光譜之多層鏡光譜。 第11Α圖為圖表顯示各種材料對13 5奈米紫外光之反 射率。 第11、Β、C及D圖顯示集極設計。 第12圖為略圖顯示導入來源氣體及工作氣體之技術。 10 第13圖為時序圖顯示陽極電壓及EUV強度。 第14Α、14Β、14C及14D圖顯示多種中心電極設計對電 漿撮取的影響。 第15圖為略圖顯示使用rf能來操作鋰蒸氣來源氣體之 技術。 15 第16圖顯示於較佳DPF裝置,用於陽極之熱管冷卻技 術。 第17圖顯示氣體控制技術。 第18A、B、C及D圖顯示於真空容器較佳具體實施例控 制活性氣體及緩衝氣體之技術。 20 第19圖顯示銜接橢球鏡配置。 第19A、B及C圖顯示恰位於二焦點下游之EUv側繪圖 形狀。 第20、20A、21及22圖顯示電極之水冷卻技術。 第23、24及26A及26B圖顯示電極設計。 12 1222248 第25圖顯示減少電極溶蝕之技術。 第27A及27B圖顯示維護技術。 第28A及28B圖顯示使用磁鐵來控制撮取。 第29A、29B、29C及30圖顯示脈衝形狀。 第31圖顯示前置游離技術。 第32圖顯示前置換向的影響。 第33圖顯示緊密電漿聚焦之優點。 I:實施方式3 較佳實施例之詳細說明 10 熱電漿 為了由電漿產生13-14奈米光議範圍之光需要極熱電 漿,對應於攝氏數千度之範圍。此種溫度的電漿可經由將 極高功率(極短脈衝)雷射束或高能電子束聚焦於金屬目標 表面達成。也可於氣體以電放電使用聚焦或振取電襞之數 15 種特殊放電技術之任一種而產生極熱電漿。此等技術包括 (1)緊密電漿聚焦技術、(2)規則Z-撮取技術、(3)中空陰極z-振取以及(4)毛細放電技術。此等技術之細節討論如後。用 作為積體電路製造上的微影術光源,光源及其電源必須可 連續可靠地日以繼夜地操作數十億脈衝。原因在於微影術 20 機器以及相關生產線價格極為昂貴,有任何非照計劃的停 機時間將造成每小時數十萬美元的損失。 12-14奈米EUV光譜線之原子源 如本說明書之先前技術節所述,良好鏡可提供約1〇奈 米至14奈米波長範圍約70%或以上之反射比。此等鏡典型 13 唯有於i2奈米至14奈米_之較為狹窄光譜職才提供如 此高的反射比。例如第11A圖所示鏡於約13 2至Η 8奈米光 諸範圍提供約7G%反射比。此種鏡於13 5奈米具有反射比約 〇.7,FWHM頻寬為〇.5奈米。此等鏡可有效供未來積體電路 微影術之微影術機ϋ使用。後文說明之電漿產生裝置包括 第2Α圖至第2D圖所示裝置產生於數千。。範圍之極高溫電 漿’但發光光譜展開於極為寬廣範圍。為了產生於約13_14 奈米預定範圍之«,熱點電漿須包括具有光譜線於i3_i4 奈米範圍之原子目標材料。若干之可能使用之目標材料為 已知,包括U及錫。目標材料的最佳選擇涉及有關可 利用之光譜、電漿能轉成預定光譜能效率、目標注入電漿 區之困難度、碎屑問題等各方面的折衷。若干較佳目標: 處理此等議題之技術討論如後。(讀者須了解全部元素於高 溫皆可產生光譜線,此等光譜線已經有明確文獻記載,因 此若希望產生於其它波長之光時,相當直捷的辦法係搜尋 參考文獻找出適當目標材料,該目標材料當於電漿加熱時 將於感興趣的波長產生良好光譜線)。 氙 氣為較佳原子目彳示。氣為貴氣,故氤無碎屬問題。如 第3A及3B圖所示,氙於13至14奈米範圍有極為良好的光譜 線。第11A圖顯示申請人測得之EUVXe光譜。 第3A圖顯示測量得之單脈衝光譜。第3B圖顯示計算得 之理論Xe光譜。氙可添加作為放電室緩衝氣體之組成分, 或氣可注入接近放電區或撮取區,讓氙濃度於放電區或撮 1222248 取區為較咼。氙也可冷卻至低於其沸點,以及呈液體或固 體>主入放電區或撮取區,讓氙濃度於電漿大增。某些氙化 合物(例如氧氟化氙)也可製作良好目標材料。 鋰 5 鋰也眾所周知為可能之目標材料。鋰於標準溫度為固 體,鋰不會造成碎屑問題。此外,添加原子鋰至放 電區或 撮取區時必須採用特殊技術。若干技術述於親代專利申請 案或先别技術來源,其它技術說明如後。鐘可呈固體、液 體或蒸氣注入放電室内。 10 錫 錫有若干強力光譜線於預定範圍,故錫亦為較佳目標 材料。但錫類似鋰,錫於標準溫度為固體,可鍍覆於光學 表面上,故不會構成碎屑問題。 脈衝電源系統 15 電路 需要服務壽命長且可靠的脈衝功率 若干先前技術脈衝電源系統已知可供應短的高電壓脈 衝來於此等裝置產生放電。但先前技術之電源供應器皆未 月b挺供積體電路微影術製造上所需高重複率、高功率、服 20務壽命長且可靠等可靠性及控制性特色。申請人仰賴申請 人發展之準分子雷射光源技術而建立且測試一種脈衝電源 系統。此種準分子雷射可發出248奈米及193奈米光,目前 廣泛用於積體電路製造上作為光源。申請人所建立及測試 之EUV裝置用服務壽命長且可靠之脈衝電源系統,作為第 15 1222248 四代電漿聚焦裝置之一部分述於以下各節。 參知第1圖,偶爾參照第1A、2A及2B圖,此種較佳脈 衝電源系統之電路圖說明如後。 習知約700伏特直流電源供應器400用來將來自市電 5 208伏特、3相電源之交流電源轉換成約700伏特直流50安培 電力。此種電源供應器4〇〇提供諧振充電單元4〇2之電力。 電源供應單元400充電大型155〇微法拉第㈣電容器排組 C -1。當指令來自外部觸發信號時,諧振充電器經由閉合指 令充電開關S1而開始充電週期。一旦開關閉合,由cq電容 10器、充電電感器L1以及C0電容器排組[其構成固體脈衝電源 系統(SSPPS)404之一部分]形成諧振電路。因此電流開始由 C-1經由L1電感器放電進入c〇,充電該電容。由於cq電容 遠比C0電容更大,故c〇之電壓於此種諧振充電過程中達到 C-1電壓初始電壓之約2倍。充電電流脈衝為半正弦波形, 15 C0電壓類似「1減餘弦」波形。 為了控制C0的終端電壓,可採用若干動作。首先指令 充電開關S1可於正常充電週期之任何時間斷開。此時,來 自C-1的電流停止流動,但已經累積於充電電感器上的電流 繼續經由飛輪二極體D3而流入C0。如此可停止任何進一步 20能量由C·1移轉至co。唯有留在充電電感器L1的能量(可能 相當大量)持續傳輸到C0,且充電C0至較高電壓。 此外’跨充電電感器之迪金開關(de-qing switch)S2可 閉路,因而有效短路充電電感器且「迪金」諧振電路。如 此大致上由諧振電路移開電感器,且防止任何電感器之電 16 1222248 流繼續充電co。然後電感器之電流由負載分路且被捕捉於 回路,該回路係由充電電感器L1、迪金開關S2及迪金二極 體D4組成。由於IGBT有反相逆平行二極體含括於正常傳導 反向電流的裝置,故二極體D4係含括於該電路。結果二極 5 體D4遮斷此種反向電流,否則該反向電流將於充電週期期 間分路繞過充電電感器。最後「分流」或分路開關及串聯 電阻器(二者皆未顯示於本較佳具體實施例)一旦於充電週 期完全完成時,可用來由C0放電,俾達成C0電壓之極端微 調0 1〇 直流電源供應器為208伏特、90安培、交流輸入、800 伏特、50安培、直流輸出調節式電壓電源供應器,供應商 環球福左尼克(Universal Voltronics)、7Γ /EMI、凱撒(Kaiser) 系統公司、索倫森(Sorensen)公司等。第二具體實施例可使 用串聯及/或並聯組合之複數個較低功率電源供應器,俾對 15系統提供總電壓、電流及平均電力需求。諳振充電器402之 C-1電容器係由兩部450伏特直流、3100微法拉第電解電容 器串聯連結組成。結果所得的電容於900伏特額定電壓為 1550微法拉第,於典型700-800伏特操作範圍提供足夠邊 際。此種電容器可得自例如史伯革(SpragUe)、馬洛里 20 (Mall〇ry)、亞洛福克斯(Aerovox)供應商。該具體實施例之 指令充電開關S1以及輸出串聯開關S3為120伏特、300安培 IGBT開關。開關的實際零組件編號係得自包爾力司 (Powerex)公司之CM300HA-24H。迪金開關S2為1700伏特、 400安培IGBT開關,也得自包爾力司公司零組件編號 17 1222248 CM400HA-34H。充電電感器L1為依照客戶需求訂製的電减 器,兩組里茲線(Litz wire)平行繞線(各20匝)繞線於螺旋管 形50-50% NiFe帶狀捲繞中心組成,有兩個1/8吋氣隙,結果 獲得之電感約為140 μΗ。國家阿諾公司(National Arnold)提 5 供特殊中心。其它具體實施例可利用不同的磁性材料作為 中心,包括莫里帕碼洛伊(Molypermaloy)、麥特葛萊斯 (Metglas)等。串聯二極體、迪金二極體及飛輪二極體皆為 得自包爾力司公司零組件編號R6221430PS之1400伏特、3〇〇 安培二極體。 10 一旦諧振充電器402充電C0,於諧振充電器的控制單元 (圖中未顯示)產生一觸發信號,該觸發信號觸發IGBT開關 S4為閉路。雖然示意圖中(為求清晰)只顯示一個IGBT,但 IGBT開關S4係由八個並聯IGBT組成,用來放電C0至C1。 來自co電容器之電流隨後經*IGBT放電,放電入第一磁性 15開關LS1。有足夠伏特-秒提供於此種磁性開關設計,於放 電電路有實質電流積聚之前,允許全部8個並聯IGBT皆完 全變成導通(換言之閉路)。閉路之後,產生主電流脈衝,用 來將能量由C0傳入C1。由C0傳入C1的時間典型約5微秒, LSI之飽和電感約為230 nH。當ci的電壓積聚至全部期望 20電壓時,第二磁性開關1^2的伏特·秒流出,該開關飽和, 傳輸C1能量至1:4脈衝變壓器4〇6(容後詳述)。變壓器基本上 係由三個一匝一次「繞線」並聯連結以及單一二次「繞線」 組成。二次導體繫至一次繞線的高電壓端子,結果升高比 變成1:4,而非自動變壓器組態的1:3。然後二次「繞線」繫 18 似248 至C2電容器排組,C2電容器排組隨後藉傳輸來自C1的能量 (經由脈衝變壓器傳輸)充電。由C1至C2之傳輸時間約為500 奈秒,LS2之飽和電感約為2·3 nH。電壓積聚於C2時,達成 第三磁性開關LS3之伏特-秒乘積,開關LS3也飽和,傳輸 5 c2電壓給陽極8a,如第14A圖及第14B圖所示。LS3之飽和 電感約為1.5 nH。 第1圖顯示於408的偏壓電路也用來適當偏壓三個磁性 開關。來自偏壓電源供應器VI之電流通過磁開關LS3。然 後分流,部分電流流經偏壓電感器L5,且流回偏壓電源供 10應器VI。其餘電流流經脈衝變壓器二次繞線,然後流經磁 開關LS2及LSI以及偏壓電感器L3,而返回偏壓電源供應器 VI。偏壓電感器L2提供電流通過脈衝變壓器一次電路至接 地返回電源供應器的路徑。偏壓電感器L3及L5於SSPPS脈 衝期間也提供電壓隔離,原因在於偏壓電源供應器VI係與 15地電位閉路操作(與SSPPS產生的電位相反,此處做出偏壓 連結)。 CO、C1及C2電容係由多個並聯聚丙烯膜電容器安裝於 印刷電路板上帶有厚(6-10盘司)銅鍍覆層組成。印刷電路板 為楔形,因此四片印刷電路板組成一圓柱形電容器座,該 20電容器座對圓柱形匯流排饋送高電壓連結及接地連結。藉 此方式,形成低電導連結,其對脈衝壓縮以及DPF本身的 電漿撮取穩定性二者皆相當重要。C0及C1的總電容為21.6 微法拉第,而C2的總電容為1·33微法拉第。C0及C1電容器 為0.1微法拉第、1600伏特電容器,得自德國威馬(wima)公 19 1222248 司或北卡羅萊那州維雪羅得思坦(Vishay Roederstein)供應 商。C2電容由電容器的三個區段串聯堆疊組成,俾達成總 額定電壓,脈衝變壓器二次電路的電壓約為5千伏特。C2 電谷器為0.01微法拉第、2000伏特直流元件,也是得自威 5馬公司或維雪羅得思坦公司。SSPPS開關為1400伏特、1000 安培IGBT開關。實際零組件編號為得自包爾力司公司之 CM1000HA-28H。如前文說明,8個並聯IGBT開關用來將 C0放電至C1。SSPPS串聯二極體皆為1400伏特、3〇〇安培二 極體,得自包爾力司公司零組件編號R622143()。兩種二極 10體用於各個IGBT開關,共獲得16個並聯元件。 磁開關LSI為一客戶需求訂製的電感器,係由16組里茲 線平行繞線(各6匝)繞於螺旋管鐵氧體鐵心組成。特殊鐵心 係由紐澤西州陶瓷磁鐵公司供應,係由CN_2〇鐵氧體材料製 成。螺旋官厚0.5对’内部直徑5 〇忖,外部直徑8 〇忖。磁 15開關LS2為料螺旋管電感器。磁鐵心係使用寬2忖厚0.7密 耳之2605-S3A麥特葛萊斯得自漢寧威爾(H〇neywell)公司帶 狀捲繞於S·875对外徑心軸,該麥特葛萊斯帶有〇1密耳厚米 勒_ar)捲繞於各層間至外徑為⑽何。磁開關LS3也是 單&螺方疋官電感器。磁鐵心係使用寬i对厚〇·7密耳之 2〇細5擺麥特葛萊斯得自漢寧威爾公司帶狀捲繞於9.5对 外徑心軸,該麥特葛萊斯帶有〇·1密耳厚米勒捲繞於各層間 至外徑為10.94忖。 脈衝變壓賴示於4G6,也顯示於第湖,共有三個變 壓器鐵心。三個變壓器鐵心各自係使用寬W厚0.7密耳之 20 1222248 2605-S3A麥特葛萊斯得自漢寧威爾公司帶狀捲繞於12·8吋 外徑心轴422,該麥特葛萊斯帶有〇1密耳厚米勒捲繞於各 層間至外徑為14.65吋。三個鐵心418各自為環形,内徑12.8 吋,外徑約14吋,高1吋。第1Α圖為轴向剖面草圖,顯示三 5 個鐵心以及一次及二次「繞線」的實體配置。一次繞線實 際上各自係由兩個圓環420Α及420Β螺栓至心轴422及桿狀 隔件424組成。二次「繞線」係由48個圓形隔開的螺栓426 組成。變壓器的操作原理類似直線加速器如美國專利第 5,142,166號所述。三個一次「繞線」之高電壓電流脈衝誘 10 生二次「繞線」電壓升高約略等於一次電壓。結果為二次 繞線(換言之桿426)產生的電壓等於一次電壓脈衝的三倍。 但因二次繞線的低電壓端係繫於一次繞線,故此種「自動 變壓器」組態可提供四倍變壓。 偏壓電感器L3及L4皆為螺旋管電感器捲繞於莫里帕碼 15 洛伊磁鐵心上。特定鐵心尺寸高0.8吋,内徑3.094吋及外徑 5.218吋。鐵心之零組件編號為得自阿諾組之a-430026-2。 電感器13有90匝12 AWG線捲繞於螺旋管獲得電感約7·3 mH,L4有140匝12 AWG線捲繞於其上,電感約18mH。偏 壓電感器L6只有16匝12 AWG線捲繞成6吋直徑。偏壓電感 20器L4為30匝12 AWG線捲繞成6吋直徑。偏壓電感器L2為8 匝12 AWG線捲繞成6吋直徑。電阻器R1為20個並聯電阻器 陣列,各個電阻器為27歐姆、2瓦碳組成物電阻器。 極性 本發明之較佳具體實施例中,第1圖顯示之電流提供正 21 1222248 高電壓脈衝給中心電極8A,如第2圖、第2B1圖及第2B2圖 所示。各初始脈衝各部分之電流流動方向分別以箭頭 409A、409B及409C顯示,流經變壓器406之一次端以及二 次端以及流經電極間。(讀者須注意電子流動方向係與電流 5流動方向相反)。讀者也須注意於各脈衝之後述部分,電流 實際上反相,如第1B圖之執跡409D所示,故C2電壓升高至 約+4千伏特,然後升高至約零。 反極性 於先前技術緊密電漿聚焦裝置,中心電極典型係組配 10為陽極,周圍有電極環繞組配成為陰極。如此第2B圖所示 具體貫施例之電極極性係符合本先前技術之電極極性。先 前技術已知反相電極極性;但結果導致效能實質上減低。 (例如參考G· Decker等人,「解決電漿聚焦極性謎題之實 驗」’物理學函件,第89a卷,第8期,1982年6月7曰)。 15 申睛人已經於本發明之較佳具體實施例驗證經由反相 緊雄、電漿聚焦裝置之電極極性可獲得卓越效能。為了達成 此項目的,申請人修改第1圖所示電路,獲得第1〇圖所示電 路。第1圖電路之基本設計讓此項任務相對容易。直流電源 供應器400之連結切換,開關81、82、幻及討反相,二極體 2〇 D1、D2、D3及D4反相。偏壓電源供應器¥1的極性也反相。 結果各脈衝之初電流係於第1D圖之409A、409B及409C所示 方向。如此圖中(包括第2B2圖)所示中心電極8A最初充電為 陰性,本具體實施例之最初電流係由地電極流至中心電 極8A。電子流為反向,亦即電子流由中心電極8人流至周圍 22 1222248 電極8B。反相極性之另一項技術係修改脈衝變壓器設計, 消除變壓器之「映射成」方面。換言之將低電壓端連結至 地電位’而非連結至一次高電壓。如此單純經由改變脈衝 變壓器的二次引線即可逆轉極性。如此當然表示,此種情 5況下電壓只有3因數增加而非4因數。但為了補償,可增加 另——次區段。 申請人實驗證實由此種極性變化可獲得若干出乎意外 的改良。主要改良為前置游離要求大減,甚至可完全消除。 申請人相信效能的改善係來自於電極8A頂中空部之中空陰 10極型效應,如第2A圖所示。根據申請人的測量,於各種條 件下’撮取的品質優於以正中心電極極性產生的撮取品 質。申請人估計EUV輸出的增高可能高達約2之因數。 能量回收 為了改良整體效率,***緊密電漿聚焦裝置以逐_ 15 脈衝基準,提供由電路放電部反射的電脈衝能之能量回 收。於後文將參照第1圖說明能量回收。 於放電C2被驅動為負之後。出現此種情況時,LS2對 C1流至C2的電流已經飽和。如此替代有能量留在裝置(容易 造成電極的溶蝕),LS2的飽和態造成C2反向充電共振移轉 20 回C1。此種移轉係由流經LS2之連續前向電流達成。於電 荷由C2移轉至C1後,C1比C0(此時約為地電位)具有負電 位’(如同LS2之例),LSI因脈衝剛發生的大電流流動繼續 前向傳導。結果由C0至C1的電流造成C1的電位高於約地電 位,於C0產生負電位。 23 1222248 項者須注意此種反向能量移轉為c〇唯有在全部可飽和 電感器(LS1、LS2及LS3)維持前向導通直到全部或實質上全 部月&篁皆回收於C0為止方屬可能。於廢能傳回c〇後,c〇相 對於其最初儲存的電荷為負。此時開關以係由脈衝電源控 5制器開啟。反相電路包含電感器以及固態二極體D3輛合至 地電位,由於諸振自由飛輪結果,造成co極性的逆轉(亦即 L1-c〇電路循環的半週期相對於電感器L1電流被二極體D3 逆轉所夾緊,淨結果為能量藉C〇的部分再充電而被回收)。 因此可能造成電極溶餘的能量被回收,而減少隨後脈衝的 10 充電需求。 輸出開關之重要性 如第1圖及第1D圖所示,本發明所述脈衝電源系統有輸 f開關執行數項功能。此種開_中之⑶)為可飽和電感 益’發明人將此種開關稱作為磁性開關。如前文說明,磁 Η性開關係藉偏壓電路施加偏壓,因此於各脈衝起點最初 於各脈衝開始時停止電流流動,直到電感器飽和,此時電 流流動約刚奈秒,隨後偏壓電流再度對開關施加偏廢,然 後開始次一脈衝,該脈衝為5千赫茲(舉例)出現於約微秒 後。此種開關對於讓光源以高重複率適當操作極為重要。 20雖然某些EUV光源已經發展出不含此種開關,作盆於高重 複率的操作在輸出能上可能出差錯。此種情況下能量儲存 電容益與EUV來源負賴不存在有任㈣關。問題在於最 末脈衝與脈衝施加至能量儲存電容器準備次一脈衝時間之 間的短時間,光源負載無法完全回收。於5千赫兹重複率, 24 1222248 輪出脈衝間只有200微秒。使用多種其它光源設計,此種脈 衝間時間之一大部分可能需要用來充電能量儲存電容器。 如此造成最末脈衝產生與跨電容器施加初電壓間(也跨負 載施加電壓,原因在於二者間並不存在有輸出開關來隔開 5 二者)之時間又更短。此種時間太短,造成來自最末脈衝的 電漿無法冷卻且被回收時也有問題(預期將停止次一脈衡 電壓的施加)。結果當回收不充分時,光源可能於低於正常 電壓過早崩潰。由於崩潰過程本質上為統計數字,崩潰電 壓也有寬廣變化,結果導致來源輸出EUV能量有重大改 10 變。如此造成微影術應用上之顯著問題,原因在於能量穩 定性及劑量的控制乃製程控制上極為重要的參數。 本發明之輸出開關LS3之優點為可發揮數種功能,有助 於免除此項過早負載崩潰的問題。正常脈衝產生時,LS3 開關係作為磁開關及二極體,來防止電流逆轉流經負載。 15結果未被負載吸收的任何能量皆被反射回初儲存電容器 C0,於此處能量被回收且儲存供次一脈衝使用(如前文就能 量回收乙節之說明)。藉此方式,於主脈衝產生後,能量快 速由負載移開,因此不允許連續振盪至能量最終完全耗散 於負載電漿。如此有助於減少能量的沉積於負載電漿,於 20主脈衝產生且EUV輸出後儘可能快速開始回收過程。此 外,LS3輸出開關提供最末能量儲存電容器與來源負載間的 隔離,允許來源負載有額外時間回收,隨後才產生次一脈 衝。此種開關允許最末能量儲存電容器C2(發明人稱作為放 電電容器)於能量回收過程完成後,一旦LS3開關逆轉偏壓 25 時即開始充電。偏壓電路(包括偏壓電感器L4及偏壓電源供 應器VI)之設計可發展出,俾允許LS3以足夠時間回收,供 以至少5千赫茲之重複率充電次一脈衝產生順序之C2。因此 LS3開關最初被反相偏壓(並非於前向方向傳導_朝向負 5載),直到飽和(當C2電壓達到最大值)。然後開關讓能量由 C2傳輸入負載,維持前向傳導,至能量回收週期完成,反 射能被回收一路返回至C0為止。此段時間後,來自偏壓電 路的能量施加至主脈衝壓縮電路,再度經由逆轉LS3開關的 偏壓而完成循環。一旦完成循環,C2充電可再度進行,而 1〇無負載過早崩潰的問題(因LS3開關現在可將C2電壓與負載 隔離)。 由於EUV光源的重複率最終必須一路延伸至1〇千赫 茲,俾滿足EUV的光源功率需求。由於脈衝間的時間變成 遠更縮短,故此項議題變得愈來愈重要。 15 第1B及1C圖顯示***電漿撮取原型裝置之試驗結 果第1B圖顯示電各器C2以及跨電極之脈衝幵》狀,第⑴圖 顯示使用氣作為活性氣體,測量得之光二極體信號。 高溫電放電EUV X-光裝置 前文說明之高重複率、可靠、使用壽命長之脈衝電源 2〇系統可用來產生〶電壓脈衝給多種極端紫外光或X光裝 置。此等系統包括第2A圖所示緊密電聚聚焦裝置、第沈圖 所不習知X撮取裝置、第2C圖所示中空陰極z-撮取裝置以 及第2D圖所示毛細放電裂置。各種情況下,光源以稱作「z」 方向之軸線為中心概略呈對稱。因此理由故,此等光源特 26 1222248 別為前三種光源俗_「z」撮取光源。 緊密電漿聚焦 緊聚焦EUV|置的主要特色顯示於第2賴。包 括陽極8A、陰極8B及絕緣體叱以及高電壓脈衝電源8D。此 5種情況下當施加高電壓時,沿絕緣體8C外表面,陰極與陽 極間開始放電。高電漿電流產生的聚焦,迫使«向上, 然後向内,而形成極熱的電浆撮,恰高於陽極中心上方。 則文對第1圖所示脈衝電源系統討論之參數係特別對 此種光源選用,來產生約5,_伏特之12焦耳脈衝,脈衝時 10間約為100奈秒至約5〇0奈秒。較佳設置前置游離器(可為火 星間隙游離器),細節如美國專利申請案第〇9/69〇,〇84號說 明,該案以引用方式併入此處。 第2A(1)圖顯示申請人實際建立且測試的***電漿 撮取EUV光源部分剖面圖,該光源結合第1圖所示脈衝電源 15系統。前文說明之多個電力元件標示於第2A(1)圖。第2A(2) 圖為裝置之電極區之分解視圖,顯示陽極8A、陰極8B以及 火星間隙前置游離器138之進一步細節。第2A(3)圖為第四 代裝置之略圖,顯示第2A(1)圖所示多種電力元件,也顯示 真空3。 2〇 習知Z-撮取 習知Z-撮取光源顯示於第3圖。此種情況下,放電係始 於陽極與陰極間順著絕緣體9C之内側面。高電流產生的力 迫使電漿朝向絕緣體9C形成的圓柱體容積中心,且造成電 漿的撮取,接近該容積上端溫度極高。 27 1222248 第1圖所示脈衝電源電路(帶有前文說明之各個元件)也 適用於習知z-撮取設計具體實施例,但熟諳技藝人士可做 出變化來協調脈衝電源電力元件參數與Z-撮取之特定設計 參數。例如若5,000脈衝為較佳,容易單純使用第丨圖所示 5 基本電路達成,但於脈衝變壓器406帶有額外一匝的一次繞 線。採用此項設計,前置游離器通常係供於各脈衝起點輔 助引發電漿。此種前置游離器可為火星間隙前置游離器或 其它前置游離器來源,且通常係由分開的電源(圖中未顯示) 供電。 10 中空陰極Z-撮取 第2C圖所示中空陰極厶撮取極為類似習知z-撮取。差 異在於陰極被組配成可產生低於圓柱形絕緣體下方的中 * » 空。此項設計可免除前置游離器的需求,原因在於當高電 壓升高至夠高位準時,於各個脈衝起點於中空區9E頂部自 15然產生極為大量的離子和電子。因此理由故,此種設計無 需高電壓開關來引發放電。此種放電稱作為自我引發。 當使用第1圖所示電源供應器來提供此種設計之脈衝 功率時,最末可飽和電感器L53可免除,或其值可實質減 低,原因在於陰極中空區的電漿發展可用作為飽和電感器 l53的相同用途,停止放電直到尖峰電容器C2充分被充電為 土,隨後允許電流實質上無障礙地流動。 中空陰極Z-撮取可設計成比前兩種設計顯著更高脈衝 電壓。此點對第1圖所示電源供應器不成問題。單純經由將 變廢器406的一匝一次繞線數目由3增加至9,容易提供 28 1222248 10,000伏特的放電脈衝。 毛細放電 習知毛細放電EUV光源之略圖顯示於第2D圖。此項設 計中’由陰極與陽極間高電壓放電形成電漿壓縮係經由迫 5使電漿流經在毛細管達成,毛細管直徑典型為約0.5毫米至 4毫米。此種情況下,脈衝時間約為〇·5微秒至4微秒,比較 第2、3及4圖所示具體實施例之約100奈秒至約5〇〇奈秒。此 外’脈衝電壓典型實質較低,例如約1500伏特。但該脈衝 電源系統提供絕佳電力電源而極少需要修改。單純修改係 10去除磁力壓縮的最末步驟,該步驟係藉留下C2電容器排組 及LS3可飽和電感器達成。經由脈衝變壓器406之繞線由一 減至三,尖峰脈衝電壓可降至2,〇〇〇伏特;或可去除變壓 器’初充電電壓增高而提供數微秒之電脈衝以及約15〇0伏 特之尖峰電壓。 15 雷射產生電漿 如本說明書之先前技術節所述,先前技術產生極端紫 外光或軟X光之技術係使用短脈衝雷射來產生極熱電漿,類 似前文於電漿撮取產生的電漿。先前技術典型利用固態雷 射例如Q-切換Nd-YAG雷射使用二極體雷射(或閃光燈)泵 20 送,而產生極高功率之奈秒或微微秒雷射脈衝,該雷射脈 衝聚焦於目標材料,該目標材料可與前文作為活性材料之 目標材料相同,例如鋰及錫其產生碎屑、或氙其不產生碎 屑。若干先前技術光源述於美國專利第5,668,848、5,539,764 及5,434,875號,各案皆以引用方式併入此處。先前技術專 29 1222248 利案教示使用Nd-YAG雷射用來產生電漿以及使用Nd_YAG 播種XeCl準分子前置放大器或XeC1準分子放大器,用來產 生高功率(例如lxl〇u瓦特)極短脈衝雷射束,供於目標材料 產生電漿。也曾經提議其它雷射系統(包括準分子雷射系統) 5用來產生X光(例如參考Μ· Chaker等人,應用物理期刊,幻, 892 (1988) ’ R. Popu等人,物理綜論,a %,3㈣(⑽7); 以及F_ O’Neill等人,SP_事錄,83卜23〇(衝))。申請 人判疋申》月人發展電漿聚焦裝置時所發展出的多種新賴特 色應用於雷射產生㈣,恰如同第2A_D圖所示由各種撮取 10裝置產生電漿同等良好。 申明人的雇主是全美以及國際積體電路微影術的準分 子雷射光源之大供應商。雷射為於248奈米操作的K神分 :雷射以及於193奈米操作的ArF雷射。此等雷射極為可 靠,典型可每日操作24小時,每年操作365日,開機時間平 15均高於"%。過去數年間,雷射的脈衝重複率已經由1990 年之約_赫兹增加至则年之侧赫兹。#射的平均功率 已經由測年m瓦增加至細3年之約12〇瓦。脈衝時間約 為20奈秒,目前脈衝能約為3〇毫焦耳。提高雷射重複率至 6,000赫兹至10,000赫兹之技術述於美國專利題π,〗%,該 20 案也併入此處以供參考。 申請人相同目前用作為248奈米及193奈米的領先微影 術光源之準分子雷射系統適合產生於^至14奈米範圍之極 端紫外光。此等雷射系統例如述於下列美國專利案及專利 申請案⑷丨財式併人此處):美國專利案:6,即23; 30 1222248 6,330,261、6,442,181、6,477,193以及美國專利巾請案: 09/854,097、09/943,343、1〇/〇ΐ2,〇〇2、1〇/〇36,676 及 10/384,967。 於雷射產生電漿光源,雷射能係由反相布倫斯左龍 5 (BremsstrahlunS)機構吸收。因準分子雷射之波長較短,準 分子雷射比來自(頻率加倍的)固態雷射之近紅外線雷射或 可見光雷射,可更有效輕合能量至目標電衆。(電漿頻率以 及臨界密度於果送雷射之較短波長為較高)。因準分子雷射 之波長較紐,準分子雷射比較長波長的雷射(例如固態雷 10⑴(若有所需)可更緊密聚焦於(繞線限制之)點大小。如此提 s、…原的功率谂度。準分子雷射須為西莫雷射,原 T在於西莫雷射為全球最可靠的雷射。若有所需,若干準 刀子田射可組合於一點。如此允許功率規模的放大。 i5 a &一種或數種準分子雷射束緊密聚焦於真空 室内侧的 1氣:^或固態)目標來產生熱雷射產生的錢。當使用 ☆、目払材料,且電漿到達正確平均電子溫度時可有效產 ,= 13·5奈米之EUV射線。適當目標材料為氙、錫及锂。 =就碎屬產生較少而言為其優點。不幸,氤並非於13.5奈 2〇 的最有效目標,特別並非雷射產生電衆的最有效目標。 =於、、々11奈米產生射線遠較為有效。最佳目標組態之一為 轉體噴射氤’電漿可於距離喷嘴的相當大距離產生。錫就 纟、、文率而έ有其優點,由於多種游離階段可同時促成於 丄5不米的4d-4f發光。若干使用對應14奈米及14奈米以 射線頻帶時銦為難。(製造之多層鏡只有略低尖峰反射 31 頻寬°因此可獲得較高整合—體之合規頻帶 強度要有財發_率的光_,料較佳,原因 在於^於13.5奈米的窄線可有效發光。若使用金屬作為雷 射^則車又佳使用小空腔來約束。液體金屬目標(炼融 ^姻或鐘)於麵細恆定重複率操作時可提供高目標密 度以及再現性目標條件之可能。(將形成凹坑,但於各雷射 脈衝間將叫定重複率動態出現某種相當蚊的形狀)。 10 15 20 準分子果送雷射較佳係以氟化氪於248奈米操作,此乃 最核的準分子雷射,衫«焦光學上㈣光學問題較 :厫重。由於需要極高輸出功率,故準分子雷射較佳係以 寬頻以MOM組態操作。由於雷射必須匹配電漿擴充時間, 故雷射脈衝時間必須極短(數奈秒,例如約20奈秒)。尖峰功 t高。較佳具體實施财,雷射仙1〇千職或更高的重 複率至少高於5千赫兹之重複率操作。為了提高有效重複 率,可於該間隔以數種不同時間組合數種雷射。大部分也 是依據目標組態以及目標材料的補充速率決定。較佳有經 過調整的雷射脈衝人射於目標。較佳具體實施例中,例如 由準=子雷射錄H產生的前置脈衝部分(可能繞過功率 放U ’來縮短至目標的行進時間)含高達總雷射能的數個 百刀點,首細達目標而形成前置電襞。此種前置電聚遠 更有政吸收主雷射脈衝1置也可使用不同的或許較 小的功率雷射達成。 雷射束最佳係將聚焦光學裝置恰安裝於真空窗前方或 後方來聚焦。目的係為了達成小於約刚微米直徑的焦點。 32 1222248 焦點大小至某種程度而言係依據雷射脈衝時間(10至30奈 移)決疋,因電漿的膨脹速率也須列入考慮。簡言之,雷射 脈衝時間須触,且焦點大小須夠小,俾維持主加熱期間, 大部分電漿緊密結合在一起。典型膨脹時間約為每奈秒 5 10_100 微米。 則述準分子雷射專利案及專利申請案詳細說明之雷射 系統產生極乍線脈衝雷射束,線狹窄至約0·5微微米或以 下。如此可聚焦至四分之一微米焦點。但此等雷射系統可 於寬頻操作,其中輸出脈衝雷射束頻寬對KrF雷射而言約為 10 35奈米,線中心位於約奈米。寬頻操作可實質提高輸出 射束能。例如專利申請案10/384,967所述該型尺斤]^〇1>八系 統可產生330毫焦耳脈衝(比較3〇毫焦耳線窄化脈衝)。2〇奈 秒脈衝之瞬間脈衝功率約為165χ1〇6瓦。勞倫斯立福莫 (LaWrence Livermore)實驗室所作實驗(應用物理期刊 15 79(5):丨996年3月),使用Nd/YAG雷射,最大EUV輸出出現 於雷射強度2x10"瓦/平方厘米。最大轉換效率(Euv能輸出 /雷射能輸出)出現於約2x10"瓦/平方厘米。實驗指出相對於 波長變化結果的改變並不大。實驗的脈衝時間與申請人雇 主之準分子雷射的20奈秒脈衝並無重大差異。因此對 20 165χ1()0瓦脈衝而言,申請人偏好焦點大小約為〇·ι平方毫 米,提供強度約1·6χ10·η瓦/平方厘米,該強度係介於最大 效率與最大輸出間。 雷射脈衝能約為330毫焦耳,故於轉換效率約為0 006 時,EUV脈衝能約為2毫焦耳/脈衝。於6〇〇〇赫茲,如此對 33 1222248 應於產生約12瓦EUV。可收集約2〇%此種光線且輸送至中 間焦點,例如第丨9圖之位置11,且係採用此處所述技術。 故由準分子雷射產生的電聚輸送至焦點之平均合規頻帶 EUV功率約為2.4瓦。兩項系統的組合可產生約5瓦。就某 5 些應用用途而言如此即足。 申凊人曹經被告知未來的EUV微影術機器希望guy光 源約為45瓦至約100瓦於中間焦點’例如第19圖位置11。4曰 此項要求約在未來數年(至少5年),且該項要求係依據對應 被衫術系統的發展決疋’須發展出可處理1 〇〇瓦範圍的Ευν 10功率。由於預期準分子雷射比固態雷射驅動器於丨·^微米 可更有效麵合能量至電漿(較短波長,較高臨界密度),故比 較先刚技術NdYAG雷射,準分子雷射產生之電聚轉化效率 較高。 約須10千瓦雷射功率來產生於微影術工具中間焦點約 15 100瓦之EUV功率。使用預期轉換效率的改良,各個KrF模 組(於248奈米寬頻操作)預期可提供約1至12千瓦雷射功率 (例如6千赫茲重複率於200毫焦耳/脈衝操作)。共九個模組 將輸送所需雷射功率。特別於來源將產生超過2〇〇瓦合規頻 帶EUV射線(2%頻寬成為2π),可收集約10〇瓦合規頻帶EUv 20 且輸送至中間焦點。 有不同方式來組合雷射束(多工化)。雷射束可藉鏡作光 學重疊(接近光學重疊),雷射束可經由相同透鏡但方向略有 不同而聚焦於同一個焦點。雷射束也可以晃動方式觸發, 讓有效重複率增高,但目標之補充夠快速,故可維持高重 34 複率。例如使用三個雷射系統讓重複率增加三倍至約18千 赫茲似乎可行。 第4圖顯示一具體實施例,此處來自若干雷射模組之雷 射束可針對聚焦透鏡的不同部分,於空間上重疊於共通焦 5點,該焦點係對應於雷射產生電漿的所在位置。發射之EUV 射線於寬廣角度範圍係藉多層塗覆之第一收集鏡收集,且 被導向至中間焦點。 第4A圖顯示另一具體實施例,此處來自數個雷射模組 之雷射束重疊於一共通雷射焦點,對數個雷射束使用分開 10 的聚焦光學裝置。雷射射線可經由第一收集鏡的數個開口 聚集。本具體實施例使用下述事實:雷射電漿產生的EUV 射線有角度分佈,該角度分佈至某種程度尖峰係位於入射 之雷射束方向(於雷射束方向之正交角度較為微弱)。本具體 實施例中,最強發光區未被雷射束輸送裝置要求的空間所 15 遮斷。 目標輸送 雷射電漿的較佳目標是所謂的質量限制目標(只提供 雷射產生電漿需要的正確用量’不提供更大量’以免不必 要碎屑產量過多)。對氙而言較佳目標技術為細小液體喷 2〇射。使用耐溶蝕喷嘴,也可採用叢集射束目標及喷霧目標。 對金屬(錫及銦)而言,液態金屬滴浸沒於氦射束内為適當。 安裝於頂端的喷嘴,以及安裝於下方之目標射束構成適當 系統。參考第4B圖。面對電漿表面類似碳或鑽石塗層可藉 導熱薄膜塗覆來減少溶蝕,原因在於如此可減少離子濺鍍。 35 1222248 雷射電漿支援之EUV撮取 雷射電漿源之優點為高光源亮度(光源容積小)、無溶 姓、碎屑產生少。缺點為擁有成本高以及總能量轉換平衡 無效。放電電源之優點為電能直接耦合至撮取電漿且簡 5 單。缺點為電極溶蝕以及碎屑產量高,以及熱管理問題。 雷射束及雷射電漿用來界定電漿幾何、放電路徑及電 漿撮取位置。此項配置讓電極至電漿焦點的距離比純放電 電源的距離更大。如此降低電極表面的功率密度(因電極表 面面積大),如此也減少電極溶蝕、碎屑產生以及熱管理風 10 險。它方面,主功率輸入係由低電感放電提供。如此比較 純雷射電漿源,有遠更有效之能量耦合至電漿。電極的配 置比習知Z-撮取更加呈球形。此種電極配置以及雷射電漿 的引發提高光源穩定度。前置游離、雷射電漿產生以及主 撮取電漿產生之時序對EUV射線之最佳化產生提供額外控 15 制。 該裝置主要為放電產生EUV光源,其具有雷射_電漿支 援放電引發的額外效果。電極可連結至目前用於(以及未來 用於)DPF機器的相同脈衝電源系統。(1〇焦耳至2〇焦耳輸送 脈衝能,30-100奈秒脈衝長度,重複率若干千赫茲,尖峰 〇電壓右干千伏特,尖峰電流數十千安培)。内部電極可充電 為正或充電為負。外電極位於地電位。如第4c圖所示,電 極排列與DPF排列略有不同。(水冷式)電極較大,涉及放電 的電極表面較大。約為30至50平方厘米。電極間有絕緣體 盤來防止沿直接視線放電。 36 1222248 有種别置游離手段,例如透過RF線圈之脈衝化Rp前 置游離。於軸線上傳播之脈衝化雷射束(準分子雷射或固態 雷射)由來焦光學裝置聚焦於排列中心,至直徑約100微米 的焦點。雷射可為KrF寬頻準分子雷射,帶有1〇〇毫焦耳至 5测毫焦耳脈衝能,約10至15奈秒脈衝長度以及若干千赫兹 重複率。也有數個雷射束聚焦於排列中心的一個共通點。 目心氣體氙或氙與氦之混合物係由内部電極内侧***,藉 真空幫浦泵送去除。典型操作壓力為丨至⑴⑴托耳。放電可 於巴斯肯曲線(Pasehen eurve)左端操作。若内部電極係藉負 10高電壓脈衝化充電,則可組配成為中空陰極。 首先RF前置游離經過觸發讓低密度氣體容易分解。其 次雷射束職且產生—個有明確界限的㈣點於排列中 心。氣體由於經過前置游離故於接近雷㈣點分解。然後 施加來自脈衝壓縮電路的主放電。撮取將於軸線上於雷射 電漿點發展。藉磁自行壓縮發生撮取。雷射電聚點界限撮 取位置’增加其位置的穩定性。(若中心的電感過高,則雷 射束必須為圈餅形俾提供放電通道。必須經過實驗測試)。 雷射電漿擴大的電擊鋒將遭遇來自較強的主撮取電聚之徑 向壓縮鋒。經過撮取的電衆通道發展出,該經振取電聚通 2〇道將加熱氣體至高游離位準,將發出EUV射線。二電黎電 擊鋒之反向傳播將可有效延麵取時間,因而延長爾發 射夺、只時間。EUV射線係於各方向發射。經由外電極大型 開口發射的射線可藉補餘入射收集光學裝置收集。雷射電 黎之能量、焦點大小及雷射電黎時序將決定主撮取電浆的 37 1222248 大小。 射線集極 材料 於射線點產生的射線均勻發射成完整471球面角度。需 5要某些類型的收集光學裝置來捕捉此種射線,且導引射線 朝向微影術工具。有數種材料可供利用,對13 5奈米紫外 光於小補餘角有高反射率。若干線圖顯示於第11A圖。良好 選擇包括0至約20度範圍之鉬及铑,以及用於極小補餘入射 角的鎢。集極可由此等材料製成,但較佳材料係施用於基 1〇體結構材料如鎳上之塗層。圓錐形截面可藉電鍍鎳於活動 心軸上製備。 圓錐形巢套集極 為了製造可接收大錐角的集極,若干錐形截面可彼此 巢套。各個錐形截面採用射線的多於一次反射,來將其射 is線錐形剖面重新導向於預定方向。設計收集操作最接近補 餘入射,將獲得-種集極,其最能忍受溶餘電極材料的沉 積。鏡例如此種鏡之補餘入射反射率與鏡之表面粗度有強 力關聯。對表面粗度的相依性隨著入射角之趨近於補餘入 射而減低。申請人估計申請人之裝置可收集且導引發射之 20 13奈米射線至少經25度之立體角度。 另-具體實施例中’集極-導向器係藉碎屑集極而保護 表面不受氣化電極材料的污染,碎屬集極係於鶴蒸氣到達 集極-導向器4之前收集全部鎢蒸氣。第9圖顯示圓錐形巢套 碎屑集極5供收集來自電錄取的碎屑。碎屑集極5包含巢 38 1222248 套之錐形戴面,其表面係與由撮取位置中心㈣出且被導 向朝向集極_導向器4之光線校準。 碎屑集極收集來自鎢電極的氣化鎢及氣化鋰。碎屑集 =著於射線集極-導向器4或構成射線集極-導向器4的;; 5邠刀。兩種集極皆包含鍍鎳基體。射線集極-導向器部分4 被塗覆以鉬或铑來獲得極鬲反射率。較佳兩種集極被加熱 至約400 C,該溫度實質上高於鋰的熔點,且實質上低於鎢 熔點鋰及鎢二者之蒸氣將收集於碎屑集極5表面,但鋰將 被氣化去除且被氣化至鋰收集於集極_導向器4的程度,不 1〇久也氣化去除。鎢一旦收集於碎屑集極5上,則將永久留在 其上。 拋物面集極 第8C圖顯示申請人設計之集極的光學特性。第8A圖所 示集極包含巢套補餘入射抛物面反射鏡,但圖中只顯示五 I5個反射鏡之二。圖中未顯示兩個内部反射鏡。於此種設計, 收集角約為〇·4球面角度。如後文討論,集極表面經過塗層 且經加熱以防鋰沉積。此項設計可產生平行光束。其它較 佳設計將聚焦光束。集極較佳被塗覆以如前文說明之材 料,於第11圖繪圖,該材料於13.5奈米波長範圍具有高補餘 20 入射反射率。 擴球面鏡 另一設計成聚焦光束之集極_導向器顯示於第8B圖。此 種集極-導向器利用橢球面鏡30來聚焦EUV光源。此型鏡可 得自供應商例如立富萊斯(Reflex)S.V.O.,工廠設於捷克共 39 1222248 和國,由拜德(Bede)科學儀器公司分銷至美國,辦公室設於 英國及科羅拉多州鷹木郡。讀者須注意此種鏡只能收集第 8B圖顯示於32之角度之射線。 但鏡30内側及鏡30外側可含括其它鏡元件來收集及聚 5 焦額外射線。讀者也須注意其它鏡元件可位在鏡30下游來 收集窄角射線,或位在鏡30上游來收集廣角射線。 銜接橢球面鏡 第19圖顯示較佳集極-導向器設計用來大為改良EUV 光束側繪。此乃銜接橢球面鏡單元,其收集且導引於電漿 10 撮取產生的EUV射線。 於大部分微影術用途,目標區必需均一曝光。第2A圖 所示該型單一或巢套橢球面鏡用來收集且重新聚焦EUV射 線,產生第2A圖所示焦點11上游及下游的極為均勻的射線 環圈。此乃橢球面集極幾何自然產生的效應。鏡正面收集 15 的每單位鏡表面積來源射線立體角度比鏡背面收集的角度 更大。此種效應可使用第19圖所示第二橢球面鏡44銜接第 一鏡42逆轉。(本具體實施例中,使用單一橢球面鏡,而未 使用第二巢套橢球面鏡)。第二橢球面鏡44為第一橢球面鏡 42以第一鏡的第二焦點為中心「反映的」鏡像。如此將第 20 二橢球面鏡置於第一橢球面鏡的同一軸上,讓其第一焦點 係位在第一鏡的第二焦點。於此種銜接橢球面鏡案例,離 開第二鏡第二焦點之射線為環形,但該環内部的射線均 勻。現在,曝光均勻度變成橢球面鏡表面數據之函數,而 非橢球面鏡特有收集幾何之函數。 40 1222248 分析 銜接橢球面鏡之光學特性係由申請人使用射線追蹤碼 崔司普羅(TracePro)(麻省里多頓乂研究公司供應)分析。得 自DPF來源之EUV射線為非相干性。結果射線追縱碼可用 5來決定收集的射線性質以及離開銜接鏡的射線性質。:EUV 射線要求特殊反射面如錮或釕製成的反射面。此種分析進 行時係基於假設鏡面有完美的橢球反射鏡,射線於反射期 間未被偏極化。鏡面假設為於13.5奈米反射的純釕。光源 假設為50微米直徑圓盤,射線係由光源表面的各點各向同 1〇性發射。此種假設不妨礙銜接鏡產生均勻環形曝光區的基 本性質。 銜接橢球面鏡的幾何舉例說明於第19圖。二鏡有相同 參數。短半徑為40毫米,焦距於15〇毫米。鏡長度各別為1〇〇 耄米,且貫穿短直徑切開。該圖也顯示藉第一鏡收集的少 15數隨機射線。於第-鏡的第一焦點,離開電漿撮取源46之 射線部分被收集,且再度聚焦於第一鏡的第二焦點u。離 開焦點11的射線於距離光源46 3〇〇毫米處藉第二擴球面鏡 收集,於距離焦點11 300毫米處,再度聚焦於第二鏡之第二 焦點48。於焦點48產生光源的1:1影像。當射線離開焦點48 20時,射線發散而於距離焦點48 9毫米位置的債測器5〇,產 生環形曝光。此種環形區強度為均勻,如第19圖之崔司普 羅技術所示。主環形區❸㈣㈣在平均值之±2·5%範圍 内。申請人於镇測器50進行光束側繪圖的模擬顯示於第19 圖,該模擬比較於焦點U下游9毫米處對光束載面所做的類 41 1222248 似模擬。一側%圖之截面於第19圖比較,镇測器5〇之截面 顯示於52以及第19圖光束側繪之截面顯示於54。 製造 過去數十年來橢球面鏡的製造技術已有改良。橢球面 5 鏡表面品質已經可滿足用於EUV區的表面數據、表面粗 度、以及反射面材料等要求。已經識別四種材料可作為EUV 橢球面鏡表面材料的候選者:鉬、釕、铑及鈀。此等材料 於13.5奈米有相當高補餘入射反射率。補餘入射反射率於 相對高角度須維持高反射率,俾允許鏡收集由光源向内的 10合理立體角。理論上四種列舉的材料中以釕有最高收集效 率〇 鏡係經由一系列方法製造。首先製造心軸,其具有預 定鏡之外側數據。典型地,心軸使用鋁製造成較小大小, 然後使用含15%磷之鎳無電鍍鎳,來讓心軸尺寸變過大。 15無電鍍鎳厚約0·5毫米,全體表面藉鑽石鏃削至供應商期望 的鏡面數據,供應商例如康寧網光公司(c〇rning Netoptic),辦公室位於麻省馬波羅。如此典型留下約〇1毫 米鎳於心軸表面上。雖然此種鑽石镟削技術為極佳,但於 目前階段表面仍然不足以用作為EUV鏡。鑽石鏃削對數據 20 要求而言夠準確,包括橢球面的前後偏差及表面圓度夠準 確,但微粗度過高。鑽石镟削表面係經過拋光來降低微粗 度至小於0.5奈米RMS。無電鍍鎳高磷含量造成鎳表面硬度 故須高度拋光。於無電鍍鎳表面經過適當拋光且表面的數 據皆符合規格之後’反射面材料塗覆於心轴表面上。確切 42 塗覆表面程序係依據欲添加至表面的反射材料性質決疋。 反射塗膜設置於心軸上之後,鎳於此種表面上電成形至約 〇·5毫米厚度。沿著心軸介於心軸與電成形鎳之間施力,讓 電成形鎳由心軸移開。反射面留在電成形錄殼體上當滑動 5離開心軸鎳表面時形成鏡。帶高磷含量且經過高度拋光之 無電鍍鎳表面係作為反射面的天然離型劑。鏡已經由心轴 移開且心軸經過再度拋光之後,心軸可用來製造更多鏡而 該等鏡為確切第一鏡的拷貝翻版。 校準 10 鏡相對於光源定位以及鏡相對於彼此定位對銜接橢球 面鏡正確發揮功能而言相當重要。校準可於光學工作臺上 完成’光源係設置於DPF EUV光源的相同位置。必須利用 此等橢球面鏡的光學性質。若偵測器平面設置垂直接近第 二焦點的光軸,則小型光源(直徑50微米(舉例))可設置接近 15橢球的第一焦點。若偵測器係位在第二焦點,則影像將對 中且對稱。於決定第二焦點的軸向位置之後,偵測器陣列 可由焦點移開。現在若光源係於鏡軸之上,影像才對稱。 如此要求將光源定位於兩種空間尺寸。第一焦點的軸向位 置係經由將偵測器移動至第二焦點,然後順著鏡軸移動光 20源,至偵測器於影像中心獲得最大信號為止而予測定。 此種程序對第二鏡重複施行。二鏡已經校準之後,整 個總成必須移至DPF。固定具須適當栓緊來設置EUV光源 於第一鏡的第一焦點。定位準確度至少為DpF Euv光源有 效直徑之25%。DPF光源直徑的目前估值為⑽微米(須順機 43 1222248 器轴方向估計)。如此預期校準準確度於垂直機器軸平面為 20微米。銜接鏡之軸向校準並無特殊限制,預期約為〇·5毫 米。 微影術投射光學裝置 5 較佳具體實施例之EUV投射係設計成可將光源點映射 入投射光學裝置之入射光圈,以及將光源之遠野強度(亦即 月b畺相對於角度)映射至標線片上。需要此種設計,原因在 於入射光圈的均勻度雖然重要但不關鍵,標線片平面的均 勻度才具有關鍵性。此項設計構想探討下述事實,發光為 10各向異性,因此發光強度相對於角度為均勻。雙鏡構想可 回復此種均勻強度相對於角度性質(至少於鏡捕捉角的圓 錐範圍内係為如此)。EUV照明器呈現強度相對於角度之 「環」’打破成為片段或弧,且將弧重疊於標線片上。如此 進^改良均勻度且可於EUV系統施行,原因在於Ευν系 15統為掃描器,因此只需要於縫隙區照明。 碎屑的緩和 二鏡間的中間焦點U以及最末焦點48讓〇1^光源區與 微影術曝光區隔離。於此點,EUV射線可透過針孔,針孔 遮斷任何來源碎層或活性氣體(滲透入第-橢球鏡單元該 20區的活性氣體)到達曝光室,但不會限制EUV射線到達曝光 室。此外’小針孔允許曝光室具有比DPF操作所需遠較低 的壓力。 混成收集 基於目前可取得的反射鏡技術,只有兩類型反射鏡, 44 1222248 對此種12_14奈米EUV光提供0.7或〇·7以上的反射值。如第 11Α圖所示,少數材料提供良好補餘角反射鏡。例如光滑_ 表面之反射為約90%補餘角小於10度,但鉬的反射於補餘 角15度快速滴落至25度的低於10%。另一方面,特殊多層 5反射鏡設計成可提供於法線入射角之反射率於60%至70% 範圍,但多層反射鏡之反射率仍維持高反射率,由法線只 有5-8度,降至入射角大於約1〇至15度時小於約1〇%。其它 多層鏡可設計成於法線附近高達約20度的寬廣範圍具有約 30%反射率。使用此等目前可利用的鏡技術,申請人發展 10出多種集極設計來獲得最大收集光。其中三種設計顯示於 第11Β、11D及11Ε圖。申請人將此種集極稱作為混成集極, 原因在於此種集極利用多種收集技術。例如先前技術藉由 包括雙反彈拋物面鏡之拋物面鏡而含有巢套橢球面鏡及巢 套補餘角,大部分多層反射鏡設計為單一反彈接近法線拋 15物面設計。第11Β圖為混成集極之部分剖面圖,混成集極利 用二塗覆釕之橢球面鏡80及81以及兩面雙反彈塗覆釕之拋 物面鏡82及83來提供1500毫米焦距。第lie圖顯示鏡於光入 射角約10度至55度間之反射效率。此項設計比先前技術之 擴球设计或先刖技術之抛物面设計收集顯然更多光。申請 20人估計约25%發射的光被收集,79%收集的光被輸送至15〇〇 毫米中間焦點。如此等於20%收集效率估值。 第11D圖顯示第11Β圖集極的修改版本,其中額外抛物 面雙反射鏡84及拋物面三反射鏡85用來提高收集淨能至約 28% 〇 45 第11E圖顯示第三種混成版本,也是第11B圖集極的修 改版本,其除了二橢球反射鏡以及二反彈拋物面鏡之外, 申請人增加第三二反彈拋物面鏡86以及—補餘角曲線射線 追縱鏡87、以及_多層拋物面鏡88可反射與法線爽角約9 度,來將收集效率由約20%提高至約25%。1222248 发明 Description of the invention: H Ji Field of the invention The present invention is a continuous part of the following cases: US Application No. 5 10 / 384,967, filed on March 8, 2003, US Application No. 10 / 189,824, Application July 3, 2002, U.S. Application No. 10 / 120,655, filing date April 10, 2002, U.S. Application No. 09 / 875,719, filing date June 6, 2001, and U.S. Application No. 09 / 875,721, filed on June 6, 2001, US Application No. 10 09 / 690,084, filed on October 16, 2000; and Patent Application No. 60 / 422,8085 Tiger's filed on October 10, 2002 The rights and interests of October 31, and Patent Application No. 60 / 419,805, filed on October 18, 2002; each case is incorporated herein by reference. The present invention relates to high-energy photon sources, and particularly to highly reliable X-ray and high-energy ultraviolet light sources. 15 [Prior Art] Background of the Invention The semiconductor industry is constantly developing lithography technology, and the size of printable integrated circuits is shrinking. Such systems must have a high degree of reliability, cost-effective output, and a reasonable process range. The integrated circuit manufacturing industry was converted from mercury G-line (436 nm) and 1-line (365 nm) exposure light sources to 248 nm and 193 nm excimer laser light sources. This transition minimizes the loss of focal depth in order to require higher lithographic resolution. Integrated circuit industry demand will soon exceed the resolution of 193nm exposure light sources, so it is necessary to develop a reliable 6 exposure light source with significantly shorter wavelengths than 193nm. Excimer rays exist at 157 nm, but it is difficult to obtain optical materials with sufficient transmittance and high optical quality at such wavelengths. Therefore, a total reflection imaging system is needed. A total reflection optical system requires a smaller numerical aperture (NA) than a transmission system. The loss of resolution due to the small numerical aperture can only be compensated by shortening the wavelength by a large factor. Therefore, if the resolution of optical lithography is to be improved to a resolution exceeding 193 nm or 157 nm, a light source in the range of 10 to 20 nm is required. The optical composition of light below 157 nm is extremely limited. However, currently there are effective incidence mirrors and good reflection multilayers at normal incidence angles. This mirror can be used for light in the wavelength range of about 10 nm to 14 nm (light in this wavelength range is called The spectral range of extreme ultraviolet light, such as soft chirped light. For this reason, it is necessary to have such a range, for example, about 13. A good and reliable light source with a wavelength of 5 nm. The current state of the industry of Yueneng UV light source and X light source are plasmas made by laser beams, electrons or other particles colliding with various target materials. Oral orders & have been used, but the fragmentation formed by solid target residues has an adverse effect on the various components of the system intended for production line operation. The solution to the debris problem is to use frozen liquid or liquefied or frozen gas targets, so the debris is not plated on optical equipment. However, to date these systems have not proven to be practical for production line operations. It has been known for many years that X-rays and high-energy UV light can be generated in plasma extraction operations. In a plasma extraction, the electric current flows through the electric water in one of several possible configurations. The magnetic field generated by the electric current of the claw accelerates the electrons and ions in the plasma to become a small solvent with sufficient energy to cause the outer electrons. X-rays and high-energy ultraviolet rays are generated as a result of ion separation. A variety of prior art techniques for generating high-energy rays by focusing or extracting plasma are described in the prior art section of US Patent No. 6,452,199. Typical prior art electrofocusing devices can generate a large number of rays suitable for near-end chirping lithography, but due to the large power requirements of each pulse, the repetition rate is limited and the internal component life is short. The energy storage requirement of such a system is in the range of kilojoules to 100 kilojoules. The repetition rate typically does not exceed several pulses per second. A reliable production line system is needed to generate, collect, and direct high-energy UV and X-rays in the required wavelength range, which can operate reliably with high repetition rates and avoid the problems of debris generation in the prior art. [Summary of the Invention 3] Summary of the Invention The present invention provides a reliable and highly reproducible production line compatible high-energy photon source. Extremely hot money containing active materials in a vacuum chamber. The active material is an atomic element having luminescent rays in a desired extreme ultraviolet (Euv) wavelength range. A pulsed power source containing a charging capacitor and a magnetic circuit containing a pulsed voltage transformer provide electrical pulses that are sufficiently powerful and have a potential sufficient to produce Ευν light with an intermediate focus of more than 5 watts on a continuous basis whose ratio exceeds 5 watts, and Its ratio exceeds 20 watts on a burst basis. In the preferred embodiment of the applicant's design, the compliance frequency band and the light energy in the middle focus can be extended to 105. 8 watts. In the specific embodiment of Che Fujia, the high-energy photon source is a compact electric focusing device with coaxial electrodes, and the electrode is arranged. The inter-towel electrode is preferably a hollow ' This allows optimization of the spectral line light source and optimization of the separation of the buffer gas. In the preferred embodiment, the middle electrode is pulsed with a high-pulse electrical pulse, and the inter-electrode is used as the second cathode. The preferred embodiment is disclosed to provide an optimization of the capacitance value, the anode length, and the gaseous tongue delivery system. Special techniques describe the cold section intermediate electrode. In one embodiment, water is circulated through the hollow electrode wall. A heat pipe cooling system is described in another example to cool the intermediate electrode. The outer 4 reflection line collector-director collects the rays generated by the electric paddle and guides the rays in a predetermined direction. A good choice of mirror material is 锢,! Bar, i. In a preferred embodiment, the active material is gas, bell vapor, tin vapor; the buffer gas is nitrogen, and the ray collector is made of a material with a high supplemental incident reflectance or is coated with the material. Description of possible active materials. 〃 In a preferred embodiment, the buffer gas is helium or argon. Lithium vapor is generated by vaporizing solids to bite liquid lithium due to holes along the central electrode axis configured along the coaxial electrode. Lithium can also be provided as a solution lithium solution because alkali metals are soluble in amines. A solution of gas (NH3) is a good candidate. The clock can also be provided by a base plating method, in which the pre-free discharge is used for the dual purpose of providing lithium vapor and for the pre-free. In a preferred embodiment, the debris is collected in a cone-shaped nested debris collector, and its surface is calibrated with light extending from the picking position and directed to the ray collector_guide. The reflection line collector-guide and the conical nested chip collector can be manufactured together as one component, or the component open component can be manufactured and aligned with the picking position. This prototype device was actually constructed and tested by the applicant, converting electrical pulses (positive or negative) that store about 10 Joules of energy per pulse into about 50 millijoules of compliance band 13. 5 nm rays are emitted into a spheroidal angle. This confirms that the conversion efficiency is about 0.5%. The applicant estimates that about 20% of 50 nanojoules and 125 nanometers of rays can be collected, so it is confirmed that the energy collected by each pulse is about 10 millijoules. The applicant has confirmed 1000 Hz continuous operation and 4,000 Hz short burst operation. This confirms the 10-watt continuous output and the 40-watt burst output. Using the collection technology designed by the applicant, about half of the energy can be delivered to the middle focus distance from the plasma source. In this way, the Linkin benchmark provides at least 5 watts of compliance band EUV light at the intermediate focus, and the burst benchmark provides at least 20 watts of compliance band EUV light. Applicants have also shown that the technology shown here is applicable and can provide output in the 60 watt range at repetition rates of 5,000 Hz and above. At 2000 Hz, the pulse-to-pulse energy safety ('quasi-difference] measured was about 9.4 ° / °, and no missing pulses were seen. The circuit and operation of this prototype dpf device is provided with a number of standard modification instructions, with the intention of improving stability, efficiency, and performance. In other embodiments, the plasma can be generated in other plasma extraction devices, such as the conventional ζ-extraction device, hollow cathode Z-extraction, or capillary discharge. The plasma can be generated by a pulsed gas discharge laser beam. The pulsed power or sources are manufactured using the pulsed power system described herein, and each EUV light is preferably collected and preferably delivered to the intermediate focus using one or more of the techniques described herein. The invention provides practical implementation of EUV lithography, using a reliable high-brightness EUV light source with a light emitting characteristic that clearly matches the reflection frequency band of a molybdenum / silicon or molybdenum / beryllium mirror system. The applicant conducted tests to confirm the improved electrode configuration, in which the center electrode of the center electrode configuration is hollow and is assembled as a cathode. For this configuration, the pre-release of the hollow cathode itself is too special to require pre-release. Brief description of the drawing 1222248 Figure 8A is a perspective view of a hyperbolic collector. Fig. 8B shows a part of the Euv beam generated by the ellipsoid collector. Figure 8B shows a part of the υν beam generated by the hyperboloid collector. Figures 9, 9A, 9B, and 9C show combinations of ray collectors and debris collectors. 5 The figure below shows the multi-layer mirror spectrum of the rubidium spectrum. Figure 11A is a graph showing the reflectance of various materials to 13 5 nm UV light. Figures 11, B, C and D show the collector design. Fig. 12 is a schematic diagram showing a technique for introducing a source gas and a working gas. 10 Figure 13 is a timing diagram showing the anode voltage and EUV intensity. Figures 14A, 14B, 14C, and 14D show the effects of multiple center electrode designs on plasma extraction. Fig. 15 is a schematic diagram showing a technique using rf energy to operate a lithium vapor source gas. 15 Figure 16 shows the heat pipe cooling technique for anodes in a preferred DPF unit. Figure 17 shows the gas control technology. Figures 18A, B, C and D show the technology for controlling active gas and buffer gas in the preferred embodiment of the vacuum container. 20 Figure 19 shows the ellipsoid configuration. Figures 19A, B, and C show the EUv-side drawing shape just downstream of the two focal points. Figures 20, 20A, 21 and 22 show the water cooling technology of the electrodes. Figures 23, 24 and 26A and 26B show the electrode design. 12 1222248 Figure 25 shows a technique to reduce electrode erosion. Figures 27A and 27B show maintenance techniques. Figures 28A and 28B show the use of a magnet to control the pickup. Figures 29A, 29B, 29C, and 30 show pulse shapes. Figure 31 shows the pre-dissociation technique. Figure 32 shows the effect of forward displacement. Figure 33 shows the advantages of tight plasma focusing. I: Detailed description of the preferred embodiment of the third embodiment. 10 Thermoplasma In order to generate 13-14 nanometers of light from the plasma, an extremely hot plasma is required, corresponding to a range of thousands of degrees Celsius. Plasma at this temperature can be achieved by focusing a very high power (very short pulse) laser beam or high energy electron beam on the surface of a metal target. It is also possible to generate extremely hot plasmas using any of the 15 special discharge technologies that focus or vibrate the electrodes in the electrical discharge of the gas. These technologies include (1) compact plasma focusing technology, (2) regular Z-picking technology, (3) hollow cathode z-picking technology, and (4) capillary discharge technology. The details of these techniques are discussed later. Used as a lithography light source in integrated circuit manufacturing, the light source and its power source must be capable of continuously and reliably operating billions of pulses day and night. The reason is that lithography 20 machines and related production lines are extremely expensive, and any unplanned downtime will cost hundreds of thousands of dollars per hour. Atomic source of 12-14 nm EUV spectral line As described in the previous section of this specification, a good mirror can provide a reflectance in the wavelength range of about 10 nm to about 14 nm or about 70% or more. These mirrors typically provide such a high reflectance only in the narrower spectral range from i2nm to 14nm. For example, the mirror shown in Figure 11A provides a reflectance of about 7G% in the range of about 13 2 to about 8 nm light. This mirror has a reflectance of about 0.15 nm. 7, FWHM bandwidth is 0. 5 nm. These mirrors can be effectively used by the lithography machine of future integrated circuit lithography. The plasma generating device described later includes the devices shown in Figs. 2A to 2D, which are produced in thousands. . Extremely high temperature plasma ', but the emission spectrum is spread over a very wide range. In order to produce within a predetermined range of about 13_14 nanometers, the hot spot plasma must include atomic target materials with spectral lines in the i3_i4 nanometer range. Several possible target materials are known, including U and tin. The best choice of target materials involves tradeoffs in terms of available spectrum, plasma energy conversion to a predetermined spectral energy efficiency, difficulty of target injection into the plasma area, and debris issues. Some better objectives: Technical discussions on these issues are as follows. (The reader must understand that all elements can generate spectral lines at high temperatures. These spectral lines have been clearly documented. Therefore, if you want to generate light at other wavelengths, a fairly straightforward method is to search the references to find the appropriate target material. The target material will produce a good spectral line at the wavelength of interest when heated by the plasma). Xenon is the preferred atomic indication. Qi is expensive, so it is not a problem. As shown in Figures 3A and 3B, Xenon has extremely good spectral lines in the range of 13 to 14 nm. Figure 11A shows the EUVXe spectrum measured by the applicant. Figure 3A shows the measured single-pulse spectrum. Figure 3B shows the calculated theoretical Xe spectrum. Xenon can be added as a component of the buffer gas of the discharge chamber, or gas can be injected near the discharge zone or the extraction zone, so that the concentration of xenon in the discharge zone or the extraction zone is relatively high. Xenon can also be cooled below its boiling point, and it is liquid or solid > main into the discharge zone or extraction zone, so that the concentration of xenon in the plasma is greatly increased. Certain xenon compounds, such as xenon oxyfluoride, can also make good target materials. Lithium 5 Lithium is also well known as a possible target material. Lithium is solid at standard temperatures, and lithium does not cause debris problems. In addition, special techniques must be used when adding atomic lithium to the discharge or extraction area. Several technologies are described in parental patent applications or other technology sources. Other technologies are described later. The bell can be injected into the discharge chamber as a solid, liquid or vapor. 10 Tin Tin has several powerful spectral lines in a predetermined range, so tin is also a better target material. However, tin is similar to lithium. Tin is solid at standard temperatures and can be plated on optical surfaces, so it does not pose a debris problem. Pulsed Power Systems 15 Circuits require long service life and reliable pulsed power. Several prior art pulsed power systems are known to supply short high voltage pulses to discharge these devices. However, the power supply of the prior art has not been able to provide reliable and controllable features such as the high repetition rate, high power, long service life and reliability required for integrated circuit lithography. The applicant relied on the excimer laser light source technology developed by the applicant to build and test a pulsed power system. This kind of excimer laser can emit 248nm and 193nm light, and is currently widely used as a light source in the manufacture of integrated circuits. The long-life and reliable pulse power supply system for EUV devices established and tested by the applicant is described in the following sections as part of the 15th 1222248 fourth-generation plasma focusing device. Refer to Figure 1 and occasionally refer to Figures 1A, 2A, and 2B. The circuit diagram of this preferred pulse power system is described below. A conventional 700 volt DC power supply 400 is used to convert AC power from a mains 5 208 volt, 3-phase power source to about 700 volt DC 50 amps. This power supply 400 provides power to the resonance charging unit 402. The power supply unit 400 charges a large 1550 micro Faraday (R) capacitor bank C-1. When the command comes from an external trigger signal, the resonant charger starts the charging cycle by closing the command charging switch S1. Once the switch is closed, a resonant circuit is formed by the cq capacitor 10, the charging inductor L1, and the C0 capacitor bank [which forms part of the solid pulse power system (SSPPS) 404]. Therefore, the current starts to discharge from C-1 to C0 via the L1 inductor, which charges the capacitor. Because the capacitance of cq is much larger than the capacitance of C0, the voltage of c0 reaches about twice the initial voltage of C-1 during this resonant charging process. The charging current pulse is a half sine waveform, and the 15 C0 voltage is similar to the "1 minus cosine" waveform. In order to control the terminal voltage of C0, several actions can be taken. First, the charging switch S1 is instructed to be turned off at any time during a normal charging cycle. At this time, the current from C-1 stops flowing, but the current that has been accumulated on the charging inductor continues to flow into C0 through the flywheel diode D3. This stops any further 20 energy transfers from C · 1 to co. Only the energy (possibly a considerable amount) left in the charging inductor L1 is continuously transmitted to C0, and C0 is charged to a higher voltage. In addition, the de-qing switch S2 of the cross-charging inductor can be closed, thus effectively short-circuiting the charging inductor and the "Diking" resonant circuit. This basically removes the inductor from the resonant circuit and prevents any inductor current 16 1222248 current from continuing to charge co. The current of the inductor is then shunted by the load and captured in a loop. The loop consists of a charging inductor L1, a DJ switch S2, and a DJ diode D4. Since the IGBT has an anti-parallel diode that is included in the device that normally conducts reverse current, the diode D4 is included in the circuit. As a result, the diode 5 body D4 interrupts this reverse current, otherwise the reverse current will bypass the charging inductor during the charging cycle. Finally, the “shunt” or shunt switch and series resistor (both are not shown in this preferred embodiment) can be used to discharge from C0 once the charging cycle is completely completed, so as to achieve the extreme fine adjustment of C0 voltage 0 1〇 DC power supply is 208 volts, 90 amps, AC input, 800 volts, 50 amps, DC output regulated voltage power supply, suppliers Universal Voltronics, 7Γ / EMI, Kaiser Systems , Sorensen, etc. The second embodiment can use a plurality of lower-power power supplies combined in series and / or in parallel to provide total voltage, current, and average power requirements for the 15 systems. The C-1 capacitor of the vibrating charger 402 is composed of two 450-volt DC, 3100 micro-Faraday electrolytic capacitors connected in series. The resulting capacitor has a rated voltage of 1,550 microfarads at 900 volts, which provides sufficient margin over a typical 700-800 volt operating range. Such capacitors are available from suppliers such as SpragUe, Mallory, and Aerovox. The command charging switch S1 and the output series switch S3 of this embodiment are 120 volt, 300 amp IGBT switches. The actual component numbers for the switches are obtained from CM300HA-24H from Powerex. The Dickin switch S2 is a 1700 volt, 400 amp IGBT switch, also available from Baoerixi Parts No. 17 1222248 CM400HA-34H. The charging inductor L1 is a customized reducer according to customer needs. Two sets of Litz wires (20 turns each) are wound around a spiral tube-shaped 50-50% NiFe ribbon winding center. With two 1 / 8-inch air gaps, the resulting inductance is approximately 140 μΗ. National Arnold offers 5 special centers. Other embodiments may use different magnetic materials as the center, including Molypermaloy, Metglas, and the like. The tandem diode, Dickin diode, and flywheel diode are all 1400 volt, 300 amp diodes available from Baolix Co., part number R6221430PS. 10 Once the resonant charger 402 charges C0, a trigger signal is generated in the control unit (not shown) of the resonant charger, which triggers the IGBT switch S4 to be closed circuit. Although only one IGBT is shown in the diagram (for clarity), the IGBT switch S4 is composed of eight parallel IGBTs and is used to discharge C0 to C1. The current from the co capacitor is then discharged through the * IGBT and discharged into the first magnetic 15 switch LS1. Sufficient volt-seconds are provided for this type of magnetic switch design, allowing all 8 parallel IGBTs to be fully turned on (in other words, closed circuits) before the discharge circuit has substantial current accumulation. After the circuit is closed, a main current pulse is generated to transfer energy from C0 to C1. The time from C0 to C1 is typically about 5 microseconds, and the saturation inductance of the LSI is about 230 nH. When the voltage of ci has accumulated to all the desired 20 voltages, the volt-seconds of the second magnetic switch 1 ^ 2 flows out, the switch is saturated, and C1 energy is transmitted to the 1: 4 pulse transformer 406 (detailed later). The transformer basically consists of three one-turn "winding" parallel connections and a single secondary "winding". The secondary conduction system to the high-voltage terminal of the primary winding results in an increase ratio of 1: 4 instead of 1: 3 in the auto transformer configuration. Then the secondary "winding" system is like 248 to C2 capacitor bank, which is then charged by transmitting the energy from C1 (transmitted via the pulse transformer). The transmission time from C1 to C2 is about 500 nanoseconds, and the saturation inductance of LS2 is about 2 · 3 nH. When the voltage is accumulated in C2, the volt-second product of the third magnetic switch LS3 is reached, and the switch LS3 is also saturated, transmitting 5 c2 voltage to the anode 8a, as shown in Figs. 14A and 14B. The saturation inductance of LS3 is about 1. 5 nH. The bias circuit shown in Figure 1 at 408 is also used to properly bias the three magnetic switches. The current from the bias power supply VI passes through the magnetic switch LS3. Then shunt, part of the current flows through the bias inductor L5, and flows back to the bias power supply for the reactor VI. The remaining current flows through the secondary winding of the pulse transformer, then through the magnetic switches LS2 and LSI and the bias inductor L3, and returns to the bias power supply VI. The bias inductor L2 provides a path through the pulse transformer primary circuit to ground and returns to the power supply. The bias inductors L3 and L5 also provide voltage isolation during the SSPPS pulse, because the bias power supply VI operates in closed circuit with 15 ground potential (as opposed to the potential generated by SSPPS, where the bias connection is made here). The CO, C1 and C2 capacitors consist of multiple parallel polypropylene film capacitors mounted on a printed circuit board with a thick (6-10 disc division) copper plating layer. The printed circuit board is wedge-shaped, so four printed circuit boards form a cylindrical capacitor holder, and the 20 capacitor holder feeds a high voltage connection and a ground connection to the cylindrical bus bar. In this way, a low-conductance connection is formed, which is important for both pulse compression and the plasma extraction stability of the DPF itself. The total capacitance of C0 and C1 is 21. 6 micro-Faraday, and the total capacitance of C2 is 1.33 micro-Faraday. C0 and C1 capacitors are 0. 1 micro Faraday, 1600 volt capacitor, available from Wima 191222248 or a supplier from Vishay Roederstein, North Carolina. The C2 capacitor consists of three sections of capacitors stacked in series to achieve the total rated voltage. The voltage of the secondary circuit of the pulse transformer is approximately 5 kV. C2 electric valley device is 0. 01 micro-Faraday, 2000 volt DC components are also available from Wei Ma 5 or Wisteria Rodstein. The SSPPS switch is a 1400 volt, 1000 amp IGBT switch. The actual part number is CM1000HA-28H from Baurex. As explained earlier, eight parallel IGBT switches are used to discharge C0 to C1. The SSPPS tandem diodes are all 1400 volts and 300 amps diodes, and are available from Baolixi Co., Ltd. under component number R622143 (). Two kinds of two-pole 10-body are used for each IGBT switch, and a total of 16 parallel elements are obtained. The magnetic switch LSI is a customized inductor. It consists of 16 sets of parallel Ritz wires (6 turns each) wound around a spiral tube ferrite core. The special iron core is supplied by the New Jersey Ceramic Magnet Company and is made of CN_2〇 ferrite material. Spiral official thickness 0. Five pairs of 'internal diameter of 50 忖, external diameter of 80 忖. The magnetic 15-switch LS2 is a spiral inductor. The magnet core uses a width of 2 and a thickness of 0. 7mils 2605-S3A Matt Glace was obtained from Honywell Corporation in a tape wound around an S · 875 pair of outer mandrels. Miller_ar) is wound between the layers to whatever the outer diameter is. The magnetic switch LS3 is also a single & screw square eunuch inductor. The magnet core uses a wide i pair of a thickness of 0.7 millimeters and a 20-micron 5 pendulum. 5 pairs of outer diameter mandrels, the Matt Grace with 0.1 mil thick Miller wound between layers to an outer diameter of 10. 94 忖. The pulse transformer is shown in 4G6 and also in Lake No. There are three transformer cores. The three transformer cores each use a wide W thickness of 0. 20 mils for 7 mils 1222248 2605-S3A Matt Glace from Hanningville Coiled around a 12.8-inch outer diameter mandrel 422, this Matt Glace comes with 0 1 mil thick rice Le is wound between the layers to an outer diameter of 14. 65 inches. The three iron cores 418 each have a ring shape and an inner diameter of 12. 8 inches, about 14 inches in outer diameter and 1 inch in height. Figure 1A is a sketch of the axial section, showing the physical configuration of three or five cores and primary and secondary "winding". The primary winding is actually composed of two circular rings 420A and 420B bolts to the mandrel 422 and a rod-shaped spacer 424, respectively. The secondary "winding" consists of 48 circularly spaced bolts 426. The operation principle of a transformer is similar to a linear accelerator as described in U.S. Patent No. 5,142,166. The three high-voltage current pulses of the primary "winding" induce the secondary "winding" voltage to rise approximately equal to the primary voltage. As a result, the voltage generated by the secondary winding (in other words, the rod 426) is equal to three times the primary voltage pulse. However, since the low-voltage end of the secondary winding is tied to the primary winding, this “automatic transformer” configuration can provide four times the voltage. The bias inductors L3 and L4 are spiral inductors wound around a Moripa code 15 Roy core. Specific core size is 0. 8 inches, inner diameter 3. 094 inch and outer diameter 5. 218 inches. The core component number is a-430026-2 from the Arnold group. Inductor 13 has 90 turns of 12 AWG wire wound on a spiral tube to obtain an inductance of about 7.3 mH, L4 has 140 turns of 12 AWG wire wound on it, and the inductance is about 18 mH. The bias inductor L6 has only 16 turns of 12 AWG wire wound into a 6-inch diameter. Bias inductor 20 L4 is a 30-inch 12 AWG wire wound into a 6-inch diameter. The bias inductor L2 is an 8-inch 12 AWG wire wound into a 6-inch diameter. Resistor R1 is an array of 20 resistors in parallel, each resistor is a 27 ohm, 2 watt carbon composition resistor. Polarity In a preferred embodiment of the present invention, the current shown in Figure 1 provides a positive 21 1222248 high voltage pulse to the center electrode 8A, as shown in Figures 2, 2B1, and 2B2. The direction of current flow in each part of each initial pulse is shown by arrows 409A, 409B, and 409C, respectively, through the primary and secondary ends of the transformer 406, and between the electrodes. (The reader should note that the direction of electron flow is opposite to the direction of current 5). The reader should also pay attention to the following part of each pulse, the current is actually reversed, as shown in trace 409D in Figure 1B, so the voltage of C2 increases to about +4 kV, and then to about zero. Reverse Polarity In the prior art compact plasma focusing device, the center electrode is typically assembled with 10 as the anode, and the surrounding electrodes are assembled around to become the cathode. As shown in FIG. 2B, the electrode polarity of the specific embodiment is consistent with the electrode polarity of the prior art. The polarity of the reverse electrode is known in the prior art; however, this results in a substantial reduction in efficiency. (For example, refer to G. Decker et al., "Experiment on Solving Plasma Focused Polarity Puzzle" Physics Letter, Volume 89a, No. 8, June 7, 1982). 15 Shen Yanren has verified in a preferred embodiment of the present invention that excellent performance can be obtained through the polarity of the electrodes of the inverse compaction and plasma focusing device. In order to achieve this project, the applicant modified the circuit shown in Figure 1 to obtain the circuit shown in Figure 10. The basic design of the circuit in Figure 1 makes this task relatively easy. The connection of the DC power supply 400 is switched, the switches 81, 82, and the inverter are inverted, and the diodes 20, D1, D2, D3, and D4 are inverted. The polarity of the bias power supply ¥ 1 is also reversed. As a result, the initial current of each pulse is in the directions shown in Figures 409A, 409B, and 409C. As shown in this figure (including Figure 2B2), the center electrode 8A is initially negatively charged. The initial current in this embodiment is from the ground electrode to the center electrode 8A. The electron flow is reversed, that is, the electron flow flows from the center electrode 8 to the surroundings 22 1222248 electrode 8B. Another technique for reverse polarity is to modify the design of the pulse transformer to eliminate the "mapped into" aspect of the transformer. In other words, the low voltage terminal is connected to the ground potential 'instead of being connected to the primary high voltage. In this way, the polarity can be reversed simply by changing the secondary lead of the pulse transformer. This, of course, means that in this case, the voltage only increases by 3 factors instead of 4 factors. But in order to compensate, another-secondary section can be added. The applicant's experiments have confirmed that a number of unexpected improvements can be obtained from this polarity change. The main improvement is that the pre-freedom requirement is greatly reduced, and it can even be completely eliminated. The applicant believes that the improvement in performance comes from the hollow cathode 10 pole type effect in the hollow portion of the top of the electrode 8A, as shown in FIG. 2A. According to the applicant's measurement, the quality of the 'picking' under various conditions is superior to the quality of picking with a positive center electrode polarity. The applicant estimates that the increase in EUV output may be as high as a factor of about two. Energy Recovery In order to improve the overall efficiency, the fourth generation compact plasma focusing device provides energy recovery of the electric pulse energy reflected by the circuit discharge part on a -15 pulse basis. The energy recovery will be described later with reference to FIG. 1. After the discharge C2 is driven negative. When this happens, the current from LS2 to C1 to C2 is saturated. In this way, instead of leaving energy in the device (easy to cause electrode dissolution), the saturation state of LS2 causes C2 reverse charge resonance shift 20 times to C1. This transfer is achieved by a continuous forward current through LS2. After the charge is transferred from C2 to C1, C1 has a negative potential than C0 (approximately ground potential at this time) (as in the case of LS2), and the LSI continues to conduct forward due to the large current flowing just after the pulse. As a result, the current of C0 to C1 causes the potential of C1 to be higher than the ground potential, and a negative potential is generated at C0. 23 Item 1222248 should note that this reverse energy transfer is c. Only when all saturable inductors (LS1, LS2, and LS3) are maintained, they are turned on until all or substantially all months & Party is possible. After the waste energy is returned to c0, c0 is negative with respect to its initial stored charge. At this time, the switch is turned on by the pulse power controller. The inverting circuit includes an inductor and a solid-state diode D3 connected to the ground potential. As a result of the free flywheels of various vibrations, the co polarity is reversed (that is, the half cycle of the L1-c0 circuit cycle is divided by the inductor L1 current. Polar body D3 is clamped in reverse, and the net result is that energy is recovered by recharging a portion of Co). Therefore, the residual energy of the electrode may be recovered, which reduces the charging requirement for subsequent pulses. Importance of output switch As shown in Fig. 1 and Fig. 1D, the pulse power system according to the present invention has an input f switch to perform several functions. (3) of this type of switch is a saturable inductor. The inventor refers to this switch as a magnetic switch. As explained above, the magnetic open relationship is biased by the bias circuit, so at the beginning of each pulse, the current flow is stopped at the beginning of each pulse until the inductor is saturated, at which time the current flows for about nanoseconds, and then the bias is applied. The current again biases the switch, and then starts a next pulse, which is 5 kilohertz (for example) after about microseconds. Such switches are extremely important for proper operation of the light source at high repetition rates. 20 Although some EUV light sources have been developed without such switches, operation at high repetition rates may cause errors in output energy. In this case, there is no relationship between the energy storage capacitor benefit and the source of EUV. The problem is the short time between the last pulse and the time when the pulse is applied to the energy storage capacitor to prepare for the next pulse, the light source load cannot be fully recovered. At a repetition rate of 5 kHz, there are only 200 microseconds between 24 1222248 rounds of pulses. With many other light source designs, most of this interpulse time may be needed to charge the energy storage capacitor. As a result, the time between the final pulse generation and the initial voltage across the capacitor (and the voltage across the load, because there is no output switch between them to separate the two) is shorter. This time is too short, causing the plasma from the last pulse to fail to cool down and be problematic when recovered (the application of the next pulse voltage is expected to stop). As a result, when the recovery is insufficient, the light source may prematurely collapse below the normal voltage. Since the crash process is statistical in nature, the crash voltage also varies widely, resulting in a significant change in the source's output EUV energy. This causes significant problems in lithography applications, because energy stability and dose control are extremely important parameters in process control. The advantage of the output switch LS3 of the present invention is that it can perform several functions, which helps to avoid this problem of premature load breakdown. When a normal pulse is generated, the LS3 opens as a magnetic switch and a diode to prevent the current from flowing backward through the load. 15 As a result, any energy not absorbed by the load is reflected back to the primary storage capacitor C0, where the energy is recovered and stored for the next pulse (as described in Section B for quantitative recovery). In this way, after the main pulse is generated, the energy is quickly removed from the load, so continuous oscillation is not allowed until the energy is finally completely dissipated in the load plasma. This helps reduce energy deposition in the load plasma, starting the recovery process as quickly as possible after 20 main pulses are generated and EUV is output. In addition, the LS3 output switch provides isolation between the final energy storage capacitor and the source load, allowing the source load to have additional time to recover before the next pulse is generated. This switch allows the final energy storage capacitor C2 (called the discharge capacitor by the inventor) to start charging once the LS3 switch reverses the bias voltage 25 after the energy recovery process is completed. The design of the bias circuit (including the bias inductor L4 and the bias power supply VI) can be developed to allow the LS3 to be recovered in sufficient time for charging at a repetition rate of at least 5 kHz. C2. Therefore, the LS3 switch is initially biased in the reverse direction (not conducting in the forward direction _ towards negative 5 Ω) until saturation (when the C2 voltage reaches its maximum value). Then the switch allows energy to be transferred from C2 into the load, maintaining forward conduction, until the energy recovery cycle is completed, and the reflected energy is recovered all the way back to C0. After this period of time, the energy from the bias circuit is applied to the main pulse compression circuit, and the cycle is completed again by reversing the bias of the LS3 switch. Once the cycle is completed, C2 charging can be resumed, and there is no problem of premature load breakdown (since the LS3 switch can now isolate the C2 voltage from the load). Since the repetition rate of the EUV light source must eventually be extended all the way to 10 kHz, it can meet the power requirements of the EUV light source. As the time between pulses becomes much shorter, this issue becomes more and more important. 15 Figures 1B and 1C show the test results of the fourth-generation plasma extraction prototype device. Figure 1B shows the pulses of the electric generator C2 and the cross-electrode. Figure 1B shows the measured light using gas as the active gas. Polar body signal. High-Temperature Electrical Discharge EUV X-Ray Device The pulse power supply with high repetition rate, reliability, and long service life as described above. The 20 system can be used to generate chirp voltage pulses to a variety of extreme ultraviolet or X-ray devices. These systems include the compact electrofocusing device shown in Fig. 2A, the X-pickup device not shown in Fig. 2C, the hollow cathode z-pickup device shown in Fig. 2C, and the capillary discharge splitting shown in Fig. 2D. In each case, the light source is roughly symmetrical around an axis called the "z" direction. For this reason, these light sources are not special for the first three light sources. Tight Plasma Focus The main feature of the Tight Focus EUV | Set is shown in No. 2 Lai. It includes an anode 8A, a cathode 8B and an insulator 叱, and a high-voltage pulse power supply 8D. In these 5 cases, when a high voltage is applied, discharge starts between the cathode and the anode along the outer surface of the insulator 8C. The focus generated by the high plasma current forces «upward and then inward, forming an extremely hot plasma pinch just above the center of the anode. The parameters discussed in the article for the pulsed power system shown in Figure 1 are specifically selected for this type of light source to generate a pulse of about 12,5 Joules with a pulse duration of about 100 nanoseconds to about 5000 nanoseconds. It is preferred to provide a front dissociator (which may be a Martian gap dissociator), details of which are described in U.S. Patent Application No. 09 / 690.084, which is incorporated herein by reference. Figure 2A (1) shows a cross-sectional view of a fourth generation plasma plasma EUV light source that was actually built and tested by the applicant. This light source is combined with the pulse power 15 system shown in Figure 1. The multiple power components described above are shown in Figure 2A (1). Figure 2A (2) is an exploded view of the electrode area of the device, showing further details of anode 8A, cathode 8B, and Martian gap front disengager 138. Figure 2A (3) is a sketch of a fourth-generation device, showing various power components shown in Figure 2A (1), and vacuum 3 is also shown. 2 〇 Known Z-Snap The learned Z-Snap light source is shown in Figure 3. In this case, the discharge starts from the anode and the cathode along the inner surface of the insulator 9C. The force generated by the high current forces the plasma toward the center of the volume of the cylinder formed by the insulator 9C, and causes the plasma to be drawn, and the temperature near the upper end of the volume is extremely high. 27 1222248 The pulsed power circuit (with the components described above) shown in Figure 1 is also suitable for the specific embodiments of the conventional z-snap design, but skilled artisans can make changes to coordinate the parameters of the pulsed power components with -Extraction of specific design parameters. For example, if 5,000 pulses is better, it is easy to achieve by simply using the 5 basic circuit shown in Figure 丨, but the pulse transformer 406 has an additional primary winding. With this design, the pre-dissociator is usually provided at the beginning of each pulse to assist initiation of the plasma. This pre-dissociator can be a Martian gap pre-dissociator or other source of pre-dissociator, and is usually powered by a separate power source (not shown). 10 Hollow cathode Z-drawing The hollow cathode Y-drawing shown in Figure 2C is very similar to the conventional z-drawing. The difference is that the cathodes are assembled to produce a lower * »space below the cylindrical insulator. This design can eliminate the need for a pre-ionizer, because when the high voltage rises to a sufficiently high level, a very large number of ions and electrons are naturally generated at the beginning of each pulse at the top of the hollow region 9E. For this reason, this design does not require a high-voltage switch to initiate discharge. This discharge is called self-initiated. When using the power supply shown in Figure 1 to provide pulse power of this design, the last saturable inductor L53 can be eliminated or its value can be substantially reduced, because the development of the plasma in the cathode hollow area can be used as a saturated inductor For the same purpose of the device l53, the discharge is stopped until the spike capacitor C2 is fully charged to earth, and then the current is allowed to flow substantially without obstacles. Hollow cathode Z-stripping can be designed with significantly higher pulse voltages than the first two designs. This is not a problem for the power supply shown in Figure 1. Simply by increasing the number of windings per turn of the waste changer 406 from 3 to 9, it is easy to provide a discharge pulse of 28 1222248 10,000 volts. Capillary discharge The outline of the conventional capillary discharge EUV light source is shown in Figure 2D. In this design, the plasma compression is formed by the high voltage discharge between the cathode and the anode. This is achieved by forcing the plasma to flow through the capillary. The capillary diameter is typically about 0. 5 mm to 4 mm. In this case, the pulse time is about 0.5 microseconds to 4 microseconds, compared with about 100 nanoseconds to about 500 nanoseconds for the specific embodiments shown in Figs. 2, 3, and 4. In addition, the 'pulse voltage is typically substantially lower, such as about 1500 volts. However, this pulsed power system provides excellent electrical power and rarely requires modification. A simple modification is the last step to remove the magnetic compression. This step is achieved by leaving the C2 capacitor bank and the LS3 saturable inductor. The winding of the pulse transformer 406 is reduced from one to three, and the peak pulse voltage can be reduced to 2,000 volts; or the transformer's initial charging voltage can be increased to provide electrical pulses of several microseconds and about 150,000 volts. Spike voltage. 15 Laser-Generated Plasma As described in the previous section of this specification, the prior-art technology that generates extreme ultraviolet or soft X-rays uses short-pulse lasers to generate extremely hot plasma, similar to the electricity generated by plasma extraction Pulp. The prior art typically uses solid-state lasers, such as Q-switched Nd-YAG lasers, which are pumped using a diode laser (or flash) pump 20 to generate extremely high-power nanosecond or picosecond laser pulses that are focused. In the target material, the target material may be the same as the target material used as the active material in the foregoing, such as lithium and tin which generate debris, or xenon which does not produce debris. Several prior art light sources are described in US Patent Nos. 5,668,848, 5,539,764, and 5,434,875, each of which is incorporated herein by reference. The previous technology special 29 1222248 The case teaches the use of Nd-YAG laser to generate plasma and the use of Nd_YAG seed XeCl excimer preamplifier or XeC1 excimer amplifier to generate high power (such as lxl0u watt) extremely short pulse The laser beam is used to generate plasma for the target material. Other laser systems (including excimer laser systems) 5 have also been proposed for generating X-rays (see, for example, M. Chaker et al., Journal of Applied Physics, Magic, 892 (1988) ′ R.  Popu et al., Comprehensive Theory of Physics, a%, 3㈣ (⑽7); and F_O’Neill et al., SP_Records, 83 bu 23 (Chong)). Applicant's Judgment: The new Wright color developed by Yueren when he developed a plasma focusing device was applied to laser generation of radon, as shown in Figure 2A_D. Plasma generated by various extraction devices is equally good. The claimant's employer is a major supplier of excimer laser light sources for integrated circuit lithography in the United States and internationally. Lasers are K-scores operating at 248 nm: lasers and ArF lasers operating at 193 nm. These lasers are extremely reliable. They can be operated for 24 hours a day, 365 days a year, and the startup time is more than 15%. In the past few years, the pulse repetition rate of lasers has increased from about _ hertz in 1990 to the side hertz of the next year. The average power of #shot has been increased from mW dating to about 120W in 3 years. The pulse time is about 20 nanoseconds, and the current pulse energy is about 30 millijoules. The technique for increasing the laser repetition rate to 6,000 Hz to 10,000 Hz is described in the US patent title π%. The 20 cases are also incorporated herein for reference. Applicants are also the same. Excimer laser systems currently used as leading lithographic light sources at 248 nm and 193 nm are suitable for extreme ultraviolet light in the range of ^ to 14 nm. Such laser systems are described, for example, in the following U.S. patents and patent applications: (United States Patent and Mergers): U.S. Patent: 6, 23; 30 1222248 6,330,261, 6,442,181, 6,477,193, and U.S. Patent Filings: 09 / 854,097, 09 / 943,343, 10 / 〇2, 002, 10 / 〇36,676 and 10 / 384,967. A plasma light source is generated by the laser, and the laser energy is absorbed by the inverse BremsstrahlunS mechanism. Due to the short wavelength of the excimer laser, the near-infrared laser or visible laser from the solid-state laser (double the frequency) of the excimer laser can more efficiently light the energy to the target electric crowd. (Plasma frequency and critical density are higher at shorter wavelengths of the laser). Because the wavelength of the excimer laser is relatively new, the excimer laser is longer than the long-wavelength laser (for example, solid state laser 10⑴ (if necessary) can be more closely focused on the size of the (winding limit) point. So to mention s, ... The original power level. The excimer laser must be a simo laser, the original T is that the simo laser is the most reliable laser in the world. If necessary, several quasi-knife field lasers can be combined at one point. This allows power Scale-up: i5 a & one or more excimer laser beams are closely focused on the 1 gas: ^ or solid state) target inside the vacuum chamber to generate money from thermal lasers. When using ☆ and mesh materials, and the plasma reaches the correct average electron temperature, it can effectively produce EUV rays of 13.5 nm. Suitable target materials are xenon, tin, and lithium. = An advantage in terms of less fragmented genus generation. Unfortunately, I am not at 13. The most effective target of 5 nanometers and 20 is not the most effective target of laser generation. = Yu, 々11nm is far more effective in generating rays. One of the best target configurations is that swivel jet plasma can be produced at a considerable distance from the nozzle. Tin has its advantages in terms of 纟, 文, and 由于, because a variety of free stages can simultaneously promote 4d-4f light emission at 丄 5m. Some use 14nm and 14nm in the ray band when indium is difficult. (Manufactured multilayer mirrors have only slightly lower peak reflections of 31 bandwidth, so higher integration can be achieved-the compliance frequency of the body should have a light-emitting rate of light, and the material is better, because the ^ is 13. Narrow lines of 5 nanometers can effectively emit light. If metal is used as the laser, then the car is better restrained by a small cavity. Liquid metal targets (melting or melting) can provide high target density and the possibility of reproducible target conditions when operating with a fine and constant repetition rate. (A pit will be formed, but a certain mosquito-like shape will appear dynamically between the laser pulses at a fixed repetition rate). 10 15 20 The excimer laser is preferably sent with fluorinated fluoride at 248 nm. This is the most nuclear excimer laser. The optical problems are more serious. Since extremely high output power is required, excimer lasers are preferably operated in a MOM configuration with a wide frequency band. Since the laser must match the plasma expansion time, the laser pulse time must be extremely short (a few nanoseconds, such as about 20 nanoseconds). The peak work t is high. It is preferable to implement the operation with a repetition rate of at least 10 kilohertz or higher for laser cents with a repetition rate of at least 5 kilohertz. In order to improve the effective repetition rate, several lasers can be combined at different intervals at this interval. Most are also based on the target configuration and the replenishment rate of the target material. It is preferable to have the laser pulse adjusted to the target. In a preferred embodiment, for example, the pre-pulse portion generated by the quasi = sub-laser record H (possibly bypassing the power amplifier U 'to shorten the travel time to the target) contains several hundred knife points up to the total laser energy , The first elaborate to reach the goal and form a front-end electric 襞. This type of pre-focusing can be more effective in absorbing the main laser pulse. It can also be achieved with a different or perhaps smaller power laser. The laser beam is best focused by placing the focusing optics right in front of or behind the vacuum window. The goal is to achieve a focal point smaller than about a micron diameter. 32 1222248 The focal point size is determined to some extent based on the laser pulse time (10 to 30 nm shift), because the expansion rate of the plasma must also be considered. In short, the laser pulse time must be touched, and the focus must be small enough to maintain most of the plasma tightly together during the main heating period. Typical expansion time is about 5 10-100 microns per nanosecond. The laser system described in detail in the excimer laser patent case and patent application generates a polarized line pulsed laser beam with a line narrowed to about 0.5 micron or less. This allows focusing to a quarter micron focus. However, these laser systems can operate in a wide frequency range, in which the output pulse laser beam bandwidth is about 10 35 nanometers for KrF lasers, with the center of the line at about nanometers. Wideband operation can substantially increase the output beam energy. For example, this type of ruler described in patent application 10 / 384,967] ^ 01> The eight system can generate 330 millijoule pulses (compared to 30 millijoule line narrowing pulses). The instantaneous pulse power of a 20 nanosecond pulse is approximately 165 × 106 watts. Experiments conducted by LaWrence Livermore laboratory (Journal of Applied Physics 15 79 (5): March 996), using Nd / YAG lasers, the maximum EUV output appears at a laser intensity of 2x10 " W / cm . The maximum conversion efficiency (Euv energy output / laser energy output) appears at about 2x10 " W / cm2. Experiments have shown that the change relative to the change in wavelength is not significant. The pulse duration of the experiment was not significantly different from the 20 nanosecond pulse of the applicant's excimer laser. Therefore, for a 20 165 × 1 () 0 watt pulse, the applicant prefers a focal spot size of about 0 · m square millimeters, providing an intensity of about 1.6 × 10 · η watts / cm2, which is between maximum efficiency and maximum output. The laser pulse energy is about 330 millijoules, so when the conversion efficiency is about 0 006, the EUV pulse energy is about 2 millijoules / pulse. At 600 Hz, this pair of 33 1222248 should produce approximately 12 watts of EUV. About 20% of this light can be collected and delivered to an intermediate focus, such as position 11 in Figure 9 and using the techniques described here. Therefore, the average compliance band EUV power delivered to the focal point by the electro-polymerization generated by excimer laser is about 2. 4 watts. The combination of the two systems produces approximately 5 watts. This is sufficient for some applications. Shen Jingren Cao Jing was told that the future EUV lithography machine hopes that the light source of the guy will be about 45 watts to about 100 watts at the intermediate focus. For example, position 19 in Figure 19. 4 This requirement will be in the next few years (at least 5 years) ), And this requirement is based on the development of the corresponding quilt system. It is necessary to develop a power of υν 10 that can handle a range of 1000 watts. Since it is expected that the excimer laser can more effectively combine energy to the plasma (shorter wavelength, higher critical density) than the solid-state laser driver at 丨 · ^ microns, the NdYAG laser produced by the first-gang technology is more likely to generate the excimer laser. The electropolymerization conversion efficiency is high. Approximately 10 kilowatts of laser power is required to generate approximately 15 100 watts of EUV power at the focal point of the lithography tool. Using improvements in expected conversion efficiency, each KrF module (operating at 248 nm wideband) is expected to provide approximately 1 to 12 kilowatts of laser power (eg, 6 kHz repetition rate at 200 mJ / pulse operation). A total of nine modules will deliver the required laser power. In particular, the source will generate more than 200 watts of compliance band EUV rays (2% bandwidth becomes 2π), which can collect about 100 watts of compliance band EUv 20 and deliver to the intermediate focus. There are different ways to combine laser beams (multiplexing). Laser beams can be optically overlapped (close to optical overlap) by mirrors. Laser beams can be focused on the same focal point through the same lens but with slightly different directions. The laser beam can also be triggered in a shaking manner to increase the effective repetition rate, but the target is replenished quickly enough to maintain a high repetition rate. For example, using three laser systems to triple the repetition rate to about 18 kHz seems feasible. Figure 4 shows a specific embodiment, where the laser beams from several laser modules can be aimed at different parts of the focusing lens and spatially overlap at a common focal point of 5 points. This focal point corresponds to the plasma generated by the laser. location. The emitted EUV rays are collected over a wide range of angles by a multi-layer coated first collection mirror and directed to the intermediate focus. FIG. 4A shows another specific embodiment, in which the laser beams from several laser modules are superimposed on a common laser focal point, and focusing optics separated by 10 are used for several laser beams. Laser rays can be focused through several openings of the first collection mirror. This specific embodiment uses the fact that the EUV rays generated by the laser plasma have an angular distribution, and the angular distribution to a certain extent is located in the direction of the incident laser beam (the orthogonal angle to the direction of the laser beam is relatively weak). In this specific embodiment, the strongest light emitting area is not blocked by the space required by the laser beam delivery device. Target delivery The better target of the laser plasma is the so-called quality limit target (only the correct amount required for the laser to generate the plasma is provided ‘do not provide a larger amount’ to avoid the need for excessive chip production). The preferred target technology for xenon is fine liquid spraying. With erosion-resistant nozzles, cluster beam targets and spray targets can also be used. For metals (tin and indium), it is appropriate that liquid metal droplets are immersed in the helium beam. Nozzles mounted at the top and target beams mounted below constitute a suitable system. Refer to Figure 4B. The surface of the plasma-like carbon or diamond coating can be reduced by thermal film coating, because it can reduce ion sputtering. 35 1222248 EUV extraction supported by laser plasma The advantages of laser plasma sources are high light source brightness (small light source volume), no dissolution, and less debris generation. The disadvantages are high cost of ownership and ineffective total energy conversion balance. The advantage of a discharge power supply is that the electrical energy is directly coupled to the extraction plasma and is simple. Disadvantages are electrode erosion and high debris production, as well as thermal management issues. Laser beams and laser plasmas are used to define plasma geometry, discharge paths, and plasma extraction locations. This configuration allows the distance between the electrode and the focus of the plasma to be greater than the distance from a pure discharge power source. This reduces the power density of the electrode surface (due to the large electrode surface area), which also reduces the risk of electrode dissolution, debris generation, and thermal management. For its part, the main power input is provided by a low-inductance discharge. Comparing pure laser plasma sources in this way, there is far more effective energy coupled to the plasma. The electrode configuration is more spherical than the conventional Z-pickup. This electrode configuration and the initiation of the laser plasma improve the stability of the light source. The timing of front ionization, laser plasma generation, and the main extraction plasma generation provide additional control over the optimal generation of EUV rays. This device mainly generates EUV light source for discharge, which has the additional effect caused by laser-plasma support discharge. The electrodes can be connected to the same pulsed power system used today (and in the future) for DPF machines. (Transmission of pulse energy from 10 Joules to 20 Joules, pulse length of 30-100 nanoseconds, repetition rate of several kilohertz, spikes of 0 volts to the right, dry kilovolts, and spike currents of tens of thousands of amperes). The internal electrodes can be charged positively or negatively. The external electrode is at ground potential. As shown in Figure 4c, the electrode arrangement is slightly different from the DPF arrangement. The (water-cooled) electrode is large and the surface of the electrode involved in the discharge is large. About 30 to 50 square centimeters. There is an insulator disc between the electrodes to prevent discharge along direct line of sight. 36 1222248 There is a separate dissociation method, such as pulsed Rp pre-dissociation through RF coil. The pulsed laser beam (excimer laser or solid-state laser) propagating on the axis is focused by the focus optics to the center of the array to a focal point with a diameter of about 100 microns. The laser can be a KrF wideband excimer laser with a pulse energy of 100 millijoules to 5 millijoules, a pulse length of about 10 to 15 nanoseconds, and a repetition rate of several kilohertz. There are also several laser beams focused at a common point in the center of the arrangement. The eye-centered gas xenon or a mixture of xenon and helium is inserted from the inside of the internal electrode and removed by vacuum pumping. Typical operating pressures are from ⑴⑴ to ⑴⑴tor. Discharge can be operated at the left end of the Pasehen curve. If the internal electrode is charged by a negative high-voltage pulse, it can be assembled into a hollow cathode. First, the RF pre-free is triggered to make the low-density gas easily decompose. Secondly, the laser beam position is generated and a well-defined point is at the center of the array. The gas decomposes near the thunder point due to the pre-dissociation. A main discharge from the pulse compression circuit is then applied. The extraction will develop at the laser plasma point on the axis. Extraction occurs by magnetic compression. The laser-focusing point limit extraction position 'increases the stability of its position. (If the inductance at the center is too high, the laser beam must provide a discharge channel for the doughnut-shaped puppet. It must be experimentally tested). The enlarged electric shock front of the laser plasma will encounter the strong compression direction of the main gathering electric path. After the extraction of the electric channels, the 20 channels of the extracted electric polycondensation will heat the gas to a high free level and emit EUV rays. The back propagation of the strikes of the two power companies will effectively extend the time taken, and thus extend the time to fire. EUV rays are emitted in all directions. The radiation emitted through the large opening of the external electrode can be collected by the complementary incident collection optical device. Laser energy, focus size, and laser timing will determine the size of the main extraction plasma 37 1222248. Ray collector material The rays generated at the ray points are uniformly emitted into a complete 471 spherical angle. Some type of collection optics is required to capture such rays, and direct the rays toward the lithography tool. There are several materials available, with a high reflectivity for small complementary angles of 13 5nm UV light. Several line graphs are shown in Figure 11A. Good choices include molybdenum and rhodium in the range of 0 to about 20 degrees, and tungsten for very small complementary incident angles. The collector can be made from such materials, but a preferred material is a coating applied to a substrate structure material such as nickel. The conical section can be prepared on a movable mandrel by electroplating nickel. Conical nested sleeve collectors In order to produce collectors that can accept large cone angles, several tapered cross sections can be nested within each other. Each tapered cross-section uses more than one reflection of the ray to redirect its radiating is-line tapered cross-section to a predetermined direction. The design collection operation is closest to the residual incident, and a collector will be obtained, which can tolerate the deposition of the residual electrode material. The complementary incident reflectance of a mirror such as this mirror is strongly related to the surface roughness of the mirror. The dependence on the surface roughness decreases as the angle of incidence approaches the complementary incident. The applicant estimates that the applicant's device can collect and guide the emitted 20 13 nm rays through a solid angle of at least 25 degrees. In another embodiment, the 'collector-director' uses a chip collector to protect the surface from contamination of the vaporized electrode material. The fragmentary collector collects all tungsten vapor before the crane vapor reaches the collector-guide 4. Fig. 9 shows a conical nested sleeve collector 5 for collecting debris from electrical admissions. Debris collector 5 contains a cone-shaped wearing surface of nest 38 1222248, the surface of which is aligned with the light that emerges from the center of the picking position and is directed toward collector_guide 4. The debris collector collects tungsten gas and lithium gas from a tungsten electrode. Debris set = focused on or constitutes the ray collector-guide 4; 5 trowel. Both collectors include a nickel-plated substrate. The radiation collector-guide portion 4 is coated with molybdenum or rhodium to obtain a polar hafnium reflectance. It is preferred that the two collectors are heated to about 400 ° C. This temperature is substantially higher than the melting point of lithium and substantially lower than the melting point of tungsten. The vapor of both lithium and tungsten will be collected on the surface of the debris collector 5, but lithium will It is removed by gasification and is evaporated to such an extent that lithium is collected in the collector-guide 4 and is removed by gasification within 10 years. Once the tungsten is collected on the debris collector 5, it will remain there permanently. Parabolic collector Figure 8C shows the optical characteristics of the collector designed by the applicant. The collector shown in Figure 8A includes a nested complementary incident parabolic mirror, but only two of the five I5 mirrors are shown in the figure. The two internal mirrors are not shown. In this design, the collection angle is approximately 0.4 spherical angle. As discussed later, the collector surface is coated and heated to prevent lithium deposition. This design produces a parallel beam. Other better designs will focus the beam. The set is preferably coated with a material as described above, and is drawn in Figure 11 which is at 13. The 5 nm wavelength range has a high margin of 20 incident reflectance. Expanded sphere mirror Another collector-guide designed to focus the beam is shown in Figure 8B. This collector-guide uses an ellipsoidal mirror 30 to focus the EUV light source. This model is available from suppliers such as Reflex S. V. O. The factory is located in the Czech Republic with a total of 39 1222248 and is distributed by Bede Scientific Instruments to the United States. The offices are located in the United Kingdom and Eaglewood County, Colorado. The reader should note that this mirror can only collect rays shown in Figure 8B at an angle of 32. However, the inner side of the mirror 30 and the outer side of the mirror 30 may include other mirror elements to collect and focus 5 ray extra rays. The reader should also note that other mirror elements can be positioned downstream of the mirror 30 to collect narrow-angle rays, or positioned upstream of the mirror 30 to collect wide-angle rays. Interfacing with Ellipsoidal Mirrors Figure 19 shows the preferred collector-guide design for greatly improved EUV beam profile. This is a connected ellipsoidal mirror unit, which collects and guides the EUV rays extracted by the plasma 10. For most lithography applications, the target area must be uniformly exposed. The single or nested ellipsoidal mirror shown in Figure 2A is used to collect and refocus the EUV rays, resulting in a very uniform ray circle upstream and downstream of the focus 11 shown in Figure 2A. This is the natural effect of the ellipsoidal collector geometry. The stereo angle of the source ray per unit of mirror surface area collected from the front of the mirror 15 is greater than the angle collected from the back of the mirror. This effect can be reversed by using the second ellipsoidal mirror 44 shown in Fig. 19 to engage the first mirror 42. (In this embodiment, a single ellipsoidal mirror is used instead of the second nested ellipsoidal mirror). The second ellipsoidal mirror 44 is a mirror image of the first ellipsoidal mirror 42 with the second focal point of the first mirror as the center. In this way, the twenty-second ellipsoidal mirror is placed on the same axis of the first ellipsoidal mirror, and its first focus is positioned at the second focus of the first mirror. In this case of connecting ellipsoidal mirrors, the rays leaving the second focal point of the second mirror are circular, but the rays inside the ring are uniform. Now, the exposure uniformity becomes a function of the surface data of the ellipsoidal mirror, while the non-ellipsoidal mirror has a unique function of collecting geometry. 40 1222248 Analysis The optical characteristics of the connecting ellipsoidal mirror were analyzed by the applicant using the ray tracing code TracePro (supplied by Riddenton Research, Mass.). EUV rays from DPF sources are non-coherent. As a result, the ray tracing code can use 5 to determine the nature of the collected rays and the nature of the rays leaving the adapter. : EUV rays require special reflective surfaces such as osmium or ruthenium. This analysis is based on the assumption that the mirror has a perfect ellipsoidal mirror, and the rays are not polarized during reflection. The specularity is assumed to be 13. 5 nm reflective pure ruthenium. The light source is assumed to be a 50 micron-diameter disk, and the rays are emitted from the points on the surface of the light source in the same direction. This assumption does not hinder the basic nature of the adapter to produce a uniform circular exposure area. The geometry of the connecting ellipsoidal mirror is illustrated in Figure 19. Both mirrors have the same parameters. The short radius is 40 mm and the focal length is 150 mm. The lengths of the mirrors are 100 μm each, and are cut through the short diameter. The figure also shows a small number of random rays collected by the first mirror. At the first focus of the first mirror, the portion of the ray leaving the plasma extraction source 46 is collected and again focused on the second focus u of the first mirror. The rays away from the focal point 11 were collected by a second spherical lens at a distance of 3500 mm from the light source, and were again focused at the second focal point 48 of the second lens at a distance of 300 mm from the focal point. A 1: 1 image of the light source is generated at the focal point 48. When the ray leaves the focal point 48 20, the ray diverges to the debt detector 50 which is 9 9 mm away from the focal point, resulting in a circular exposure. The strength of this annular region is uniform, as shown in the Trispolo technique of Figure 19. The main ring zone ❸㈣㈣ is within ± 2 · 5% of the mean. The simulation of the beam side drawing performed by the applicant on the ballast 50 is shown in Fig. 19, which is compared with the similar 41 1222248-like simulation performed on the beam carrying surface at 9 mm downstream of the focus U. The cross section on one side of the graph is compared in Fig. 19, the cross section of the ballast 50 is shown at 52 and the cross section of the beam side in Fig. 19 is shown at 54. Manufacturing Manufacturing techniques for ellipsoidal mirrors have improved over the past decades. The surface quality of the ellipsoidal 5 mirror can already meet the requirements for surface data, surface roughness, and reflective surface materials used in the EUV region. Four materials have been identified as candidates for EUV ellipsoidal mirror surface materials: molybdenum, ruthenium, rhodium and palladium. These materials are at 13. 5nm has a fairly high complementary incident reflectance. The supplemental incident reflectance must be maintained at a relatively high angle, allowing the mirror to collect 10 reasonable solid angles from the light source inward. Theoretically, ruthenium has the highest collection efficiency among the four listed materials. The mirror system is manufactured through a series of methods. First, a mandrel is manufactured, which has data on the outside of a predetermined mirror. Typically, the mandrel is made of aluminum to a smaller size, and then nickel electroless nickel with 15% phosphorus is used to make the mandrel too large. 15Electroless nickel is about 0.5 mm thick, and the entire surface is diamond-cut to the mirror data expected by the supplier, such as Corning Netoptic. The office is located in Mapolo, Mass. This typically left about 0.01 mm of nickel on the surface of the mandrel. Although this diamond cutting technology is excellent, the surface is still insufficient for EUV mirrors at this stage. Diamond honing is accurate enough for the data 20 requirements, including the back and forth deviation of the ellipsoid and the surface roundness is accurate, but the micro-roughness is too high. Diamond roughened surfaces are polished to reduce micro-roughness to less than 0. 5 nm RMS. The high phosphorus content of electroless nickel results in nickel surface hardness, which requires high polishing. After the electroless nickel surface is properly polished and the surface data meets the specifications, the reflective surface material is coated on the surface of the mandrel. The exact 42 coating surface procedure depends on the nature of the reflective material to be added to the surface. After the reflective coating film was placed on the mandrel, nickel was electroformed on this surface to a thickness of about 0.5 mm. Apply force along the mandrel between the mandrel and the electroformed nickel to remove the electroformed nickel from the mandrel. The reflecting surface is left on the electroformed recording case and forms a mirror when sliding 5 away from the nickel surface of the mandrel. A highly polished, electroless nickel surface with a high phosphorus content is a natural release agent for reflective surfaces. After the mirror has been removed from the mandrel and the mandrel has been re-polished, the mandrel can be used to make more mirrors that are exact copies of the first mirror. Calibration 10 The positioning of the mirror relative to the light source and the positioning of the mirrors relative to each other are important for the proper functioning of the ellipsoidal mirror. Calibration can be done on the optical table. The light source is set at the same position as the DPF EUV light source. The optical properties of these ellipsoidal mirrors must be used. If the detector plane is set perpendicular to the optical axis of the second focal point, a small light source (50 μm in diameter (example)) can be set to a first focal point close to 15 ellipsoids. If the detector is in the second focus, the image will be centered and symmetrical. After determining the axial position of the second focus, the detector array can be moved away from the focus. The image is now symmetrical if the light source is above the mirror axis. This requires positioning the light source in two space sizes. The axial position of the first focus is determined by moving the detector to the second focus, and then moving the light source 20 along the mirror axis until the detector obtains the maximum signal at the center of the image. This procedure is repeated for the second mirror. After the second mirror has been calibrated, the entire assembly must be moved to the DPF. The fixture must be properly bolted to set the EUV light source at the first focus of the first mirror. The positioning accuracy is at least 25% of the effective diameter of the DpF Euv light source. The current estimate of the diameter of the DPF light source is ⑽ microns (must be estimated along the machine axis). The expected calibration accuracy is 20 microns from the vertical machine axis plane. The axial alignment of the adapter lens is not particularly limited, and is expected to be approximately 0.5 mm. The lithography projection optical device 5 The preferred embodiment of the EUV projection system is designed to map the point of the light source into the incident aperture of the projection optical device, and to map the far field intensity of the light source (that is, the moon b 畺 relative to the angle) to the target On the line. This design is needed because the uniformity of the incident diaphragm is important but not critical, so the uniformity of the reticle plane is critical. This design idea explores the fact that the light emission is 10 anisotropy, so the light emission intensity is uniform with respect to the angle. The two-mirror concept restores this uniform intensity versus angular nature (at least within the cone range of the mirror capture angle). The EUV illuminator presents a "ring" of intensity relative to the angle broken into fragments or arcs, and the arcs are superimposed on the reticle. This improves the uniformity and can be implemented in the EUV system. The reason is that the υν system is a scanner, so it only needs to be illuminated in the gap area. Debris relief The intermediate focus U and the final focus 48 between the two mirrors isolate the light source area from the lithography exposure area. At this point, EUV rays can pass through the pinholes, pinholes blocking any source debris or active gas (active gas penetrating into the 20th area of the -ellipsoid mirror unit) to reach the exposure chamber, but will not restrict EUV rays from reaching exposure room. In addition, the 'small pinhole' allows the exposure chamber to have a much lower pressure than required for DPF operation. Hybrid collection Based on currently available mirror technology, there are only two types of mirrors, 44 1222248 providing 0. A reflection value of 7 or more. As shown in Figure 11A, a small number of materials provide good complementary corner mirrors. For example, the reflection of the smooth surface is about 90% with the complement angle less than 10 degrees, but the reflection of molybdenum drops rapidly at 15 degrees to the complement angle to less than 10% at 25 degrees. On the other hand, the special multilayer 5 mirror is designed to provide a reflectance in the normal incidence angle range of 60% to 70%, but the reflectance of the multilayer mirror still maintains a high reflectance, which is only 5-8 degrees from the normal. , It is reduced to less than about 10% when the incident angle is greater than about 10 to 15 degrees. Other multilayer mirrors can be designed to have a reflectance of about 30% over a wide range up to about 20 degrees near the normal. Using these currently available mirror technologies, the applicant has developed a variety of collector designs to obtain maximum collected light. Three of these designs are shown in Figures 11B, 11D and 11E. The applicant refers to such a collector as a hybrid collector because it uses multiple collection techniques. For example, the prior art includes a nested ellipsoidal mirror and nested complementary angle through a parabolic mirror including a double rebound parabolic mirror. Most multilayer mirrors are designed with a single rebound close to the normal parabolic 15-parallel design. Figure 11B is a partial cross-sectional view of the hybrid collector. The hybrid collector utilizes two ruthenium-coated ellipsoidal mirrors 80 and 81 and two double-rebound ruthenium-coated parabolic mirrors 82 and 83 to provide a focal length of 1500 mm. Figure lie shows the reflection efficiency of the mirror at a light incident angle of about 10 to 55 degrees. This design collects significantly more light than the prior art's ball design or parabolic design. About 20 applicants estimated that about 25% of the emitted light was collected, and 79% of the collected light was delivered to a 15,000 mm intermediate focus. This is equivalent to a 20% collection efficiency estimate. Fig. 11D shows a modified version of the collector of Fig. 11B, in which an extra parabolic double mirror 84 and a parabolic triple mirror 85 are used to improve the net energy collection to about 28%. 45 Fig. 11E shows a third hybrid version, which is also A modified version of the 11B atlas pole, in addition to the two ellipsoidal mirrors and two rebound parabolic mirrors, the applicant adds a third second rebound parabolic mirror 86 and-complementary angle curve ray tracking mirror 87, and a multilayer parabolic mirror 88 can reflect and the normal angle of about 9 degrees, to improve the collection efficiency from about 20% to about 25%.

另-具體實施例中,複數雷射束可經由電極的對應開 口聚焦至一個共通中心焦點。主放電係順著雷射通道, 會聚於中心電衆。 I 碎屑屏蔽件 碎屑屏蔽件的製造技術 如前文說明,碎屑屏蔽件對於目前考慮的實質全邛 EUV光源而言都是重要的元件。完美的碎屑屏蔽件不 捉全部碎屑,且可透射全部合規頻帶射線。因碎屑屏^件 可能使用壽命有限,因此也較佳為不難製造。三種碎屑屏 蔽件的較佳製造技術顯示於第28A-B、29A-C及30A-C圖 至於第26A及B圖所述技術,製造活動皮狀角錐形,如 第26A圖所示,該型的小端***格栅形結構,例如第“Η圖 所示結構。帶有翅片匹配各角錐形大端一孔的隔板置於角 錐形的較大端上方來隔開各角錐形達格柵厚度,格柵厚度 較佳為約0.01至0·1毫米或以下。格柵隔件提供角錐形間的 狹窄空間,該空間係以液態金屬或液態陶瓷填補。當金屬 或陶瓷硬化時,模型被去除而形成碎屑屏蔽件。 至於第5A-C圖之技術,中空圓錐例如第5Β圖顯示於乃 的中空圓錐係由第5Α圖顯示於77之箔片切削的極薄厚約 1222248 0.1¾米的金屬絲接製成。空中圓錐***人金屬模型(第 5C圖顯示於78)而形成碎屑屏蔽件。 如第7A-C圖所示,較佳碎屑屏蔽件可經由層合薄片製 成。各薄片有其本身的徑向袼柵金工,格拇金工的圖案向 5外愈長愈大,故多片薄片堆疊時,產生如第7八^圖所示的 預定形狀。 層合辦法之優點為通道之非均勻表面提供粒狀物的蜿 蜒路徑,有多個旋渦會讓粒狀物被捕捉於其中。另一項優 勢為屏蔽件總成可由多種材料製成。證實可有利地使用耐 10熱陶究接近光源,或許有絕佳導熱性例如銅之材料可辅助 由該區去除熱。 磁性抑制 另一項提高此種EUV光源之碎屑屏蔽件效果技術係施 加磁場於碎屑屏蔽區以及撮取於屏蔽中間區域。磁場較佳 15垂直EUV光束軸導向,故當磁場趨近於且進入碎屑屏蔽件 時,磁場強迫帶電粒子形成彎曲彈道。為了提升碎屑屏蔽 件的效果,碎屑可於脈衝後進一步游離。脈衝後游離可使 用刖置游離的相同元件進行,或類似游離元件可用於撮取 後游離。 20 另一具體實施例中,大直徑線圈(直徑大於集極鏡直徑) 可於鏡及電漿源同軸架設。通常可施加高電流至線圈來於 轴向誘生高磁場。較佳電流可加脈衝(脈衝寬度約數十微秒) 來達成馬感應場強度(約為10特斯拉(Tesla))。怪定磁場且較 佳為高傳導線圈也可用來產生高磁場。如此足夠反射大部 47 1222248 分激活離子至彎曲純,讓統離子錯開集極鏡 可將線圈安裝於真空 。南磁場 。線圈必 室内側或 將導致電漿源容積略騎長,但此種伸長可忍受 須女裝在某種承載結構上 外側。 帶電粒子於磁狀”半_由移動付式主宰: F^qCvxB) 由此導出質量Μ加速至電壓乂的離子之磁剛性(b*r)表 示為: B*R=144(M*V)° 5 1〇 應用此種情況,想要偏轉帶單-電荷Xe離子(質量132) 加速至1000伏特,獲得剛性: B*R=144(132”_ 严(G-cm)==52,318G_cm 因此若欲讓離子於半徑10厘米的電路軌道上移動,則 要求磁%為52,318〇-厘米/1〇厘米,等於約5232高斯。 15 通常為了偏轉有不同質量及能量的離子,需要較強或 較弱的磁場。磁場的組態可經調整來對EUV之各種選項獲 得最佳屏蔽能力,調整方式係將線圈繞線成為各種組態、 或使用線圈與永久磁鐵的組合來達成預定的磁場情況。用 於此等磁場,線圈可置於真空容器外部或内部。產生指定 2〇磁場所需驅動線圈的電流容易求出。 蜂巢式碎屑屏蔽件 第9A、9B及9C圖顯示特殊較佳具體實施例範例,其利 用錐形由粉末成形的蜂巢體作為碎屑集極,帶有橢圓體射 線集極。碎屑集極較佳係使用美國專利第6,299,958號所述 48 1222248 技術之一製造,該案以引用方式併入此處。碎屑屏蔽件係 經由重整程序製造,其中由塑化粉末分批材料成形的前驅 物蜂巢體被填裝相容性塑膠填補材料,然後將已經經過填 充的蜂巢體通過錐形模型成形。此項處理強迫填充材料與 5蜂巢體結構收縮。現在變成錐形的結構體由模型移出,藉 例如熔化等處理而去除填充材料。然後現在變成錐形的蜂 巢體例如藉燒結而硬化。第9A圖為三度空間切除略圖,顯 不撮取區100、蜂巢碎屑屏蔽件102以及橢球形射線集極導 向器104。卩分。第9B圖顯示第9A圖的元件連同來自撮取區 10 100之四射線射線執跡106A、B、C及D之剖面圖。第9C圖 顯示額外橢球元件如何被巢套來聚焦更多光。較佳9或1〇個 元件被巢套於外側的橢球元件内側。粉末、黏結劑材料以 及填充材料係選自專利案第6,299,958號列舉的材料。材料 的選擇須了解碎屑屏蔽件必須可忍受極端紫外光射線條 件。較佳選擇為粉末以及其它選用來製造菫青石的材料包 含矽、錳及鋁。 活性材料與緩衝氣體 活性材料與緩衝氣體的選擇 若干活性材料及緩衝氣體可用於產生波長約13·2奈米 〇至13·8奈米範圍之EUV光。較佳活性材料為氙、錫或鋰。 三種活性材料於前文討論於標題名稱r 12-14奈米EUV光 源」乙節。銦、鎘及銀也是可能的候選者。或使用前述材 料之一作為活性材料,而非使用貴氣,則例如氦、氖或氬 可用作為緩衝氣體。氮氣或氫氣可添加至可能的緩衝氣體 49 1222248 選單當中’特別若使用氣作為活性材料時可使用氮氣或氫 氣作為緩衝氣體。大部分具體實施例中,活性材料(其為金 屬)係呈瘵氣添加至放電室,但也可呈液體或固體添加,可 呈溶液或粉末形式添加。 5 ㈣活性材料可提供於13.2至13.8奈米期望範圍的發 光光線,故全部此等活性材料皆可選用,如前文說明,原 因在於可取得對此種範圍的紫外光有相對良好性質的反射 光學裝置。若當良好光學元件可利用於比此種範圍更低或 更高的其它波長範圍時,可搜尋週期表以及對應發射光線 1〇參考文獻尋找其它替代材料。此外緩衝氣體不僅限於前述 氣體。 經由陽極注入 第18A圖顯不本發明之較佳具體實施例之特色,其中本 例之活絲體為氣(齡丨份及14份氦)輯健人。緩衝氣 15體(本例為聰/。氦)注入於集極導向器8下游區域12。碎屑 集極6包括巢套錐形區段,提供窄通道與由撮取區中心至集 極導向器8延伸之射線排齊。此種通道允許約眺被導引朝 向集極-導向器8之光子通過,但實質延遲於撮取區產生的 碎屑通過,光子遵循的路徑遠比咖光更隨機。氣體由真 2〇空室雖由埠口 14藉每秒40升的真空幫浦排氣。因此來自 氣體饋送源12之緩衝氣流通過碎屬集極6的狹窄通道,因此 延遲來自撮取的碎屑通過,也延遲來自撮取區的氣活性氣 體流入真空室1〇區。因此,實質全部來自撮取區的碎屑以 及經由槔口 24注入的活性氣體皆經由棒口 14排氣,或塗覆 50 Ϊ222248 於碎肩集極表面、或碎屬集極上游的容器内側壁。如此避 ^集極-導向器8被來自撮取的碎4污染,且減少光束被氣 乳所哀減,原因在於緩衝氣體流經碎4集極6的狭窄通道, 避免有大量氙進入碎屑集極6下游區。 5 雙向氣流 第18Β圖顯示本發明具體實施例之特色,其中使用雙向 氣流來允許經控制濃度之活性氣體接近撮取區,而於euv 光束路仅下私σ卩的/舌性氣體濃度極小。此種情況下,活性 氣體被導引通過陽極18Α中心,第18Β圖顯示於以。本較佳 10具體實施例中,被導入的氣體為氤與氦之1/15至14/15混合 物。如前述具體實施例氦也是於12被導入。由二來源導入 的氣體於14使用前述類型的真空幫浦排氣。氣體的流動係 控制為可於撮取區產生約〇·75托耳壓力,於集極-導向器區 產生約1托耳壓力,故來自集極-導向器區的氣流遠比來自 15撮取區的氣流更大。 活性氣體之上游注入 第18C圖顯示控制碎屑及活性氣體,將Ευν被活性氣體 的吸收減至最低之另一種較佳技術。掇取區之氣體壓力約 為〇·5托耳。本具體實施例中,於真空室1〇内流動的氣流係 20配置成辅助防止來自撮取區的碎屑到達集極_導向器單元8 區域,且減少超出環繞撮取區中間容積區域之活性氣體 量。活性氣體量例如為氙經由喷嘴2以約5 SCCM之速率注 入撮取區上游約3厘米位置,幾乎全部以泵送速度5〇升/秒 沿其軸通過沿著電極18Α之排氣口 3而排放。排氣流係由真 51 1222248 空幫浦提供’真空幫浦例如鼓風機背後接著阿奈特伊b圭塔 (Anect IWata)ISP-5〇0捲動幫浦,得自加拿大協同真空公 司。如此提供每秒40升的泵送速度。氙係經由沿碎屑捕集 器6中區的氣體管4而饋至喷嘴2。碎屑捕集器6包含巢套錐 5形截®於6A,其表面與崎純置巾心伸丨且㈣集極_ 導向器8導向的光線校準。巢套錐形截面提供撮取產生之 EUV光子被導引朝向集極_導向器8之無障礙通路。通路狹 窄,長約10厘米。 碎屑集極6(藉冷凝)收集由鎢電極18Α氣化的鎢(若活 10性氣體為鐘蒸氣,則鐘蒸氣將冷凝於碎屑集極6表面)。 緩衝氣體(本具體實施例緩衝氣體為氦)注入集極_導向 器8下游,如圖顯示於12位置,大部分緩衝氣體係藉前文說 明之该型真空幫浦(圖中未顯示)而經排氣口 14由真空室⑺ 排放。約90%氦氣流於朝向撮取區方向流經集極-導向器8, 15全部緩衝氣體皆通過巢套錐形截面區6Α。如前例所述,此 種氣體流有助於防止撮取區產生的碎屑到達集極_導向器 8,也可減少光徑上由集極_導向器8收集且導向而產生輸出 EUV光束之活性氣體量。此等特點相當重要,原因在於任 何累積於碎屑集極6上的碎屑皆降低反射率,於EUV光束路 20徑上的活性氣體將會衰減光束。 經崞口 3排放的氣體較佳經過渡波且排放至大氣。經淳 口 14排放的氣體也排放至大氣,而不會造成過量氣體成 本’此種系統的總氦氣流量只約每小時16克。另外,氣及/ 或活性氣體可被分離及再循環。 52 1222248 鐘作為活性氣體 鐘蒸氣可更有效地將振取能轉成於預定波長範圍的有 用光。鋰於室溫為固體,於180°C至1342°C之溫度間為液 體。有多種方法可供將鋰蒸氣導入放電區及撮取區。鋰邛 5被加熱至其蒸氣溫度,且呈蒸氣而導入。鋰可呈固體或液 體導入’藉放電或撮取而氣化,或鋰可以其它能量形式氣 化例如高功率雷射脈衝氣化,或藉某種其它的加熱形式例 如電阻加熱元件、放電或射頻加熱而氣化。鋰也可呈化合 物例如Li20、LiH、LiOH、LiQ、Li2C03、LiF、CH3或其 10 於水或其它液體之溶液形式而導入。 鋰也可利用雷射誘生氣化或消蝕而被輸送至撮取區。 鋰金屬目標30如第18D圖所示,附著於安裝於碎屑集極中盤 的固定架。一較佳實施例中,KrF準分子雷射32產生波長248 奈米之脈衝雷射束以及每脈衝100毫焦耳至200毫焦耳能 15 量,有效脈衝長度50奈秒通過安裝於陽極上游端之窗34。 光通過中空陽極,利用安裝於真空室外部的透鏡36而聚焦 成直徑約1毫米的焦點。此種雷射強度及焦點大小足夠加熱 鋰金屬,溫度的升高係由氣化潛熱主控。所需臨限值功率 密度約為5xl07瓦/平方厘米。於較低功率時,鐘可於指定溫 20 度由蒸氣壓掌控的速率而氣化。 另一具體實施例中,第18A圖所示中心電極的中區填事 鋰金屬,如第Π圖之38所示,雷射束通過碎屑屏蔽件8中 心,如第17圖於40所示。 另一項輸送鋰至撮取區的技術’為附著叙金屬至鶴 53 板,其又安裝於含有永久磁鐵之殼體。此種配置係安裝於 碎屑集極之絕緣軸。鋰金屬進一步覆蓋鎢光罩來只有曝光 一小區鐘。射頻產生的電漿係於鋰目標前方利用於500 MHz至2.45 GHz頻率操作的射頻產生器產生。放電可以脈 5衝模式或<:界模式操作。於脈衝模式,放電將與電漿撮取同 步化。5000瓦的射頻功率通常即足。 產生的電漿係由緩衝氣體通常為氦氣組成。氦離子係 藉靶加負偏壓至鋰目標而由電漿提取。5〇〇伏特至2〇〇〇伏特 之偏壓即足。He+離子碰撞鋰,由表面濺鍍鋰原子。濺鍍產 10率超過所述偏壓能對正常入射而言為約〇.2至〇·3。對補餘入 射以及高溫鋰預期可獲得顯著較高產率。 前置游離的改良 DPF可使用多項不同技術前置游離,各技術對EUV的 輸出有有利效果。原先用於西莫DPF的技術係基於驅動一 15組安裝於裝置外電極的火星塞型接腳138,如第2A(2)圖所 示。接腳係藉高電壓脈衝例如RF模擬器或藉6〇〇〇系列整流 器的單極性輸出驅動。使用RF模擬器或整流器引發崩潰所 需電壓為+/ 2G千伏特。㈣人也證實前置游離來源可位在 陰極遠端’但未在主真空容器内部。此乃線圈天線。申請 20人也成功地使用筆直天線來進行前置游離。 此型天線可為線型或成形為螺旋線圈型。天線可藉射 頻模擬器輸送於13千赫兹的高電壓脈衝經歷2微秒而驅 動,整流器輸送正或負極性脈衝而驅動,或藉射頻放大器 而驅動。發明人證實可支援1〇千赫兹脈衝重複率。外部前 54 置游離(位在陽極/陰極區外側天線)為負極性深電槳焦點前 置游離所需模式。使用正極性DPF,使用前文第1圖所示「内 部」天線可達成略為較佳的前置游離。 第32圖顯示前置游離脈衝須相對於dpF主脈衝調整來 5達成最理想效果。若前置游離為過早(如92所示)或過遲(例 如顯示於93),則深電漿焦點的效率受不利影響。 前置游離注入氣體 申請人發現於介穩態的氣體比穩定氣體更容易前置游 離。氣體可經由於注入放電腔室前游離而進入介穩態。第 10 2八(4)圖及18A-E圖顯示氣體注入技術。各例中,注入的氣 體可藉高電壓放電(例如15千伏特脈衝,持續時間數奈秒) 或藉射頻前置游離而進入介穩態。介穩態持續約5〇毫秒時 間’因此使用氣體流速約1米/秒,若游離放電距離撮取放 電原點上游約5厘米,則將會形成大量介穩原子。 15 當氙為活性氣體時,另一項有用技術係安裝射頻線圈 套住氙進入放電區的進氣口。申請人提示2百萬赫茲至2.5 百萬赫茲之射頻頻率可造成氙氣體於進氣管分解。另外, 可使用於氙進氣管之高電壓脈衝放電。較佳具體實施例 中,施加磁場導引如此產生的氙離子至引發撮取放電的特 20 定位置。 喷嘴輔助前置游離 申請人***裝置產生EUV光的最佳壓力約為1〇〇毫 托耳或以下。此種放電壓力位在巴斯肯(Paschen)崩潰曲線 左端,需要極高電壓來崩潰而產生游離。較高壓時游離遠 55 1222248 較容易。符合前節所述技術之解決之道係於用來將緩衝氣 體或活性氣體注入放電室的噴嘴產生前置游離。於注入管 產生離子之技術討論如前。另一項技術係由腔室内側導引 游離射線至注入噴嘴,如第31圖所示。此種射線較佳放電 5 而產生紫外光或X光。 氫氣作為緩衝氣體 申請人發現原型機的EUV光學裝置受到碳沉積污染。 厚度1奈米的碳可造成多層光學裝置之約1%相對反射比損 失及以上(補餘入射光學裝置損失高達約10%)。一項已知技 10術係添加氧至緩衝氣體’與碳反應而產生一氧化碳及二氧 化碳。但氧也可與產生氧化物的光學裝置反應,造成光學 裝置的劣化。 申請人提示添加氫氣至__較仙2()%至5()%。氫 氣不會於13.5奈米吸收,氫氣麵刻碳,氣氣也與氧反應。 15此外氫可只有短時間定期添加作為維修計劃的一部分來清 潔光學裝置,而在光學裝置清潔之後去除氮。 最佳化技術 最佳化電容 申請人發現電漿撮取事件與來自軸電容㈣組的電 2〇流流動尖峰同時發生時,存在有最高電装溫度。對指定陽 極組態及緩衝氣體密度而言,電衆鋒將對指定量充電電壓 於指定時間前進通過陽極長度。經由調整電容值及充電電 壓’讓尖峰電容器電流存在於電襞振取事件期,可獲得最 大發光效率。 56 若需要較高輪入能量位準,如此需要較高充電電壓, Z須降低驅動電容,讓驅動波形的時序沿_長度匹配電 =向下前進時間。因儲存於電容器的能量隨電壓平方比例 變大而隨電容成線性比例增大,故當隨電壓的増高成比例 地下降電容時,儲存能量將隨著電壓而線性増高。 第13圖為略圖顯示較佳具體實施例之測量得之驅動電 今電壓、測量得之陽極電壓及EUV強度相對於時間,電容 經過適當選擇而於撮取期間產生最大電容器電流。此種情 况下,對長2厘米之陽極而言,氮氣緩衝氣體壓力為2·5托 1〇耳及Cl電容為3微法拉第。 中心電極之最佳形狀 毛月人使用中空陽極配置發現一旦形成撮取,電漿的 撮取沿軸線方向快速增長,且將延伸至中空陽極開口。隨 著撮取長度的延長,最終延其長度有過多電壓降,跨陽極 表面發生飛弧。防止飛弧之解決辦法係使用發射屏,對由 陽極延伸的撮取長度的延長提供實體阻隔壁,說明如前。 另一項解決辦法係減低撮取長度生長入中空陽極的速率, 增加陽極窄區開口直徑,如第14C及14D(1)圖所示。顯示撮 取長度的生長以及防止飛弧。所有先前參考文獻皆顯示中 2〇空陽極有恆定尺寸中空部。第14A、14B、14C及14D圖顯示 各種中空陽極形狀之撮取形狀範例。第14D圖所示組態顯示 最短撮取形狀。 中心電極之暴露長度 因電聚耗盡時間決定驅動電壓波形出現撮取位置,故 57 申請人可經由改變曝光陽極量以及電漿耗盡時間而調整電 聚聚焦裝置撮取部的持續時間。緩衝氣體密度係藉預定電 «取直徑決定’實際上驅動電容係限於某種範圍。兩種 參數組合驅動電壓來決定預定耗盡時間。然後耗盡時間可 5藉增減曝光陽極量來調整。較佳耗盡時間的選擇,讓電浆 麻事件係出現於驅動電流波形尖缘。若需要較長的電衆 撮取時間,則可縮短陽極曝光長度,因此縮短耗盡時間, 造成電漿撮取出現於驅動波形的較為早期。 射頻功率蒸氣之產生 10 敎說明之金屬蒸11輸送架構餘據充分提高陽極溫 度,讓金屬蒸氣壓力達到預定程度決定。此種溫度對經而 言係於1000°C-1300t:之範圍’對錫而言為2 26(rc。 替代之道係由-種材料製造射頻天線,例如多孔鎢使 用链浸潤的材料。此種多孔的鐘填充嫣天線5〇置於陽極内 I5部’如第!5圖所示。射頻電源52形成電槳層於天線上且接 近天線,將驅離藉氣流54流經中空陽極中心而被掃除的離 子,鐘離子被攜帶至陽極末端。金屬離子製造速率容易藉 射頻源功率位準控制。此外多孔鎢陽極可使用此種射頻驅 動維持於足夠讓液體金屬由置於陽極底部的貯器56向上芯 20 吸的溫度。 電極冷卻 中心電極的冷卻 本發明之較佳具體實施例中,中心陽極之外徑約為0·5 厘米至1·25厘米。中心電極由於放電時的電漿下降以及由 58 2來自電|撮取的射線吸收,故中心電極吸收相當能量。 而^勺15千瓦或以上的冷卻。因氣體壓力極低,故無法經 由緩衝氣體的對流而有大量冷卻。射線冷卻只有在極高陽 極溫度時才有效。順著陽極長度的傳導需要極大的溫度下 5 降。 熱管 若鋰蒸氣用作為活性氣體,且經陽極中心注入,則陽 $溫度必須維持於⑽吖至⑽… /皿操作|貝要求去除熱、封包考量以及高電壓限制的冷 ⑺部技術的選擇。但有一項技術亦即鐘(或其它驗金屬)熱管可 提供相對簡單且強而有力的解決之道。裡熱管於約1〇〇叱 溫度有效開始操作。此種裝置之特定設計典型係使用耐火 金屬亦即銦及鶴作為外殼以及内芯,因此可於極高溫操作。 最簡單具體實施例係呈管形或環形熱管,該熱管與 I5 DPF陽極整合—體而獲得最佳的餘合。類似具體實施例 為%形,讓液態鋰或氣化鋰輸送至DpF電漿。舉例言之, 0.5吋直徑實心熱管去除15千瓦,具有功率密度乃千瓦/平方 吋(11.8千瓦/平方厘米)。具有外徑1〇吋及内徑〇·5吋之環形 熱官,去除15千瓦熱,具有功率密度25.4千瓦/平方吋(3.9 20千瓦/平方厘米)。此等實施例證實此項技術的展望,原因在 於使用鋰熱管驗證遠超過15千瓦/平方厘米之功率密度。操 作時,熱管沿其長度只有極小的溫度梯度,可被視為供實 際應用時沿縱向方向為恆溫。故熱管的「冷端」(冷凝器端) 也於或高於lOOOt溫度。為了由熱管之冷凝器端除熱,較 59 佳具體實施例利用射線冷卻至液體冷媒(例如水)夾套。輻射 傳熱係隨著溫度的第四次羃而成比例擴大,因此於提議之 操作溫度將可達成高傳熱速率。熱管可由環狀水熱交換器 包圍,熱父換器可於15千瓦穩定操作。其它具體實施例可 使用其它材料例如不鏽鋼絕緣熱管的冷凝器端,以及使用 液體冷媒冷卻材料外表面。無論採用何種技術,重要地熱 管不會以冷凝器的冷媒「衝擊」,換言之強制冷卻至遠比氣 化裔端更冷。如此將嚴重影響效能。此外若熱管溫度於沿 縱向方向之任一點降至低於工作流體的冰點(對鋰而言約 為180°C),則將絲毫也無法發揮功能。 接近中心電極(陽極)底部的元件操作溫度限制要求傳 熱至此區的熱量最小化。此項條件例如可經由使用低發射 性材料塗覆熱管外表面接近較低溫容忍區而達成。然後可 ;1於熱官與預定較低溫元件間製成真空間隙。由於真空的 導熱率極低,且因熱管被塗覆以低發射性材料,故介於熱 管與冷卻器元件間出現的傳熱將最小化。將經過控制的陽 極溫度維持於各種功率負載水平乃另一項考量。此項目的 可經由將工作缸置於熱管與水冷式外夾套間達成。工作缸 經過塗覆或經過光整來於内部直徑獲得高反射率,以及外 邛直後獲得低發射性。若工作缸完全***輻射熱管與水冷 式失套間,則射線將被朝向熱管反射回,如此降低由熱管 至失套之功率流。當「限制器」工作缸被拔出時,較大比 J的…、言冷减器之熱可直接輕射至水夾套熱交換器。調整 「限制器」位置,控制功率流,設定熱管的穩態操作溫度, 最終設定陽極穩態操作溫度。 使用熱管冷卻之較佳具體實施例顯示於第16圖,第16 圖顯示陽極8A、陰極8B及絕緣體元件9。本例使用鋰蒸氣 作為活性氣體,且經陽極8A中心(顯示於440)輸送至放電 室。陽極8A使用包含鋰熱管444之鋰熱管系統442冷卻。熱 管ΦΜ之傳熱區糾6内部之鋰於接近電極8A的熱端氣化,蒸 氣朝向熱管的冷卻器端流動,於該處熱由熱管藉輻射冷卻 傳熱至熱味早元446 ’熱陕早元具有藉水盤管450冷卻的熱 味表面448。鋰蒸氣的冷卻造成其狀態改成液體,液體根據 眾所周知的熱管技術芯吸返回熱端。該具體實施例中,限 制器工作缸452基於驅動器,於熱阱表面448内部上下滑動 (顯示於454),驅動器構成溫度回授控制單元(圖中未顯示) 的一部分。陽極熱管單元較佳也包含輔助加熱系統,當電 漿振取裝置未產生足量熱時,輔助加熱系統可維持鐘於超 過其冰點的溫度。 中心電極的水冷卻 另一種較佳中心電極冷卻方法顯示於第2〇、2〇a、21 及22圖。本例中,水被加壓循環通過中心電極。第2〇c圖顯 示的中心電極8A包含二部分,亦即由單晶鶴(得自馬泰克 (Mateck)公司,德國富立許)組成的放電部8A卜以及燒結鎢 組成的下部8B1。外電極8B係由二部分組成亦即一蓋8扪以 及一底8B2,二者皆由氧化物硬化銅材料製成,該材料係以 商品名葛麗可(Glidcop)出售。氧化物材料為鋁氧。外電極 製作成兩部分來提供外電極冷卻的水通道460。電極係藉勺 1222248 含氮化调或碳化矽之主絕緣體462,鋁氧沉積於不鏽鋼底部 8A3之層464以及聚醯亞胺466(較佳以商品名開普頓 (Kapton)得自杜邦公司)彼此絕緣。通過中心電極的水路徑 以箭頭468顯示於第20C圖。圓柱形不鏽鋼隔件470隔開電極 5的供電流及返回流。零組件8A卜8A2及8A3使用金/鎳或金 /銅銅焊材料例如尼歐德(Niord)或50 An-50c銅焊在一起。 徑向耗盡的電漿撮取 本發明之較佳具體實施例利用前文說明之脈衝功率特 色、射線收集特色以及碎屬控制特色,採用如第2A、2B、 10 2C及2D圖所示之電極配置。此種電極配置比第21圖所示之 電極組態各有優劣點。電極表面積較大,故可減少熱問題。 也有較少放電的纖絲化,或許可獲得較佳電漿約束,且可 能獲得較佳徑向穩定性。申請人認為其可設計電極來產生 沿電極軸線的撮取,如第21圖所示。 15 多重EUV光源的使用 如前文說明,本發明之較佳應用係應用於未來機器的 微影術光源,至少該種機器的製造版本尚未設計與確立。 可能發光功率超過利用此處所述技術藉單_EUV光源所方 便產生的發光功率。此種情況下,可組合兩個或兩個以上 20的EUV光源來提供所需發光。較佳來自各光源的光使用類 似此處所述技術控制,且投射至單一縫隙,該縫隙將構成 微影術設備的光源。 整合微影術機器 於車父佳具體貫施例,部分EUV光源單元直接整合微影 62 術單元,例如第2A(21)_ /iL 7之步進機。整合一體的愛έ日 件包括整流器及固態脈衝功率w 體的零組 器,其包括電極集合、㈣’及真空容 真空幫浦,全部皆顯示於第2A(21R及射線集極及渴輪分子 (21)圖的120。承載設備(句衽 電子控制裝置、高電壓電源 承載又備(已括 又充電器、電源配送李轉 ::冷卻=體控制的流體管理)皆位於承載設備= 内部,该篋係與微影術單元(若右 ’所需可位在分開室)分間, 微影術單元全部皆顯示於122。粗真空幫浦及高壓二 10 湖,第三篋可位於分開室内,微影 包含發光光學裝置、標線片、端,丨止β 址 5縮小光學裝置及晶圓失持設 備0 電極溶蝕 減少溶钱 I請人使用早期EUV裝置原型機實_示 ,電極溶蚀 15構成嚴重問題,申請人發展出數項技術來處理此項問題。 申請人發現透過使用申請人的***電漿撮取裝置進行實 驗,放電電路之電感於撮取發生時劇增,大為降低電流, 且”於電極間產生電场增南。結果陽極與陰極間發生的第 二次崩潰通常是接近陽極梢端,如第2Α(2)圖所示。如此於 20崩潰位置產生溶蝕。申請人提示經由設置裝置促使於溶蝕 不成問題的位置促進撮取後放電,來減少此項問題。一種 技術係將含電漿氣體注入電極間下區,來於此下方位置, 於遠離陽極梢端,產生後撮取。 由陽極溶蝕材料之濺鍍置換 63 1222248 申請人使用其***裝置進行實驗顯示長期操作時出 現實質陽極溶蝕。如前述,此種電漿撮取裝置主要預期用 途係用於積體電路的製造。如此表示電漿撮取裝置必須可 實質連續操作數日或數週然後才停機維修。因此必須找出 5可延長電極壽命的技術。一項可能技術係提供濺鍍源,用 以濺鍍電極材料至一或二電極上。第25圖為草圖顯示提供 濺鍍鎢來補充電極溶蝕之二鎢濺鍍源。申請人發現用於前 置游離之短脈衝高電壓驅動電極產生濺鍍離子,濺鍍離子 收集於陽極側及陰極側上。陽極側也是大部分電極溶钱位 10置。因此申請人提示設置與陽極及陰極相同材料製成之犧 牲電極,特別設計供藉濺鍍溶蝕。犧牲電極的位置必須讓 被濺鍍的電極材料可導向至陽極及/或陰極遭受惡化溶蝕 區。較佳犧牲電極係設計成’犧牲電極容易補充或可隨著 溶蝕而定期伸長入放電室内。若干被濺鍍材料將收集於絕 15緣體表面上,但申請人了解濺鍍鎢沉積於此等裝置之絕緣 體表面上不成問題。 絕緣體覆蓋電極 申請人於實際試驗發現經由以絕緣體材料覆蓋中心電 極側壁,可大減中心電極的溶蝕。經由使用絕緣體材料覆 2〇蓋電極之可能面對高電流密度部分,撮取後放電電流被強 L展開於電極不同區的較寬廣面積。此項技術可用來降低 電子或離子衝擊陽極或陰極區域之電流密度。降低溶蝕速 率,結果導致碎屑產生減少,電極壽命延長,仍然有些來 自/月動放電之溶餘及碎屑跨越絕緣體,但不如電極溶|虫的 64 1222248 嚴重。所謂之「閃火發弧」結果導致高溶蝕率只發生於傳 導表面。因此於電極被絕緣體覆蓋區域可免除溶蝕及碎屑。 如此較佳具體實施例為緊密電漿聚焦,帶有尋常陽極 及陰極組態,但不含順著内電極外徑(耗盡長度)之滑動放 5電。取而代之,内電極被長絕緣體管所覆蓋管凸起,換言 之去除内電極直徑。即使有效電感略增,軸線上仍然出現 強力撮取,結果導致EUV的產生。與習知緊密電漿聚焦裝 置相反,順著内電極並未出現耗盡。内電極内表面也被絕 緣體材料覆蓋來免除此區的閃火發弧。此種絕緣體必須有 10適當内徑,俾不致於縮小撮取大小及降低EUV輸出。 較佳具體實施例參考第26A及26B圖。第26圖中,絕緣 體60覆蓋外表面;第26B圖中,除了外側的絕緣體6〇外,絕 緣體62覆蓋内表面。二圖之陽極標示於糾以及陰極標示為 65 〇 15 熱解石墨電極 較佳具體實施例中,第2A(2)圖顯示於8A之陽極放電表 面以熱解石墨覆蓋。陽極本體為銅或鎢。此項設計之重大 優點為碳比鶴輕!5倍(鐫乃先前技術主要陽極材料)。故於碎 屑屏蔽件中,碳碎屑較為容易處理。此外石墨不會熔化; 20石墨會氣化。較佳石墨之施用方式讓原子石墨層垂直表面 校準來改良導熱性及減少溶餘。較佳中間層係施用於熱解 石墨表面材料與基板電極材料間來降低熱應力。 電極補充 帶有封之開閉器 65 1222248 田電:來焦源疋件及集極容納於同一室内時,任何需 要通=的光源_修將對集極鏡以及對碎屑㈣器造成不 良影曰。辑相對於真空分成二室極為有利。但先前技術 5 就碎屑捕集n以及收集絲裝置位置之設計,無法提供容 納閘閥於二室間需要的空間。 申請人發展出將來源室通風維修(例如電極補充),同時 於此期間轉餘线於真打(接近真幻之技術 。來源室 69比集極至70而要更頻繁通風。集極鏡的以及碎屑捕集器 68於來源進行維修時須使用提議的開閉器作保護。因此集 1〇極(且或許也包含碎屑捕集器)之使用壽命大增。由於本設計 中撮取來源容積71以及碎屑捕集器與收集光學裝置入口間 需要的距離極短,故通常沒有足夠空間來容納分開問間。 當導入提議的開閉器帶有對集極室之封時,只需要極小空 間即可容納。集極室可被維持於真空(接近真空),開閉器可 15藉通風來源室周圍壓力朝向封合表面加壓。 本設計之優點顯示於第27Α及27Β圖。先前技術略圖第 27圖顯示一種配置,有一閘閥72分開來源室及集極室。但 本設計要求由電漿源容積至補餘入射集極光學裝置入口之 距離為100毫米或以下,如此通常未能提供足夠空間來容納 20閘閥。得自真空供應商例如VAT之UHV閘閥帶有8呀(2〇〇毫 米)或10吋(250毫米)開口直徑,具有凸緣至凸緣距離為8〇 至毫米。因此此種閘閥於本設計被刪除。如此有重大缺 點為每次需要通風維修電漿源時,集極室也被通風。各通 風週期對極為敏感的集極光學裝置造成不良影響。此外集 66 極室的真空要求輕 源室更長。若嗒可,故集極室抽真空時間比電漿來 有數項優點原室通風時,集極室不必每次通風,則 果極光學梦番 長。維紅作結束牡需將=染減少,光學裝置壽命延 的維修停機時n a·,,、集極室抽真空,故可縮短系統 第2·顯示提捕集器也獲得較佳保護。 極室的真空封卞 n?4,帶有由來源室至集 10 許甚至只須10毫米二厂需要的空間只須20毫米’或 供真空封,而未對來=附目反,開閉11可只對集極室提 在於大部分情況下只有^^真空封。但如此即足,原因 顯示的關閉位置、二。原至需要通風(開閉器位於圖中 風,缺叫開閉器於敵:::)時’來源至經常也需通 15 ㈣閉器接近關位置時,開閉器的ο形環封以— 個凸部接近開閉器端末位置,朝向集極室之封、 二2合1可方便地位於例如碎屑捕集器___ ;來源室通風起點,來源室壓力升高將開閉 ―: 隹向其封合面,該壓力係隨著來源室壓力的升 20 於通風之時,仍然有些朝向集極 π。 受。J漏縫存在,但可忍 ^衫處於高壓(大驗)時,將_雜向封合 心大,因開閉器面積相當大故該壓力相當大 巧度真空封。如此足夠保護集極光建 器)。(次要败料封合關^須整合料屑捕集 I他㈣合關Μ⑽連結 67 1222248 點為可避免閘閥之額外2凸緣以及閘閥之部分寬度需要的 空間。因此即使當要求來源至碎屑捕集器/集極入口的間隔 極小時,仍然可容納此種開閉器。 更換式電極模組 5 另一項簡化電極更換的技術係設計EUV裝置來更換電 極、碎屑集極及第一集極成為單一模組。例如參照第…圖 集極42為模組埠口,該模組包含陽極、陰極及碎屬集極及 集極42。系統允許此等組成元件呈一個單元在最短時間内 更換來縮短維修停機時間。如此允許快速更換因溶姓而劣 10化的電極,以及快速更換因受溶勉材料污染而劣化的碎屑 集極及第一集極光學裝置。 最佳化緊密電漿聚焦裝置實施例 最佳化努力 申請人努力致力於研究將第2A⑴圖以剖面圖顯示之 15***緊密電漿聚焦裝置之效能最佳化,俾便有效產生 EUV射線。帶真空腔室系統之側視圖顯示於第2八⑺圖。其 研究中含括之性能參數包括氦及氤壓力及流速、電極幾 何、前置游離特性以及有關效能方面之工作因數。此等研 究中,申請人發現氦(緩衝氣體)及山气(工作氣體)的氣體注入 2〇璋口所在位置以及氣體混合物成分壓力及流速對顺的發 射效率造成強力影響。氣體配方之額外限制也來自於EUV 射線之氣體吸收以及期望提供緩和碎屑性質。今日使用轴 線對稱之緩衝氣體注入方案,加上經由中心電極轴向注入 氣而獲得最佳結果。於12.4焦耳輸入能獲得最高轉換效率 68 1222248 為0.42。/〇。能量穩定性之測量顯示於接近最佳化euv輸出時 有10%標準差。藉電壓過衝波形阻尼,測定驅動電路與撮 取的匹配,發現於氦及氣壓力有強力關聯。能分散X光(edx) 分析電漿源發射的碎屑,顯示碎屑的主要來源是中心電極 5及絕緣體。未見任何陰極材料證據。除了朝向更有效操作 努力研發之外,已經進行第一期的熱力工程研究努力,結 果導致使用習知直接水冷卻可於2〇〇赫兹連續操作。系統可 以成比例較低的工作週期以較高重複率連續操作。資料顯 示熱功率分散遍佈整個系統。有關熱功率流動之進一步了 10解,允夺申请人更能確定此種電聚源技術最終有高度量產 製造的潛力。 申請人證實效能上獲得顯著增益,而轉換效率接近更 為成熟的雷射產生電激源之轉換效率。光源必須符合的特 殊規定為光源必須密她合整個發光系統的設計。 須測定 I5的關鍵光源參數包括:操作波長、合規頻帶EUV功率、非 合規頻功率、光源大小;最大收集角、高重複率擴充; 脈衝至脈衝重複率、以及面對電聚之組成元件之碎屑,的產 生。 申凊人早期於DPF發展中努力朝向於發展導出此種光 2〇源所需要的基本脈衝功率技術。使用經蒸氣作為活性輕射 元件於阿儲存旎(25焦耳)時驗證高轉換效率。儲存能過高不 適合實際擴充至高重複率操作。發展***機器允許申請 人使用氙作為活性氣體物種。申請人晚近的努力集中於讓 使用氣作為來源氣體之DPF之效能最佳化。為了輔助此項 69 1222248 努力’申清人研究脈衝功率發展 EUV方法、碎屑的緩和及特徵化 裝置的開發。 電漿初始化及特徵化、 熱力工程以及集極光學 乐既?兄明 5In another embodiment, the plurality of laser beams can be focused to a common center focus through the corresponding openings of the electrodes. The main discharge system follows the laser channel and converges on the central electric crowd. I Debris Shields Manufacturing Technology of Debris Shields As explained earlier, the detritus shields are important components for the virtually all-in-one EUV light source currently under consideration. The perfect debris shield does not catch all debris and transmits all compliant band rays. Debris screens may have a limited service life and are therefore not difficult to manufacture. The preferred manufacturing techniques for the three debris shields are shown in Figures 28A-B, 29A-C, and 30A-C. As for the techniques described in Figures 26A and B, the active leathery pyramid is manufactured, as shown in Figure 26A. The small end of the type is inserted into a grid-shaped structure, such as the structure shown in Figure Η. A partition plate with fins matching one hole at the large end of each pyramid is placed above the larger end of the pyramid to separate each pyramid. The thickness of the grille is preferably about 0.01 to 0.1 mm or less. The grille spacer provides a narrow space between the pyramids, which is filled with liquid metal or liquid ceramic. When the metal or ceramic is hardened, The model is removed to form a debris shield. As for the techniques of Figures 5A-C, hollow cones such as Figure 5B shown in Yu Nai's hollow cones are shown in Figure 5A and 77. The foil cut is extremely thin and about 1222248 0.1¾ Meters are made of metal wire. The air cone is inserted into a metal model (shown in Figure 5C at 78) to form a debris shield. As shown in Figures 7A-C, the preferred debris shield can be laminated by lamination. Each slice has its own radial grate metalwork, and the pattern of the grid metalwork faces 5 The longer the outer part grows, the more the sheets are stacked to produce a predetermined shape as shown in Figure 7-8. The advantage of the lamination method is to provide a serpentine path for the granular material on the non-uniform surface of the channel, and there are multiple vortices. Allows particles to be caught in it. Another advantage is that the shield assembly can be made of multiple materials. It has proven to be advantageous to use 10 heat-resistant ceramics to approach the light source, perhaps with excellent thermal conductivity such as copper. This area removes heat. Magnetic suppression Another technique to improve the effect of the debris shield of this EUV light source is to apply a magnetic field to the debris shielding area and to capture it in the middle area of the shielding. The magnetic field is preferably oriented 15 perpendicular to the EUV beam axis, so When the magnetic field approaches and enters the debris shield, the magnetic field forces the charged particles to form a curved trajectory. In order to improve the effectiveness of the debris shield, the debris can be further dissipated after the pulse. The same element can be used to disperse after the pulse. Or another similar free element can be used for free after picking up. 20 In another embodiment, a large-diameter coil (diameter larger than the diameter of the collector mirror) can be coaxially mounted on the mirror and the plasma source. A high current can often be applied to the coil to induce a high magnetic field in the axial direction. A better current can be pulsed (pulse width about tens of microseconds) to achieve the horse's induced field strength (about 10 Tesla). Strange A constant magnetic field, preferably a high-conductance coil, can also be used to generate a high magnetic field. This is enough to reflect most of the 47 1222248 points of activated ions to the bending pure, so that the ion can stagger the collector lens and the coil can be installed in a vacuum. The inside may cause the plasma source volume to ride slightly longer, but this elongation can tolerate the women's clothing on the outside of a certain load-bearing structure. The charged particles in the magnetic shape "half_ dominated by mobile payment: F ^ qCvxB) derived from The magnetic rigidity (b * r) of an ion whose mass M is accelerated to a voltage 乂 is expressed as: B * R = 144 (M * V) ° 5 10 In this case, you want to deflect a single-charge Xe ion with a mass of 132 ) Accelerate to 1000 volts to obtain rigidity: B * R = 144 (132 ”_ Strict (G-cm) == 52,318G_cm Therefore, if you want to move ions on a circuit track with a radius of 10 cm, the magnetic% is 52,318. -Cm / 10 cm, equal to about 5232 Gauss. 15 Usually, in order to deflect ions of different masses and energies, a stronger or weaker magnetic field is required. The configuration of the magnetic field can be adjusted to obtain the best shielding ability for various options of EUV. The adjustment method is to wind the coil into various configurations, or use a combination of coil and permanent magnet to achieve the predetermined magnetic field. For these magnetic fields, the coil can be placed outside or inside the vacuum container. The current of the drive coil required to generate a specified 20 magnetic field is easily obtained. Honeycomb Debris Shielding Figures 9A, 9B, and 9C show examples of particularly preferred embodiments, which use a honeycomb body formed from a cone with a powder as the chip collector with an ellipsoidal beam collector. The debris collection is most preferably manufactured using one of the 48 1222248 techniques described in U.S. Patent No. 6,299,958, which is incorporated herein by reference. Debris shields are manufactured through a reforming process in which precursor honeycomb bodies formed from plasticized powder batch materials are filled with compatible plastic filling material, and the filled honeycomb bodies are then shaped through a cone model. This treatment forces the filling material to shrink with the honeycomb structure. The now tapered structure is removed from the model and the filling material is removed by processing such as melting. The honeycomb body, which now becomes conical, is hardened, for example, by sintering. Fig. 9A is a three-dimensional spatial resection sketch, showing the extraction area 100, the honeycomb debris shield 102, and the ellipsoidal ray collector director 104.卩 分. Figure 9B shows the components of Figure 9A along with cross-sectional views of the four-ray ray tracks 106A, B, C, and D from the pick-up area 10 100. Figure 9C shows how additional ellipsoidal elements are nested to focus more light. Preferably 9 or 10 elements are nested inside the outer ellipsoidal element. The powder, the binder material, and the filler are selected from the materials listed in Patent No. 6,299,958. The choice of material must be understood that the debris shield must be able to withstand extreme UV-ray conditions. The preferred choice is powder and other materials selected for the production of ocherite include silicon, manganese and aluminum. Active materials and buffer gases Selection of active materials and buffer gases Several active materials and buffer gases can be used to generate EUV light with a wavelength of about 13.2 nm to 13.8 nm. Preferred active materials are xenon, tin or lithium. The three active materials were discussed in the previous section under the section titled r 12-14 nm EUV Light Source. Indium, cadmium and silver are also possible candidates. Or, instead of using noble gas, one of the foregoing materials is used as an active material, and for example, helium, neon, or argon can be used as a buffer gas. Nitrogen or hydrogen can be added to the possible buffer gas 49 1222248 Menu ’Especially if gas is used as the active material, nitrogen or hydrogen gas can be used as the buffer gas. In most embodiments, the active material (which is a metal) is added to the discharge chamber as radon gas, but it can also be added as a liquid or solid, and it can be added as a solution or powder. 5 Thallium active materials can provide luminous light in the desired range of 13.2 to 13.8 nanometers, so all of these active materials can be used. As explained above, the reason is that reflective optics with relatively good properties in this range of ultraviolet light can be obtained. Device. If a good optical element can be used in other wavelength ranges lower or higher than this range, you can search the periodic table and the corresponding emitted light. 10 References for other alternative materials. In addition, the buffer gas is not limited to the aforementioned gas. Fig. 18A shows the features of the preferred embodiment of the present invention through anode injection. The living wire body of this example is gas (age and 14 parts of helium). A buffer gas 15 (Satoshi / helium in this example) is injected into the region 12 downstream of the collector guide 8. The chip collector 6 includes a nested cone section that provides a narrow channel aligned with the rays extending from the center of the picking area to the collector guide 8. This channel allows the photons guided toward the collector-guide 8 to pass, but is substantially delayed by the debris generated by the pick-up area, and the path of the photons is much more random than that of the light. The gas is exhausted from the true 20 empty chamber by the port 14 by a vacuum pump of 40 liters per second. Therefore, the buffer gas flow from the gas feed source 12 passes through the narrow channel of the crushing collector 6, thereby delaying the passage of the debris from the extraction, and also delaying the flow of the gas-active gas from the extraction region into the vacuum chamber 10 region. Therefore, substantially all the debris from the extraction area and the active gas injected through the nozzle 24 are exhausted through the rod mouth 14 or coated with 50Ϊ222248 on the surface of the broken shoulder collector or the inner wall of the container upstream of the broken collector . In this way, the collector-guide 8 is prevented from being polluted by the debris 4 from the extraction, and the beam is reduced by the gas milk, because the buffer gas flows through the narrow channel of the crusher 4 collector 6 to prevent a large amount of xenon from entering the debris. Collector 6 downstream area. 5 Two-way airflow Figure 18B shows the characteristics of a specific embodiment of the present invention, in which a two-way airflow is used to allow a controlled concentration of active gas to approach the extraction zone, while the private UV gas path in the euv beam path is extremely low. In this case, the active gas is directed through the center of the anode 18A, as shown in Figure 18B. In the preferred embodiment, the gas to be introduced is a 1/15 to 14/15 mixture of krypton and helium. As in the previous embodiment, helium was also introduced at 12. The gas introduced from the two sources was vented at 14 using the aforementioned type of vacuum pump. The gas flow system is controlled to produce a pressure of about 0.75 Torr in the pick-up area and about 1 Torr pressure in the collector-guide area, so the airflow from the collector-guide area is much larger than that of 15 Zones have greater airflow. Upstream injection of active gas Figure 18C shows another preferred technique for controlling debris and active gas to minimize the absorption of υν by the active gas. The gas pressure in the scooping zone is about 0.5 Torr. In this specific embodiment, the airflow system 20 flowing in the vacuum chamber 10 is configured to help prevent debris from the picking area from reaching the collector_guide unit 8 area, and reduce the activity beyond the middle volume area surrounding the picking area. The amount of gas. The amount of active gas is, for example, xenon injected into the position about 3 cm upstream of the extraction area at a rate of about 5 SCCM via the nozzle 2 and almost all passes through the exhaust port 3 along the electrode 18A along the axis at a pumping speed of 50 liters / second. emission. Exhaust flow is provided by Real 51 1222248 Air Pump 'vacuum pump such as behind the blower followed by Anect IWata ISP-500 scroll pump from Canadian Cooperative Vacuum Company. This provides a pumping speed of 40 liters per second. The xenon series is fed to the nozzle 2 via a gas pipe 4 along the middle region of the debris trap 6. The debris trap 6 includes a nested cone 5 shaped section 6A, the surface of which is aligned with the center of the kimono pure towel, and the light guided by the collector _ guide 8 is aligned. The nested cone-shaped cross section provides an unobstructed path for the EUV photons generated by the extraction to be directed towards the collector_guide 8. The passage is narrow and about 10 cm long. The debris collector 6 (by condensation) collects the tungsten gasified by the tungsten electrode 18A (if the active gas is bell vapor, the bell vapor will condense on the surface of the debris collector 6). Buffer gas (the helium buffer gas in this embodiment) is injected downstream of the collector_guide 8 as shown in the 12 position. Most of the buffer gas system passes the vacuum pump (not shown in the figure) described above. The exhaust port 14 is discharged from the vacuum chamber ⑺. About 90% of the helium gas flows through the collector-guides 8 and 15 in the direction of the extraction zone, and all the buffer gas passes through the nested cone section 6A. As described in the previous example, this gas flow helps prevent debris from the pick-up area from reaching the collector_guide 8 and also reduces the amount of light that is collected and guided by the collector_guide 8 to produce an output EUV beam. Amount of reactive gas. These characteristics are very important because any debris accumulated on the debris collector 6 reduces the reflectance, and the active gas on the 20th path of the EUV beam path will attenuate the beam. The gas discharged through the mouth 3 is preferably discharged to the atmosphere through a transient wave. The gas discharged through the port 14 is also discharged to the atmosphere without incurring excessive gas costs. The total helium flow of such a system is only about 16 grams per hour. In addition, gas and / or reactive gas can be separated and recycled. 52 1222248 Clock as active gas Clock vapour can more effectively convert vibration energy into useful light in a predetermined wavelength range. Lithium is solid at room temperature and liquid at temperatures between 180 ° C and 1342 ° C. There are various methods for introducing lithium vapor into the discharge area and the extraction area. The lithium rhenium 5 is heated to its vapor temperature and is introduced as a vapor. Lithium can be introduced as a solid or liquid, 'gasified by discharge or extraction, or lithium can be gasified in other energy forms, such as high-power laser pulse gasification, or by some other form of heating, such as resistance heating elements, discharge or radio frequency Heat and vaporize. Lithium may also be introduced in the form of a compound such as Li20, LiH, LiOH, LiQ, Li2C03, LiF, CH3, or a solution thereof in water or other liquid. Lithium can also be transported to the pick-up area by laser induction or erosion. As shown in Fig. 18D, the lithium metal target 30 is attached to a holder mounted on the chip collector middle plate. In a preferred embodiment, the KrF excimer laser 32 generates a pulsed laser beam with a wavelength of 248 nanometers and an energy of 100 mJ to 200 mJ per pulse, and an effective pulse length of 50 nanoseconds passes through the anode installed at the upstream end of the anode. Window 34. Light passes through the hollow anode and is focused to a focal point of about 1 mm in diameter by a lens 36 mounted outside the vacuum chamber. This laser intensity and focus are sufficient to heat lithium metal, and the increase in temperature is mainly controlled by the latent heat of gasification. The required threshold power density is approximately 5x1007 W / cm2. At lower power, the bell can be gasified at a rate controlled by vapor pressure at a temperature of 20 degrees. In another specific embodiment, the middle region of the center electrode shown in FIG. 18A is filled with lithium metal, as shown in FIG. 38, and the laser beam passes through the center of the debris shield 8 as shown in FIG. 17 and 40. . Another technique for transporting lithium to the extraction area is to attach the metal to the He 53 plate, which is installed in a housing containing a permanent magnet. This configuration is mounted on the insulated shaft of the debris collector. Lithium metal further covers the tungsten mask to expose only one cell clock. The RF-generated plasma is generated in front of the lithium target using a RF generator operating at a frequency of 500 MHz to 2.45 GHz. Discharge can be pulsed in 5-pulse mode or <: Boundary mode operation. In pulse mode, the discharge is synchronized with the plasma extraction. 5000 watts of RF power is usually sufficient. The resulting plasma is composed of a buffer gas, usually helium. The helium ion is extracted from the plasma by applying a negative bias to the lithium target. A bias of 500 volts to 2000 volts is sufficient. He + ions collide with lithium, and lithium atoms are sputtered from the surface. Sputtering yields in excess of the bias energy can range from about 0.2 to 0.3 for normal incidence. Significantly higher yields are expected for supplemental injection and high-temperature lithium. Improved pre-free DPF can use a number of different technologies for pre-free, each of which has a beneficial effect on the EUV output. The technology originally used in the Simo DPF was based on driving a set of 15 Martian plug-type pins 138 mounted on the external electrodes of the device, as shown in Figure 2A (2). The pins are driven by high-voltage pulses such as RF simulators or unipolar outputs from 6000 series rectifiers. The voltage required to trigger a crash using an RF simulator or rectifier is + / 2G kilovolts. It has also been confirmed that the source of pre-freedom can be located at the distal end of the cathode 'but not inside the main vacuum vessel. This is a coil antenna. Twenty applicants also successfully used a straight antenna for front disengagement. This type of antenna can be linear or shaped as a spiral coil. The antenna can be driven by a high voltage pulse transmitted by a radio frequency simulator at 13 kHz for 2 microseconds, the rectifier can be driven by positive or negative polarity pulses, or it can be driven by a radio frequency amplifier. The inventors confirmed that it can support a 10 kHz pulse repetition rate. The external front 54 release (located on the antenna outside the anode / cathode area) is the mode required for the focus forward release of the negative deep electric paddle. With a positive polarity DPF, using the "inside" antenna shown in Figure 1 above, a slightly better front separation can be achieved. Figure 32 shows that the pre-free pulse must be adjusted relative to the dpF main pulse to achieve the best results. If the premature release is too early (as shown at 92) or too late (as shown at 93), the efficiency of deep plasma focus is adversely affected. Pre-free injection gas Applicants have found that gas in the metastable state is easier to pre-release than stable gas. The gas can enter the metastable state by being released before being injected into the discharge chamber. Figures 10 28 (4) and 18A-E show gas injection techniques. In each case, the injected gas can enter a metastable state by high-voltage discharge (for example, a 15 kV pulse with a duration of a few nanoseconds) or by RF front dissociation. The metastable state lasts for about 50 milliseconds. Therefore, using a gas flow rate of about 1 m / s, if the free discharge distance is about 5 cm upstream of the origin of the discharge, a large number of metastable atoms will be formed. 15 When xenon is an active gas, another useful technique is to install an RF coil to surround the air inlet of xenon into the discharge zone. The applicant has suggested that RF frequencies between 2 and 2.5 megahertz can cause the decomposition of xenon gas in the intake pipe. In addition, high voltage pulse discharge for xenon intake pipes can be made. In a preferred embodiment, a magnetic field is applied to guide the xenon ions thus generated to a specific position that triggers a pick-up discharge. Nozzle-assisted front disengagement The applicant's fourth-generation device has an optimal pressure for generating EUV light of about 100 millitorr or less. This discharge pressure is located at the left end of the Paschen collapse curve, and requires extremely high voltages to collapse and generate dissociation. Freedom is easier at higher pressures 55 1222248. The solution in accordance with the technique described in the previous section is that the nozzles used to inject buffer gas or reactive gas into the discharge chamber produce pre-freedom. The technique of generating ions in the injection tube is discussed previously. Another technique is to direct free rays from the inside of the chamber to the injection nozzle, as shown in Figure 31. Such rays are preferably discharged 5 to produce ultraviolet or X-rays. Hydrogen as a buffer gas The applicant found that the prototype's EUV optics were contaminated with carbon deposits. Carbon with a thickness of 1 nanometer can cause about 1% relative reflectance loss and more of the multilayer optical device (up to about 10% of the residual incident optical device loss). A known technique involves adding oxygen to the buffer gas' to react with carbon to produce carbon monoxide and carbon dioxide. However, oxygen can also react with optical devices that generate oxides, causing deterioration of the optical devices. Applicant prompts to add hydrogen to __ 2 ()% to 5 ()%. Hydrogen gas is not absorbed at 13.5 nanometers. Hydrogen gas is engraved with carbon, and gas reacts with oxygen. 15 In addition, hydrogen can only be added on a regular basis for a short period of time to clean the optics, and nitrogen can be removed after the optics are cleaned. Optimization Techniques Optimizing Capacitors The applicant found that when the plasma extraction event and the electric 20 current flow spikes from the shaft capacitor group occurred at the same time, there was a maximum Denso temperature. For the specified anode configuration and buffer gas density, Dianfeng will advance the specified amount of charging voltage through the length of the anode at the specified time. By adjusting the capacitance value and the charging voltage ’, the peak capacitor current is allowed to exist in the period of the electric vibration extraction event to obtain the maximum luminous efficiency. 56 If a higher turn-on energy level is required, and thus a higher charging voltage is required, Z must reduce the driving capacitance so that the timing of the driving waveform matches _ length = forward time. Because the energy stored in the capacitor increases linearly with the square of the voltage and increases linearly with the capacitance, when the capacitance decreases proportionally with the increase in voltage, the stored energy will increase linearly with the voltage. Figure 13 is a schematic diagram showing the measured drive current, measured anode voltage, and EUV intensity versus time of the preferred embodiment. The capacitance is appropriately selected to generate the maximum capacitor current during the extraction period. In this case, for a 2 cm long anode, the nitrogen buffer gas pressure is 2.5 Torr 10 ohms and the Cl capacitance is 3 microFaraday. The best shape of the central electrode Mao Yueren used the hollow anode configuration and found that once the extraction is formed, the extraction of the plasma increases rapidly along the axis and will extend to the hollow anode opening. With the extension of the extraction length, there is an excessive voltage drop over the length of the length, and a flashover occurs across the anode surface. The solution to prevent arcing is to use a transmitting screen to provide a physical barrier to the extension of the extraction length extended by the anode, as described above. Another solution is to reduce the rate at which the extraction length grows into the hollow anode and increase the opening diameter of the anode's narrow region, as shown in Figures 14C and 14D (1). Shows the growth of the pick-up length and prevents flashover. All previous references show a constant-sized hollow portion for a medium 20 hollow anode. Figures 14A, 14B, 14C and 14D show examples of various shapes of hollow anodes. The configuration shown in Figure 14D shows the shortest pick-up shape. The exposed length of the center electrode The driving voltage waveform appears at the pick-up position due to the depletion time of the polymer. Therefore, the applicant can adjust the duration of the pick-up portion of the focusing device by changing the amount of exposed anode and the depletion time of the plasma. The buffer gas density is determined by a predetermined electricity «taken by diameter '. In fact, the driving capacitance is limited to a certain range. The two parameters combine the driving voltage to determine the predetermined depletion time. The depletion time can then be adjusted by increasing or decreasing the amount of exposed anode. The choice of better exhaustion time allows the plasma hemp event to occur at the sharp edge of the drive current waveform. If a longer electrical extraction time is required, the anode exposure length can be shortened, so the depletion time is shortened, causing plasma extraction to occur earlier in the driving waveform. The generation of radio frequency power vapor 10 敎 The metal steam transmission structure described in the description 11 fully raises the anode temperature so that the metal vapor pressure reaches a predetermined level. This temperature ranges from 1000 ° C to 1300t for the warp range: 2 26 (rc for tin. The alternative is to make RF antennas from a material such as porous tungsten using a chain infiltration material. This A porous bell-filled antenna 50 is placed inside the anode at I5 'as shown in Figure 5. The RF power source 52 forms an electric paddle layer on the antenna and is close to the antenna, which will drive away the borrowed airflow 54 through the center of the hollow anode. The swept ions and bell ions are carried to the anode end. The rate of metal ion production is easily controlled by the power level of the RF source. In addition, porous tungsten anodes can use this RF drive to maintain enough liquid metal to be held in the receptacle at the bottom of the anode. 56 Suction temperature to the upper core 20. Electrode cooling The cooling of the center electrode In a preferred embodiment of the present invention, the outer diameter of the center anode is about 0.5 cm to 1.25 cm. The center electrode is lowered due to the plasma during discharge. And the radiation absorbed by 58 2 from electricity | so the center electrode absorbs considerable energy. And the cooling of 15 kW or more. Due to the extremely low gas pressure, it cannot be cooled by the convection of the buffer gas. Ray cooling is only effective at extremely high anode temperatures. Conduction along the length of the anode requires a significant drop in temperature. 5 If the heat pipe is used as an active gas and injected through the anode center, the anode temperature must be maintained at ⑽ Ammonia to ⑽ ... / dish operation | Beijing requires the choice of cold heading technology that removes heat, package considerations, and high voltage limits. But there is a technology that is a bell (or other metal detection) heat pipe that can provide a relatively simple and powerful The solution. The heat pipe effectively starts operating at a temperature of about 100 ° C. The specific design of this device typically uses refractory metals, that is, indium and crane as the outer shell and inner core, so it can be operated at extremely high temperatures. The simplest and specific implementation The example is a tube-shaped or annular heat pipe, which is integrated with the I5 DPF anode to obtain the best balance. Similar specific embodiments are% -shaped, allowing liquid lithium or vaporized lithium to be delivered to the DpF plasma. For example , 0.5-inch diameter solid heat pipe removes 15 kilowatts, has a power density of kilowatts per square inch (11.8 kilowatts per square centimeter). Has a circular heat officer with an outer diameter of 10 inches and an inner diameter of 0.5 inches With 15 kW of heat removed, it has a power density of 25.4 kW / cm2 (3.9 20 kW / cm2). These examples demonstrate the prospects of this technology because the use of lithium heat pipes to verify power densities far exceeding 15 kW / cm2. During operation, the heat pipe has only a small temperature gradient along its length, which can be considered as a constant temperature in the longitudinal direction for practical applications. Therefore, the "cold end" (condenser end) of the heat pipe is also at or above 1000t. The condenser side removes heat, which is better than 59. The specific embodiment uses rays to cool to a liquid refrigerant (such as water) jacket. The radiant heat transfer increases proportionally with the fourth temperature of the temperature, so at the proposed operating temperature, High heat transfer rate can be achieved. The heat pipe can be surrounded by a ring-shaped water heat exchanger, and the heat parent converter can operate stably at 15 kW. Other embodiments may use other materials such as the condenser end of a stainless steel insulated heat pipe, and use a liquid refrigerant to cool the outer surface of the material. Regardless of the technology used, important geothermal pipes do not "shock" with the condenser's refrigerant, in other words forced cooling is much colder than the gasification end. This will seriously affect performance. In addition, if the temperature of the heat pipe drops below the freezing point of the working fluid at any point in the longitudinal direction (approximately 180 ° C for lithium), it will not function at all. Component operating temperature limitations near the bottom of the center electrode (anode) require minimal heat transfer to this zone. This condition can be achieved, for example, by coating the outer surface of the heat pipe with a low-emissivity material close to the lower temperature tolerance zone. Then, a vacuum gap can be made between the thermal official and the predetermined lower temperature element. Since the thermal conductivity of the vacuum is extremely low, and because the heat pipe is coated with a low-emissivity material, the heat transfer between the heat pipe and the cooler element is minimized. Maintaining a controlled anode temperature at various power load levels is another consideration. This project can be achieved by placing the working cylinder between the heat pipe and the water-cooled outer jacket. The working cylinder is coated or tempered to obtain high reflectivity at the inner diameter and low emissivity after straightening. If the working cylinder is fully inserted into the radiant heat pipe and the water-cooled lost jacket, the rays will be reflected back towards the heat pipe, thus reducing the power flow from the heat pipe to the lost jacket. When the "limiter" working cylinder is pulled out, the heat of the cooler that is larger than J ... can be directly shot to the water jacketed heat exchanger. Adjust the “limiter” position, control the power flow, set the steady-state operating temperature of the heat pipe, and finally set the anode steady-state operating temperature. A preferred embodiment using a heat pipe for cooling is shown in Fig. 16, which shows an anode 8A, a cathode 8B, and an insulator element 9. This example uses lithium vapor as the active gas and is delivered to the discharge cell via the anode 8A center (shown at 440). The anode 8A is cooled using a lithium heat pipe system 442 including a lithium heat pipe 444. The heat transfer zone 6 of the heat pipe ΦM vaporizes lithium near the hot end of the electrode 8A, and the vapor flows toward the cooler end of the heat pipe, where the heat is transferred from the heat pipe by radiation to the hot taste early element 446 'Re Early Yuan has a hot taste surface 448 cooled by a water coil 450. The cooling of the lithium vapor causes its state to change to a liquid, which is wicked back to the hot end according to the well-known heat pipe technology. In this specific embodiment, the limiter working cylinder 452 is based on a driver, which slides up and down inside the heat sink surface 448 (shown at 454). The driver forms part of a temperature feedback control unit (not shown). The anode heat pipe unit preferably also includes an auxiliary heating system, which can maintain the temperature above its freezing point when the plasma vibration device does not generate sufficient heat. Water cooling of the center electrode Another preferred method of cooling the center electrode is shown in Figures 20, 20a, 21, and 22. In this example, water is circulated through the center electrode under pressure. The center electrode 8A shown in Fig. 20c includes two parts, that is, a discharge part 8Ab composed of a single crystal crane (available from Mateck Company, Germany) and a lower part 8B1 composed of sintered tungsten. The external electrode 8B is composed of two parts, a cover 8 扪 and a bottom 8B2, both of which are made of oxide hardened copper material, which is sold under the trade name Glidcop. The oxide material is aluminum oxide. The external electrode is made in two parts to provide a water channel 460 for external electrode cooling. The electrode system is a main insulator 462 containing nitride or silicon carbide, aluminum oxide deposited on the stainless steel bottom layer 8A3 464 and polyimide 466 (preferably available from DuPont under the trade name Kapton). Insulate each other. The water path through the center electrode is shown in Figure 20C by arrow 468. A cylindrical stainless steel separator 470 separates the current supply and return current of the electrode 5. The components 8A, 8A2 and 8A3 are brazed together using gold / nickel or gold / copper brazing materials such as Niord or 50 An-50c. Radially depleted plasma extraction of the preferred embodiment of the present invention utilizes the pulse power features, ray collection features, and broken control features described above, using electrodes as shown in Figures 2A, 2B, 10 2C, and 2D Configuration. This electrode configuration has advantages and disadvantages over the electrode configuration shown in FIG. 21. The large electrode surface area reduces thermal issues. There is also less discharge fibrillation, which may result in better plasma confinement and better radial stability. The applicant believes that it can design the electrode to produce a pickup along the electrode axis, as shown in Figure 21. 15 Use of Multiple EUV Light Sources As described above, the preferred application of the present invention is lithography light sources for future machines. At least the manufacturing versions of such machines have not been designed and established. It is possible that the luminous power exceeds the luminous power generated by using the technology described here to borrow the _EUV light source. In this case, two or more 20 EUV light sources can be combined to provide the required light emission. Preferably, the light from each light source is controlled using techniques similar to those described herein, and is projected onto a single slot, which will constitute the light source of the lithography device. Integrated lithography machine In Che Fujia's specific implementation, some EUV light source units directly integrate lithography 62 technology units, such as the 2A (21) _ / iL 7 stepper. The integrated love piece includes a rectifier and a zero-group generator of solid-state pulsed power w body, which includes an electrode assembly, a vacuum pump, and a vacuum-capacity vacuum pump, all of which are shown in 2A (21R and ray collectors and thirst wheel molecules (21) Figure 120. The load-bearing equipment (sentence electronic control device, high-voltage power supply load-bearing equipment (included charger, power distribution luggage transfer :: cooling = body control fluid management) are located in the load-bearing equipment = internal, This system is separated from the lithography unit (if the right one can be located in a separate room), all of the lithography units are shown at 122. The rough vacuum pump and the high-pressure two 10 lakes, and the third unit can be located in the separate room. Lithography includes light-emitting optics, reticle, end, stop β site 5 reduction optics and wafer mishandling equipment 0 electrode erosion reduction money I asked someone to use an early EUV device prototype machine demonstration, electrode erosion 15 composition Serious problems, the applicant developed several technologies to deal with this problem. The applicant found that by using the applicant's fourth-generation plasma extraction device to conduct experiments, the inductance of the discharge circuit increased sharply when the extraction occurred, greatly reducing Current "And the electric field increases south between the electrodes. As a result, the second breakdown between the anode and the cathode is usually close to the anode tip, as shown in Figure 2A (2). This causes dissolution at 20 collapse locations. The applicant It is suggested to reduce the problem by setting the device to promote the discharge after extraction at the location where the dissolution is not a problem. One technique is to inject plasma-containing gas into the lower area between the electrodes, and to place it below this location, away from the anode tip, and generate Replacement by sputtering of anode-dissolved material 63 1222248 The applicant used his fourth-generation device to perform experiments showing that substantial anode dissolution occurred during long-term operation. As mentioned above, the main intended use of this plasma extraction device is to deposit This means that the plasma extraction device must be able to operate substantially continuously for several days or weeks before being shut down for maintenance. Therefore, it is necessary to find 5 technologies that can extend the life of the electrode. One possible technology is to provide a sputtering source, Sputter electrode material onto one or two electrodes. Figure 25 shows a sketch showing a tungsten source that provides sputtered tungsten to supplement electrode erosion. The applicant has found that The free short-pulse high-voltage drive electrode is used to generate sputtering ions, which are collected on the anode side and the cathode side. The anode side is also where most of the electrodes are dissolved. Therefore, the applicant suggests that the same material as the anode and cathode be made of the same material. The sacrificial electrode that is formed is specially designed to be eroded by sputtering. The position of the sacrificial electrode must be such that the electrode material being sputtered can be guided to the anode and / or the cathode suffering from the degraded dissolution zone. The preferred sacrificial electrode system is designed to be easily supplemented Or it can be extended into the discharge chamber periodically with the dissolution. Some sputtered materials will be collected on the surface of the insulator, but the applicant understands that it is not a problem to deposit sputtered tungsten on the surface of the insulators of these devices. In actual experiments, it was found that by covering the center electrode sidewall with an insulator material, the corrosion of the center electrode can be greatly reduced. By using an insulator material to cover the electrode that may face the high current density, the discharge current is strongly spread out across a wider area of the different regions of the electrode after extraction. This technique can be used to reduce the current density of electrons or ions hitting the anode or cathode region. Decreasing the dissolution rate results in reduced debris generation and prolonged electrode life. Some residual / debris from dynamic discharges and debris across the insulator are still not as serious as electrode dissolution | insect 64 1222248. The so-called "flash arcing" results in high erosion rates occurring only on the conductive surface. Therefore, the electrode is covered by the insulator to avoid dissolution and debris. Such a preferred embodiment is a compact plasma focusing with a common anode and cathode configuration, but does not include a sliding discharge along the outer diameter (depletion length) of the inner electrode. Instead, the inner electrode is raised by a tube covered by a long insulator tube, in other words the inner electrode diameter is removed. Even with a slight increase in effective inductance, strong extraction still occurs on the axis, resulting in the generation of EUV. In contrast to the conventional compact plasma focusing device, no depletion occurred along the inner electrode. The inner surface of the inner electrode is also covered with an insulator material to avoid flash arcing in this area. Such an insulator must have a proper inner diameter of 10, so as not to reduce the pickup size and reduce the EUV output. For a preferred embodiment, refer to FIGS. 26A and 26B. In Fig. 26, the insulator 60 covers the outer surface; in Fig. 26B, the insulator 62 covers the inner surface in addition to the outer insulator 60. The anode of the second figure is marked on the cathode and the cathode is marked as 65. 15 Pyrolytic graphite electrode In a preferred embodiment, Figure 2A (2) shows that the anode discharge surface of 8A is covered with pyrolytic graphite. The anode body is copper or tungsten. The great advantage of this design is that carbon is lighter than cranes! 5 times (镌 is the main anode material of the prior art). Therefore, in the debris shield, carbon debris is easier to handle. In addition graphite will not melt; 20 graphite will vaporize. The preferred way of applying graphite is to align the vertical surface of the atomic graphite layer to improve thermal conductivity and reduce dissolution. A preferred intermediate layer is applied between the pyrolytic graphite surface material and the substrate electrode material to reduce thermal stress. The electrode is supplemented with a closed shutter 65 1222248 Tiandian: When the source source and the collector are housed in the same room, any light source that needs to pass through will repair the collector lens and the debris container. . Compared with the vacuum separation into two chambers is extremely advantageous. However, in the prior art 5, the design of the debris collection n and the position of the wire collection device cannot provide the space required to accommodate the gate valve in the second chamber. Applicants have developed ventilation maintenance (such as electrode replenishment) of the source room, while turning the line to true type (close to the technology of true fantasy. Source room 69 is more frequently ventilated than collectors to 70. Collectors and Debris trap 68 must be protected by the proposed shutter when the source is being repaired. Therefore, the service life of the 10-pole (and possibly the debris trap) is greatly increased. Because the source volume is extracted in this design The distance between the 71 and the debris trap and the entrance of the collection optics is extremely short, so there is usually not enough space to accommodate a separate room. When the proposed shutter is introduced with a seal to the collector chamber, only a very small space is required It can be accommodated. The collector chamber can be maintained at a vacuum (near vacuum), and the shutter can be pressurized toward the sealing surface by the pressure around the ventilation source chamber. The advantages of this design are shown in Figures 27A and 27B. Figure 27 shows a configuration with a gate valve 72 to separate the source and collector chambers. However, the design requires that the distance from the plasma source volume to the entrance of the complementary incident collector optical device is 100 mm or less. Failed to provide enough space to accommodate a 20-gate valve. UHV gate valves from vacuum suppliers, such as VAT, have an opening diameter of 8 mm (200 mm) or 10 inches (250 mm) and have a flange-to-flange distance of 8 °. To millimeters. Therefore, this gate valve was deleted in this design. This has the major disadvantage that the collector chamber is also ventilated every time the plasma source needs to be ventilated and maintained. Each ventilation cycle adversely affects the extremely sensitive collector optics. In addition, the vacuum of the 66-pole chamber requires a longer light source chamber. If it is available, the vacuum time of the collector chamber has several advantages over the plasma. When the original chamber is ventilated, the collector chamber does not have to be vented each time. Fan Chang. At the end of Weihong's work, it is necessary to reduce the dyeing and the life of the optical device. When the maintenance and shutdown are stopped, the collector chamber is evacuated, so the system can be shortened. The 2nd display lifter also has better protection. The electrode chamber's vacuum seal n? 4, with a space from the source chamber to the set 10 or even 10 mm, the space required by the second plant is only 20 mm 'or for vacuum seals, but not coming = Attached eyes, open and close 11 Can only be raised to the collector chamber in most cases only ^^ Vacuum seal. But this is enough, the closed position indicated by the reason, two. The original needs to be ventilated (the shutter is located in the wind in the picture, and the lack of the shutter is in the enemy :: :). The source must often be accessed by 15 shutters In the closed position, the o-ring of the shutter is sealed with a convex portion close to the end position of the shutter, facing the seal of the collector chamber. The 2-in-1 can be conveniently located, for example, the debris trap ___; the starting point of the source chamber ventilation When the source chamber pressure rises, it will open and close: 隹 towards its sealing surface, the pressure is still slightly toward the collector π when ventilated with the source chamber pressure rising by 20. Suffering. J leakage gap exists, but can be tolerated ^ When the shirt is under high pressure (large inspection), the _ miscellaneous seal has a large heart. Due to the relatively large area of the shutter, the pressure is quite large and the vacuum seal is sufficient. This is enough to protect the aurora builder. (Secondary failures are to close the gates. Integrate scrap collection. It is necessary to connect 67 1222248 points to avoid the extra 2 flanges of the gate valve and the space required by the gate valve's partial width. Therefore, even when the source of the request is broken Debris trap / collector inlets are extremely spaced apart and can still accommodate such shutters. Replaceable electrode module 5 Another technology that simplifies electrode replacement is the design of EUV devices to replace electrodes, debris collectors and first The collector becomes a single module. For example, refer to the figure ... The collector 42 is a module port, which includes the anode, cathode, and broken collector and collector 42. The system allows these constituent elements to be a unit in the shortest time. Internal replacement to reduce maintenance downtime. This allows rapid replacement of electrodes that are inferior due to solvent dissolution, as well as rapid replacement of debris collectors and first collector optics that have deteriorated due to contamination by solvent materials. Optimized compactness Efforts to optimize the embodiment of the plasma focusing device The applicant has worked hard to optimize the performance of the 15th generation of the fourth generation compact plasma focusing device shown in section 2A, which is shown in a sectional view, so as to effectively generate EUV rays. The side view of the vacuum chamber system is shown in Figure 28. The performance parameters included in the study include helium and krypton pressure and flow rate, electrode geometry, pre-dissociation characteristics, and work factors related to performance. In these studies The applicant found that the location where the helium (buffer gas) and mountain gas (working gas) are injected into the 20 璋 mouth and the pressure and flow rate of the gas mixture components have a strong effect on the emission efficiency. The additional limitation of the gas formula also comes from EUV The gas absorption of the ray and the desire to provide the detritus properties. Today the best results are obtained using an axially symmetrical buffer gas injection scheme, coupled with the axial injection of gas through the central electrode. The highest conversion efficiency is obtained with a 12.4 Joule input. 68 1222248 is The measurement of the energy stability of 0.42% indicates that there is a 10% standard deviation near the optimal euv output. The voltage overshoot waveform damping is used to determine the matching between the driving circuit and the extraction. It is found that there is a strong correlation between helium and gas pressure Can disperse X-ray (edx) analysis of debris emitted from the plasma source, showing that the main sources of debris are the center electrode 5 and the insulator. See any evidence for cathode materials. In addition to efforts to develop more efficient operations, the first phase of thermal engineering research efforts has been conducted, resulting in the use of conventional direct water cooling for continuous operation at 200 Hz. The system can be proportionally lower The work cycle is continuously operated at a high repetition rate. The data shows that the thermal power is dispersed throughout the system. The further explanation of the thermal power flow has allowed the applicant to be more sure that this type of electropolymerization source technology will eventually be produced in high volume. Potential. Applicants have confirmed that significant gains in efficiency have been obtained, and that the conversion efficiency is close to the conversion efficiency of the more mature laser-generated electric source. The special requirement that the light source must meet is that the light source must closely fit the design of the entire lighting system. I5 must be determined The key light source parameters include: operating wavelength, EUV power in compliant frequency band, non-compliant frequency power, light source size; maximum collection angle, high repetition rate expansion; pulse-to-pulse repetition rate, and debris that faces the components of the electrocondensation , The generation. Shen Jianren's early efforts in the development of DPF were oriented towards the development of the basic pulse power technology needed to derive such optical 20 sources. The high conversion efficiency was verified using vapour as the active light emitting element when stored in arsenic (25 Joules). Storage capacity is too high for practical expansion to high repetition rate operations. The development of a fourth generation machine allows applicants to use xenon as an active gas species. Applicants' recent efforts have focused on optimizing the performance of DPF using gas as the source gas. In order to assist this 69 1222248 effort, Shen Qingren researched the development of pulse power development EUV method, debris mitigation and characterization device development. Plasma initialization and characterization, thermal engineering, and collector optics Brother Ming 5

申^人所發展的***緊密聚焦系統_類似西 莫準分子雷射使㈣電源系統,使用帶有固關關以及若 干磁脈衝壓紐段之w、統(如第…料且㈣如旬, 俾產生藉生成EUV光時需要的高電壓高尖峰功率脈 衝。此等純係始⑽嶋充電轉,產生施加於DPF 10 之輸出脈衝約4千伏特,升高_短㈣奈秒。_目前尚 未進行電流測量,但基於制實驗操作所得電壓波形作電 路模擬,預測DPF驅動電流尖峰約為%千伏特働為仍 千安培/微秒。itb種高尖峰電流與高d·的組合允許卿更 有效發揮功能。 15 此種***裝置的最重要特色說明於第33圖,以及深 部電聚t焦裝置優點之表列。如它處已經說明,申請人證 實轉換效率(於中間焦點之合規頻帶EUV受限對電力輸入 比)約為0.5 /^。於本案提出申請時,申請人已經證實下列系 統效能參數· 20 目前光源效能 使用氙之EUV效率(2%BW,2;rsr〇 每脈衝EUV能(2°/〇BW,2 7Γ sr) 平均光源大小(FWHM) 光源位置安定性(質心) >0.45% 〜55毫焦耳 〜〇·4χ2·5毫米 <0.05毫米,rms 70 連續重複率 1000赫茲 叢發重複率 4000赫茲 能量安定性 〜7%,rms 平均EUV輸出功率(2%B W,2 7Γ sr) 50瓦 EUV輸出功率,叢發(2%BW,2 ττ si〇 200瓦 1222248 收集效率約為20至30%,約半量收集得之合規頻帶輻 射之EUV可利用此處所述技術傳輸至中間焦點。如此證實 於中間焦點之EUV功率以連續基準目前約為5瓦而以叢發 基準目前約為200瓦。申請人利用此處所述改良,預期可在 10 不久的將來將於中間焦點之連續功率提升至至少45.4瓦, 最終提升至105.8瓦。叢發模式效率也粗略成正比增長。 已經建立六部***DPF機器,用於各種不同實驗, 研究有關系統之最佳化、前置游離、電源系統之發展、碎 屑的緩和、熱力的管理以及集極設計。用於無需高重複率 15 (約1千赫茲及以上)之實驗,此等DPF機器之充電電力單純 係由一組直流電源供應器的電阻充電供應。需要高重複率 此力的DPF系統係使用譜振充電系統充電,其於少於25〇微 秒時間將初能量儲存電容器C0充電至1300伏特電壓。此種 諧振充電系統也提供能量回收,儲存未被DPF所利用或呈 20 熱量形式而耗散的能量,且利用回收的能量供下一脈衝之 用。如此減少主電源供應器需要的電源量,也輔助其它例 如熱力管理方面之議題。 測量 本卽中’申請人將對申請人之於低於5〇赫兹操作的低 71 1222248 數光源之進行綜合測量。發明人顯示Euv輸出以 及轉換效率與氣體組成配方間的相依性,提供非合規頻帶 發射貝料,且顯示光源大小以及位置穩定性的測量。 ^去S間對EUV輸出與電極幾何間的實驗相依性以 5及氣體動力方面議題的了解有長;i進展。比較先-代襄 置農置的顯著改變包括新的陰極設計,允許氣體以陽極 區為中心對稱性注入,以及經由陽極注入氦與氣混合物之 系統。氣體輸送系統修改成允許氦餘之組合注入系 統的不同區。此種系統示意顯示於第旧。氣體控制係透過 10兩邛貪里流罝控制器以及高準確度電容壓力計進行控制。 系統係以穩壓模式操作。氤係以恒定流量模式注入,添加 氦補充氣體來達到目標操作壓力。此種模式中,氦流速係 依據系統的栗送速度決定。與氣體流速之相依性係經由測 試不同的泵送配置組態決定。 15 由撮取沿著軸線發射的射線,通過孔口而射入不同的 栗送偵錯室204。測量容器中之氣體吸收係經由將壓力維持 低於5毫托耳而最小化。用於此等測量,偵錯容器之入口位 置距離撮取區5厘米。沿著5厘米路徑,主DPF容器之氣體 衰減未做修正,於偵錯容器之氣體衰減也未做修正。來自 20撮取之射線由鉬/矽多層鏡反射,被導引通過1微米厚度皱 箔,至未經塗覆的1尺〇 AXUV-100光二極體。典型測定頻序 包含記錄脈衝電源系統、DPF陽極及光二極體之電壓波 形’呈各項實驗參數之函數。資料的獲得以及氣體系統的 控制係透過電腦介面進行。 72 1222248 合規頻帶EUV信號(於13.5奈米,2%頻寬,2;rsr)與氙 流速之代表性相依性,於350毫托耳恆定操作壓力、於脈衝 電源系統第一階段電容器之固定充電電壓,顯示於第2a(5) 圖。 5 當氦注入陽極而氙注入陰極,於20赫茲光源操作時來 自光源之EUV輸出比較氦注入主dpf容器之EUV輸出顯著 增高。經由透過提高泵送容量,增加氦氣的流速,可觀察 到額外改良。泵送速度較高之效果讓£11乂的輸出對氙質量 流量設定點較不敏感,且提高測量得之EUV輸出。 10 如第1圖所示,於第一電容器階段C2,於恆定氙流速以 及1300伏特電壓,呈氦氣壓力變化進行類似測定。第2A(6) 圖顯示氦注入陽極周圍,最終階段電容器(C2)及合規頻帶 13.5奈米光二極體信號之電壓波形。EUV信號於氦壓力有 強力相依性。檢驗C2波形,顯示由於未經阻尼之反應,藉 15此種電谷器回收之能量係依據氣體配方組成決定。隨氣流 速變化之函數也觀察得類似相依性。 於撮取區耗散的能量係由C2電容器儲存能量差求出。 於1500毫托耳氦氣壓力,約7〇%儲存能量於撮取區耗散(8·8 焦耳);而於200毫托耳,對應於撮取區耗散之儲存能量值 20為96°/❽(11.9焦耳)。此種相依性顯示於第2Α(7)圖,此處將光 二極體信號、初儲存能量、回收能量以及耗散能量呈氦氣 壓力之函數作圖。於此範圍,EUV信號增高達10因數。氦 分壓進一步增高,結果導致EUV產率的銳減(未顯示於此等 資料)。 73 與氣體壓力相依性之另一項令人感興趣的特性為藉光 一極體測得EUV發射起點的位移。於使用恆定氙流速條件 下,壓力由180毫托耳變化至15〇〇毫托耳,結果導致Euv發 射位移150奈秒。由DPF操作的傳統犁與散彈模式,申請人 5預測電聚震鋒之特徵性軸向及徑向變遷時間係隨著有效質 里密度之方根而擴充。此種擴充須對此種配置組態證實, 比例常數係與震鋒將質塊掃出電極區之外的效果有關。基 於一度空間犁模式,計算此等效果提示,軸向及徑向有效 質量顯著小於由實際氣體壓力導出的有效質量。 1〇 於固定氣流條件下,平均合規頻帶EUV能量及能量效 率對耗散能之相依性顯示於第2A(8)及2A(9)及4b圖。此等 ^料係以光源條件最理想化於尖峰EUV輸出進行。經由降 低充電電壓,維持所有其它參數為固定,可獲得較低能輸 入。此處所示資料係指本實驗之最佳條件以及[丨]所示配置 15組怨採用不同氣體組成配方及不同陽極幾何所得資料。於 10焦耳’比較先前組態配置,獲得轉換效率(CE)增高70%。 雖然耦合於撮取之能量係依據氣體組成配方決定 ,但可知 第2A(6)圖及第2A(7)圖所示EUV能量之相依性主要係依據 氣體流速變化而非依據耦合變化決定。 20 進行兩類型於13·5奈米周圍於2%頻寬外側之EUV射線 測疋。測量實驗之設備設置顯示於第2八(8)及2八(9)圖。第 一型測1比較軸線上來自撮取之總射線,與於130奈米 -1300奈米頻帶透射,透射通過氟化鈣窗部分。結果顯示由 撮取發射之總射線約〇·5%係在130奈米至13〇〇奈米間之氟 74 化鈣頻帶,類似先前申請人所得結果。第二實驗中,由鉬/ 矽多層鏡(ML鏡)反射之且藉AXUV-100光二極體偵測之撮 取反射射線部分,比較透射通過1微米鈹箱且藉ML鏡反射 之射線部分。由ML鏡反射後,於原位於不含濾波器之光二 5 極體測得之信號,獲得合規頻帶以及非合規頻帶分量總 和。***鈹濾波器,限於只測量合規頻帶部分。因此由不 含濾波器的總信號中,扣除ML鏡透射修正之合規頻帶射線 部分,獲得結論,一面ML鏡反射之總射線中約15%係超出 13·5奈米周圍2%頻帶之外。 10 光源大小以及質心移動係將光源微調供尖峰輸出進行 測量。使用針孔攝影機,採用背光照明CCD陣列以及鈹濾 波器。光源影像顯示於第2Α(10)圖。影像係將攝影機定位 於軸線上拍攝。以68度角進行測量。平均光源大小(對100 個脈衝求平均)測得為0.25毫米X 2毫米於半最大值之全 15 寬。脈衝至脈衝EUV光源質心位移經作圖於第2Α(11)圖。 平均位移約為50微米。 此外針孔攝影機技術,發明人經由將各時框之強度積 分’且計算數量之標準差,獲得EUV能量穩定性估值。結 果顯示9·5%(1〇強度起伏波動。本測量值比較使用鈹濾波 20 器、ML鏡及AXUV-100光二極體以標準測量值進行能量穩 定性所得測量值比較相當匹配。使用此種偵錯方式進行的 額外實驗將包括EUV光源大小與合規頻帶能量之交互關 聯。 由軸線上影像,申請人也獲得結論,並無任何EUV之 75 產生係來自於撮取與陽極端壁的交互作用。於撮取中心觀 察得最大EUV強度於撮取中心氙氣體係經由陽極的孔口注 入。於撮取周邊未觀察得EUV發射,於撮取周邊氙氣體接 觸陽極端壁。 5高重複率操作 於高重複率時光源穩定操作對高曝光劑量以及準確劑 量控制相當重要。***光源之叢發模式操作改良。使用 10焦耳輸入能之諧振充電方案(類似西莫準分子雷射採用 之方案),於重複率2千赫茲,最大叢發發射期升高至高達 10 300脈衝。 EUV脈衝之時間積分合規頻帶能係使用前文說明之多 層鏡-鈹箔-光二極體偵測方案測定。合規頻帶能相對於脈衝 數目資料顯示於第2A(12)圖。當重複率由低增至高而氣體 混合物無變化時,叢發脈衝數的增高觀察得EUV輸出能嚴 15重降低。經由對氣體組成配方作適當調整,可微調輸出, 俾於2千赫茲重複率,對3〇〇脈衝長叢發獲得相對穩定的 EUV脈衝能。如圖所示,於持續時間約1〇_15脈衝之變遷期 之後,其於叢發時間之輸出能維持於高值。此種模式能量 穩定性之對應標準差測量值為1〇%。於目前發明人尚未到 2〇達高重複率操作的任何基本擴充極限,因此隨著脈衝功率 以及熱力㈣方案的升級,預期可進_步升高效能。 碎屑的緩和 申請人將經過缺域覆之^圓暴露於咖產生的 碎屑,試圖評估碎屑的主要來源以及碎屑沉積於集極光學 76 1222248 裝置之速_ w式驗之來源配置包含鶴陽極、銘氧絕緣體、 及銅陰極。樣本暴露於3〇赫兹41〇5脈衝,距撮取距離5厘 米(鉬樣本)及11厘米(鈀樣本)。配置尺寸及安置尺寸顯示於 第1〇圖。暴露後,樣本藉能量分散乂光(£]〇:^分析作分析。 5結果摘述於下表1,顯示陽極(鎢)及絕緣體(氧、鋁)材料出 現於兩種距離包括5厘米及η厘米距離。 未觀察得任何陰極材料證據。小部分氙出現於5厘米位 置之鉬樣本。可能表示DPF產生之激活氙離子,或氙單純 結合於薄膜塗層。藉EDX未觀察得存在有氦,於5厘米處出 10現微弱但可偵測的鉬信號,指示沉積碎屑厚〇·5微米至2 〇 微米,此乃EDX分析的典型滲透深度。如此申請人得自於 軸線上距離撮取5厘米處於每脈衝1-4·1〇_3奈米之碎屬產生 速率估值。 簡單光學技術測試DPF產生碎屑沉積特性。金屬於光 15譜可見光區的吸收通常較高。對應光學厚度直到出現可察 覺之透射比,於此區通常係遠低於四分之一波長,故未觀 察得干涉邊帶。根據藍伯特比爾法則:The fourth generation of tight focus system developed by Shen Ren_Similar to the SIMO excimer laser power system, using w, system (such as ... At this time, 俾 generates the high-voltage and high-peak power pulses required to generate EUV light. These pure systems start to charge and generate an output pulse of about 4 kV applied to DPF 10, which increases _short ㈣ nanoseconds._ The current measurement has not been performed yet, but based on the voltage waveform obtained from the experimental operation for circuit simulation, it is predicted that the DPF drive current spike is about% kilovolts, which is still thousands of amps / microsecond. The combination of itb high peak currents and high d More effective functioning. 15 The most important features of this fourth-generation device are illustrated in Figure 33 and a list of the advantages of the deep electro-focusing t-coke device. If it has been explained elsewhere, the applicant has confirmed the conversion efficiency (in the middle focus) The compliance band EUV limited to power input ratio) is about 0.5 / ^. At the time of filing the application, the applicant has confirmed the following system performance parameters. 20 The current light source efficiency uses Xenon's EUV efficiency (2% BW, 2; rsr〇) EUV energy per pulse (2 ° / 〇BW, 2 7Γ sr) Average light source size (FWHM) Stability of light source position (center of mass) > 0.45% ~ 55 millijoules ~ 0.4 x 2.5 mm < 0.05 mm, rms 70 continuous repetition rate 1000 Hz burst repetition rate 4000 Hz energy stability ~ 7%, rms average EUV output power (2% BW, 2 Γ sr) 50 watt EUV output power, burst (2% BW, 2 ττ si〇200 watt 1222248 collection The efficiency is about 20 to 30%, and about half of the EUV collected in the compliance frequency band can be transmitted to the intermediate focus using the technology described here. This confirms that the EUV power at the intermediate focus is currently about 5 watts on a continuous basis and is clustered. The development benchmark is currently about 200 watts. The applicant uses the improvements described here and expects to increase the continuous power of the intermediate focus to at least 45.4 watts and eventually to 105.8 watts in the near future. The efficiency of the burst mode is also roughly Proportionate growth. Six fourth-generation DPF machines have been established for various experiments to study the optimization of the system, the front release, the development of the power system, the mitigation of debris, the management of heat, and the design of the collector. No need for high repetition rates 15 (approximately 1 kHz and above) experiments, the charging power of these DPF machines is simply supplied by the resistance charging of a group of DC power supplies. DPF systems that require high repetition rates are charged using a spectral vibration charging system. It charges the primary energy storage capacitor C0 to 1300 volts in less than 25 microseconds. This resonant charging system also provides energy recovery, stores energy that is not used by the DPF or dissipates in the form of 20 heat, and uses The recovered energy is used for the next pulse. This reduces the amount of power required by the main power supply and also assists with other issues such as thermal management. Measurements In this section, the applicant will conduct a comprehensive measurement of the applicant's low 71 1222248 number light source operating below 50 Hz. The inventors showed the dependence of Euv output and conversion efficiency on the composition of the gas composition, provided non-compliant band emission materials, and displayed measurements of light source size and position stability. ^ Go to S. Have a good understanding of the experimental dependence between EUV output and electrode geometry, and aerodynamic issues. I Progress. Significant changes compared to first-generation farms include a new cathode design that allows gas to be injected symmetrically around the anode region, and a system that injects a mixture of helium and gas through the anode. The gas delivery system was modified to allow a combination of helium remnants to be injected into different areas of the system. Such a system is shown schematically in the oldest. The gas control system is controlled by 10 two-phase flow controllers and high-accuracy capacitive pressure gauges. The system operates in regulated mode. It is injected in a constant flow mode and supplemented with helium to achieve the target operating pressure. In this mode, the helium flow rate is determined by the pumping speed of the system. The dependence on gas flow rate is determined by testing different pumping configurations. 15 By extracting the radiation emitted along the axis, it enters different chestnuts into the error detection chamber 204 through the orifice. Gas absorption in the measurement vessel is minimized by maintaining the pressure below 5 mTorr. For these measurements, the entrance of the error detection container is 5 cm from the picking area. Along the 5 cm path, the gas attenuation of the main DPF container is uncorrected, and the gas attenuation of the error detection container is also uncorrected. The rays from 20 shots were reflected by a molybdenum / silicon multilayer mirror and guided through a 1 micron thick corrugated foil to an uncoated 1-foot AXUV-100 photodiode. The typical measurement frequency sequence includes the voltage waveform of the recording pulse power system, DPF anode and photodiode as a function of various experimental parameters. The acquisition of data and the control of the gas system are carried out via a computer interface. 72 1222248 EUV signal in compliance band (at 13.5 nm, 2% bandwidth, 2; rsr) and the representative dependency of xenon flow rate, constant operating pressure at 350 mTorr, fixed capacitors in the first stage of the pulse power system The charging voltage is shown in Figure 2a (5). 5 When helium is injected into the anode and xenon is injected into the cathode, the EUV output from the light source when operating at a 20 Hz light source is significantly higher than the EUV output of the helium injection into the main dpf container. Additional improvements can be observed by increasing the pumping capacity and increasing the helium flow rate. The higher pumping speed makes the £ 11 乂 output less sensitive to the xenon mass flow setpoint and increases the measured EUV output. 10 As shown in Figure 1, similar measurements were performed at the first capacitor stage C2 at a constant xenon flow rate and a voltage of 1300 volts as a change in helium pressure. Figure 2A (6) shows the voltage waveform of the capacitor (C2) and the compliance band 13.5 nm photodiode signal at the final stage of helium injection around the anode. The EUV signal is strongly dependent on helium pressure. Examining the C2 waveform shows that due to the undamped response, the energy recovered by such an electric valleyr is determined based on the composition of the gas formula. A similar dependence was observed as a function of air velocity. The energy dissipated in the extraction area is obtained from the difference in energy stored in the C2 capacitor. At 1500 millitorr helium pressure, about 70% of the stored energy is dissipated in the extraction zone (8 · 8 Joules); at 200 millitorr, the stored energy value corresponding to the dissipated zone is 20 ° at 96 ° / ❽ (11.9 Joules). This dependence is shown in Figure 2A (7), where the photodiode signal, initial stored energy, recovered energy, and dissipated energy are plotted as a function of helium pressure. In this range, the EUV signal increases by up to 10 factors. The helium partial pressure was further increased, resulting in a sharp decrease in EUV yield (not shown in these data). 73 Another interesting characteristic of the dependence on gas pressure is the displacement of the starting point of the EUV measured by a photodiode. Using a constant xenon flow rate, the pressure changed from 180 mTorr to 15,000 mTorr, resulting in a 150 nanosecond shift in Euv emission. In the traditional plow and shotgun mode operated by DPF, Applicant 5 predicts that the characteristic axial and radial transition times of the electrofocusing front will expand with the square root of the effective mass density. This expansion must be confirmed for this configuration. The proportionality constant is related to the effect of the seismic front sweeping the mass out of the electrode area. Based on the one-degree space plow mode, calculating these effects suggests that the effective mass in the axial and radial directions is significantly less than the effective mass derived from the actual gas pressure. 10 The dependence of average compliance band EUV energy and energy efficiency on dissipated energy under fixed airflow conditions is shown in Figures 2A (8) and 2A (9) and 4b. These materials are based on optimal light source conditions at peak EUV output. By reducing the charging voltage and keeping all other parameters constant, a lower energy input can be obtained. The data shown here refers to the best conditions for this experiment and the configuration shown in [丨]. The 15 groups used different gas composition formulas and different anode geometries. Compared with the previous configuration at 10 Joules', the conversion efficiency (CE) is increased by 70%. Although the energy coupled to the extraction is determined based on the gas composition formula, it can be seen that the dependence of the EUV energy shown in Figures 2A (6) and 2A (7) is mainly based on the change in gas flow rate rather than the change in coupling. 20 Perform two types of EUV measurements around 13.5 nm outside the 2% bandwidth. The equipment setup for the measurement experiment is shown in Figures 28 (8) and 28 (9). The first type test 1 compares the total radiation from the extraction axis with the transmission in the 130 nm-1300 nm frequency band and passes through the calcium fluoride window portion. The results show that approximately 0.5% of the total radiation emitted by the extraction is in the Fluoride 74 CaF band between 130 nm and 13,000 nm, similar to the results obtained by the previous applicant. In the second experiment, the portion of the reflected rays reflected by the molybdenum / silicon multilayer mirror (ML mirror) and detected by the AXUV-100 photodiode was compared to the portion of the rays transmitted through the 1 micron beryllium box and reflected by the ML mirror. After being reflected by the ML mirror, the signal measured from the original photodiode with no filter is used to obtain the sum of the components of the compliant frequency band and the non-compliant frequency band. Inserting a beryllium filter is limited to measuring only the compliant frequency band. Therefore, from the total signal without filter, the compliant ray band of the ML mirror transmission correction is subtracted, and it is concluded that about 15% of the total ray reflected by an ML mirror is outside the 2% band around 13.5 nm. . 10 The light source size and the center of mass movement are fine-tuned to measure the peak output. A pinhole camera was used with a backlit CCD array and a beryllium filter. The light source image is shown in Figure 2A (10). The image is taken with the camera positioned on the axis. Measured at an angle of 68 degrees. The average light source size (averaging 100 pulses) was measured to be 0.25 mm x 2 mm at full width at half maximum. The centroid displacement of the pulse-to-pulse EUV light source is plotted in Figure 2A (11). The average displacement is about 50 microns. In addition to pinhole camera technology, the inventors obtained EUV energy stability estimates by integrating the intensity of each time frame 'and calculating the standard deviation of the quantity. The results show that the intensity fluctuations of 9 · 5% (10). This measured value comparison uses the beryllium filter 20, ML mirror, and AXUV-100 photodiode to perform energy stability with standard measured values. The measured values are quite matched. Use this Additional experiments performed by the debug method will include the interaction between the size of the EUV light source and the energy of the compliance band. From the image on the axis, the applicant has also concluded that no 75% of EUV is due to the interaction with the anode end wall. The maximum EUV intensity is observed at the extraction center. The xenon system is injected through the orifice of the anode at the extraction center. EUV emission is not observed at the extraction periphery. The xenon gas at the extraction periphery contacts the anode end wall. 5 High repetition rate operation Stable operation of the light source at high repetition rates is very important for high exposure dose and accurate dose control. Improved operation of the fourth generation light source in burst mode. Resonant charging scheme using 10 Joule input energy (similar to the scheme used by Simo excimer lasers) ), At a repetition rate of 2 kHz, the maximum burst emission period rises to as high as 10 300 pulses. The time-integrated compliance band for EUV pulses can be before use The illustrated multilayer mirror-beryllium foil-photodiode detection scheme is measured. The compliance band can be shown in Figure 2A (12) with respect to the number of pulses. When the repetition rate increases from low to high without a change in the gas mixture, a burst occurs Observation of the increase in the number of pulses shows that the EUV output can be severely reduced by 15. By appropriately adjusting the gas composition formula, the output can be fine-tuned. With a repetition rate of 2 kHz, a relatively stable EUV pulse energy can be obtained for a long burst of 300 pulses. As shown in the figure, the output of the burst time can be maintained at a high value after a transition period of about 10-15 pulses in duration. The corresponding standard deviation of the energy stability measurement in this mode is 10%. At present, the inventor has not reached any basic expansion limit of 20 times for high repetition rate operation, so with the upgrade of pulse power and thermal chirping scheme, it is expected that the efficiency can be further increased. Fu Zhiyuan was exposed to the debris generated by the coffee, trying to evaluate the main source of debris and the deposition of debris on the collector optics 76 1222248 device. The source configuration of the w-type test includes crane anode, oxygen insulator, and copper. The sample was exposed to 30Hz 4105 pulses, 5 cm (molybdenum sample) and 11 cm (palladium sample) from the picking distance. The configuration and placement dimensions are shown in Figure 10. After exposure, the sample was dispersed by energy.乂 Light (£) 〇: ^ analysis for analysis. 5 The results are summarized in Table 1 below, showing that the anode (tungsten) and insulator (oxygen, aluminum) materials appear at two distances, including 5 cm and η cm distances. Not observed Evidence of any cathode material. A small portion of xenon appears at 5 cm from the molybdenum sample. It may indicate that activated xenon ions produced by DPF, or xenon is simply bound to the thin film coating. The presence of helium was not observed by EDX. A weak but detectable signal of molybdenum indicates the thickness of the sedimentary debris is 0.5 micrometers to 20 micrometers, which is the typical penetration depth of EDX analysis. The applicant thus obtained an estimate of the rate of fragmentation generation at a distance of 5 cm on the axis at 1-4 · 10_3 nanometers per pulse. Simple optical technology tests the DPF to produce debris deposition characteristics. The absorption of metals in the visible region of the light spectrum is usually higher. Corresponding to the optical thickness until the appreciable transmittance appears, this region is usually much lower than a quarter wavelength, so no interference sideband is observed. According to Lambert Bill's Law:

T=e'a*L 此處T為透射比,為吸收係數以及L為薄膜厚度。因此若 20 α與[有相依性,則吸光比Α[定義為Log1G(i/T)]係與薄膜厚 度成正比。若L係以脈衝數成正比,則由透明樣本上因DpF 產生之碎屑塗層吸光比測量值,呈脈衝數之函數,可確定 每一脈衝之碎屑沉積速率。此種比例關係之實驗證實作圖 於第11圖。 77T = e'a * L where T is the transmittance, is the absorption coefficient, and L is the film thickness. Therefore, if 20 α is related to [the dependence, the absorption ratio A [defined as Log1G (i / T)] is directly proportional to the thickness of the film. If L is proportional to the number of pulses, the measured absorbance ratio of the debris coating due to DpF on the transparent sample is a function of the number of pulses to determine the rate of debris deposition per pulse. The experimental confirmation of this proportional relationship is plotted in Figure 11. 77

I22224S 吸光比之測量允許比較於不同DpF操作條件下,碎屑 於不同樣本之沉積速率。發明人使用此種方法作為獲得碎 屑角向分佈的主要手段,以及作為得知因碎屑屏蔽件*** 結果導致碎屑降低因數的主要手段。 5 $ 了評估碎屑屏蔽件構想的效果,料且建立簡單單 通道試驗設施。試驗設施之幾何及重要尺寸顯示於第μ⑴) 圖。玻璃樣本置於距離電漿麻6㈣位置,正㈣電裝撮 取、或於-系列金屬工作虹(其中有i毫米直徑通道鑽孔貫 穿各工作缸)後方面對電漿撮取。試驗係以i厘米及2厘米通 10道長度進行。試驗期間,室内總壓力為07托耳,氦氣注入 主容器’氣氣經由陽極注入。使用吸光比技術比較碎屑膜 厚度,對於於相同操作條件下暴露於相等脈衝數,但帶有 不同碎屑屏蔽件長度之樣本,求出碎屑減少因數(F)。若F=1 定義為樣本未經任何保護情況,則_示碎屑屏蔽件如何有 K效發揮效果。丨厘米及2厘米厚單通道設施之實驗結果作圖 於第2A(17)圖。結果顯示每厘米屏蔽件長度之縮小因數 = 100。結果比較第2A(16)圖所示,較為實際的多通道碎屑 屏蔽件測得之縮小因數。此種原型屏蔽件係藉電子放電切 削而由不鏽鋼製造。資料顯示於此等條件下,對長丨厘米之 20多通道屏蔽件測得之縮小因數可媲美簡單1厘米單通道試 驗設施。如此讓發明人有信心將此種原型碎屑屏蔽件大小 擴充至實際光源核作應用需要的長度。 熱力工程 水冷卻電極為發展DPF放電區熱管理解決之道之第一 78 1222248 少驟’水冷卻電極已經設計出且於發明人的***EUV光 源作測試。此等電極可比較先前所能達成之重複率,以顯 著更高的穩態重複率,從事DPF操作之研究,且產生熱量 計量資料,顯示於各電極之熱能耗散情況。 5 陰極有四個分開的冷卻輸送及通風回路,各自用於環 形焊件的一個象限。流經各象限之流也以類似方式配置。 設計成内部藉水冷卻面積最大化,流經電襞加熱壁之傳導 路徑最小化,且係由帶有良好機械性質之高導熱銅合金製 造。於400 kPa,流經陰極的總水流速為每分鐘3·8升。水冷 1〇式電極圖解顯示於第2Α(18)圖。陽極係由水流經其焊接總 成本體形成的兩個同心環形通道加以冷卻。如此允許水更 為接近被電漿最激烈加熱部分零組件。水可以相對高壓泵 送通過電極’獲得高水流速,且維持較為有利的溫度梯度 於最高熱通量區。晚近試驗,水以1100kPa栗送通過陽極, 15 獲得流速每分鐘11升。 水冷式電極試驗於短脈衝於至多2〇〇赫茲穩態重複率 進行至高達數百赫兹。至目前為止,結果指示於電極冷卻 系統,當尚有其它數值尚未測量但大部I已經了解之*** 熱耗損考慮時,測量得之熱能輸入與電極冷卻系統之熱負 載間存在有合理交互關聯。於水中離開電極之熱能未於 極與陰極間均分。典型地陰極去除熱量比陽極更多。 提不隨著重複率的升高,陰極去除較大比率熱量測 原广由於隨著重複率的升高,陽極溫度的上升比陰:溫 又的上升更快,以及陽極材料導熱率的對應降低顯著的緣 79 1222248 故:陰極比陽極具有遠較大冷卻面積,較短導熱路徑,遠 車乂门導,、、、率各電極去除之熱分量顯示於第2八(19)圖。 驗證光源參數摘述於第2A(2明。去年巾請人建立五 個新的DPF源,且將現有的***系統升級^西莫操作 5系統總數增加至6。主要經由氣體組成配方以及氣體注入幾 何的最佳化,達成轉換效率的顯著改良。最佳達成2聊及2% 頻寬之轉換效率於約10.5焦耳及低重複率約為〇4%。使用 發明人經過證實之諧振充電器技術,對2千赫兹则脈衝叢 發可驗證敎EUV輸出。今日實驗提示氣_送系統連續 1〇最佳化可達成進一步改良。能量穩定性持續為約·⑽ 需要進-步改良。對改良⑶原而言非合規頻帶之射線小於 0·5ο/〇。 收集於暴露於電漿撮取樣本上的碎屑特徵化,顯示主 要為陽極材料(鎢)及陽極絕緣體材料(鋁、氧)沉積。未見任 15何陰極材料證據。對單通道及多通道碎屑屏蔽件作碎屑減 少因數測量,顯示每厘米屏蔽件長度之縮小因數為1〇〇χ。 將此結果外推至縮小因數1〇8,提示需要4-5厘米屏蔽件長 度。 由於200赫茲連續操作電極所擷取之熱量測量值顯示 20 約60%功率耗散於陰極,40%進入陽極。提示於5〇〇〇赫兹重 複率以及10焦耳總輸入能時,必須由陽極中擷取出約2〇千 瓦熱量。此種條件下,使用0.4% CE,算出合規頻帶輻射功 率200瓦,於來源為2%BW及2ππ。對全部衰減來源射線之 下游組成元件,必須使用適當縮小因數。 80 1222248 其它改良 雙重用途集極 由於EUV鏡有大反射_,鏡數目最小化對刪微影 術照明系統而言為較佳。特殊設計之表面有額外特色例 5如光束均化特色。其中-項特色為反射漫射鏡增加至前束 該類型補餘入射集極。 磁屏蔽件及前置游離器用於控制撮取 申請人已經證實磁場可用來控制撮取大小及位置。一 具體實施例中,設置於撮取區上方之永久磁鐵可縮短撮取 10長度。磁鐵可置於陽極,如第28Α圖所示。也可施加磁場來 辅助約束撮取。申請人證實撮取形狀及位置也可經由模式 化得自岫置游離器138之前置游離信號加以控制,如第2Α(2) 圖所示。 金屬於溶液目標 15 $供目#材料於«撮取位置之技術係使用金屬形成 液態溶液且將目標呈液體形式注入。 當含金屬之液體溶液嵌置於放電室時,金屬無需藉加 熱輸送。目標的輸送可以所謂之質量限制方式進行,換言 之,只輸送正確量的金屬(粒子)而不會輸送超過需要量。如 20此未留下額外粒子,否則額外粒子將構成來源產生之非期 望碎屑。若施加夠高的反壓,則目標材料可由喷嘴以液體 喷射輸送。藉此方式目標材料可輸送至放電區,且可避免 整個放電室内皆被目標材料所填滿。因使用膠體粒子於懸 斤液或液體或粒子於液體,故目標密度可比金屬蒸氣目標 81 1222248 密度遠更高。經由選用正確濃度之液體金屬含量,可提供 最佳化質量限制金屬目標。只將液體注入放電室内也比基 於熱官原理,建構金屬蒸氣輸送系統遠較為簡單。硝酸錫 為產生13.5奈米至14奈米EUV光的有效目標。 5 如下第28B圖所示,當利用安裝線圈施加脈衝化磁場 時,觀察到EUV輸出及前置游離的改良。線圈電流脈衝顯 不於第30圖。此種脈衝於陽極終端產生2〇〇至5〇〇高斯的磁 場。可見前置游離的改良,如第29A圖之陽極波形顯示。 C2波形之對應變化顯示於第29B圖。施加脈衝化磁場,結 10果獲得陽極陰極區之前置游離密度較高,如第29A圖之陽極 電壓降可證。EUV輸出隨著脈衝化磁場而增高。合規頻帶 EUV波形顯示於第29C圖,B場為開及關。施加脈衝化磁 場’ EUV輸出對輸入能之總相依性顯示於第29C圖上方曲 線。下方曲線係不含脈衝化B場。第2A(9)圖顯示由此處討 15論之電極幾何改良所得效率改良,包括氣體泵送及前置游 離變化以及使用磁力效應之電衆電力學。 金屬目標可利用液體、流體、溶液或懸浮液輸送。化 合物於指定壓力(反壓)於室溫附近例如約1〇t至約50°c須 為液體。此項技術適用於任何可產生EUV或X光射線的經撮 20 取的(磁力自我壓縮)放電,例如緊密電漿聚焦dpf放電、Z-撮取放電、HCT撮取(==中空陰極觸發撮取)放電或毛細放 電。液體可經由放電裝置之前者氣體注入口輸送,參考第 18A圖,例如此時放電裝置為DPF。另一具體實施例中,參 考第23圖,液體於高壓,或液體可由極高壓(約8〇大氣壓) 82 1222248 氦氣加壓,且透過有極小開口(約50微米至約10微米開口) 之贺射喷鳴輸送至放電區。藉此方式,含金屬液體被侷限 成為窄液體喷射。喷射交叉放電撮取區。可加上額外氣體 來促進有效撮取放電的發展。液體及氣化氣體可藉附近傾 5瀉口使用真空幫浦泵送去除。喷嘴另外也可經由噴嘴或經 由内電極進行喷嘴擴大,形成一系列液滴或(更為擴散性) 液體噴霧擴大。液體提供方便輸送於溶液中稀釋成最佳濃 度之金屬至放電區的溶液手段。玎避免需要加熱金屬來獲 得金屬蒸氣。 10 較佳金屬為可提供於約13奈米至約15奈米區有效產生 EUV之金屬。該等金屬包括鋰、錫、銦、鎘及銀。鋰(u2+) 於Ϊ3·5奈米有強力變遷。錫、銦(In)、鎘(Cd)及銀(Ag) 具有來自若干離子物種之強力4d-4f變遷陣列重疊於13至15 奈米波長區。(由13奈米前進至15奈米時,EUV微影術之多 15 層鏡之尖峰反射率降低,但同時其頻寬增加。故整體反射 強度仍高,高於14奈米之波長於此處仍然令人感興趣)。較 佳溶液為醇類例如異丙醇、甲醇、乙醇等也包括水或甘醇。 較佳化學化合物為氟化經、氣化裡、漠化鐘鹽溶解於 水(舉例)。對錫、銦、鎘及銀而言,較佳溶液同樣為氣化物 2〇 溶液、溴化物溶液及氟化物溶液。此外也包括金屬硫酸鹽 及金屬硝酸鹽。 硝酸錫(Sn(N〇3)4)是最令人感興趣的化合物。同理,也 可使用硝嫂銦(In(N〇3)3)、硝酸鎘(Cd(N03)2)及硝酸銀 (Ag(N〇3))也令人感興趣。也可使用奈米粒子及微米粒子之 83 1222248 溶液或懸浮液。也須考慮將此種奈米粒子及微米粒子藉渦 流喪合入氦氣流中而絲毫也未使用液體來輸送。 得自電子衝擊之額外EUV光 申請人提議使用由激活電子衝擊所得之光來補充藉電 5 漿撮取產生的合規頻帶光。 除了氣態撮取電漿產生的EUV射線之外,由激活電子 衝擊帶有適當吸收緣固體產生的布倫斯左龍(=軟乂光射線) 也產生EUV射線。此乃一般性構想。以發明人之DpF源為 例(舉例),已知以正極性於中心電極(=陽極)操作時,產生 10電子束(電子能為數千電子伏特)碰撞中心電極之内前方。對 13·5奈米射線而言矽為置於此處的適當材料。矽之l吸收緣 係出現於13.5奈米。因此激活電子將產生13 5奈米輻射。如 此完全係於撮取電漿氣離子產生之主要13·5奈米射線之額 外射線。故當陽極中心部分(通常任何電子束衝擊位置)由矽 15製成時,將產生更多EUV射線。電子動能10千電子伏特約 略為最佳效率之動能。例如將矽置於鎢陽極内部。於衝擊 位置不切本操作模式),則無吸收緣匹配(例如鶴),結 果未於13.5奈米產生額外射線。石夕於此處為最重要,但該 原理也同等適用於其它材料於其它波長。(例如嵌入皱來於 2〇 Be Κ邊緣產生u·5奈米射線)。本技術草圖顯示於第μ圖。 藉丨賤锻產生金屬蒸氣 〃,佳具體實_巾,活性氣體(鋰或錫蒸氣)及前置游離 係於导-系統提供。此種情況下,金屬目標以放電減鍛, 產生金屬蒸氣,也產生促進主放電所需要的任何游離。賤 84 1222248 鍍功率來源較佳為信號產生器、100瓦線性射頻放大器及 2000瓦指令放大器。固態鋰或錫目標較佳置於中心電極中 空部分,濺鑛放電被導向該目標。 例如申請人之***EUV光源於第19圖之過渡焦點11 5 產生約5瓦合規頻帶EUV能量。申請人預期使用現有技術, 未來設計可將如此5瓦增強至約45.4瓦。但有些EUV微影術 介質設計師已經表達希望功率可超過100瓦。申請人提議使 用此處所述技術,組合兩個EUV光源成為單一EUV系統來 達成此項目的。 10 波長範圍 此處討論之各具體實施例特別係就可於12奈米至14奈 米光譜範圍產生紫外光之光源作討論。原因在於鏡供應商 報告對此種波長範圍之紫外光發展多層接近法線鏡之開發 相當成本。典型地,此等鏡於12至14奈米範圍有最大反射 15 率約0.6至0.7,依據特殊鏡設計而定,鏡典型具有FWHM頻 寬約0.6奈米。故典型鏡只涵蓋12奈米至14奈米光譜範圍。 因此理由故,極為重要地須小心匹配光源之光譜輸出 與鏡反射率光譜範圍,該鏡將用來導引光束,例如於微影 術掃描機使用的鏡。 20 讀者也須了解本說明書之教示適用於比大部分目前極 端紫外光注意力集中的12奈米至14奈米遠更寬廣的光譜範 圍。例如可對11奈米範圍製作良好鏡,較佳將此等撮取裝 置用於高於14奈米至約50奈米波長範圍。未來也可能實現 投射微影術縮小至約5奈米。此外經由進入χ光近端微影 85 1222248 術,可使用此處所述光源應用至低抵約〇·5奈米的光源。 用於投射微影術,須選擇活性材料,該材料於鏡之反 射率範圍至少有一良好發射線可供用於投射良好線,該活 性材料可應用於整個極端紫外光光譜。良好線也可應用於 5低抵奈米之近端微影術。因此申請人相信此處表達的多 種以及大部分構想及概念可應用於由約〇·5奈米至約5〇奈 米的波長範圍。 須了解前述具體實施例僅供舉例說明少數可代表本發 明原理之應用之多項可能的特定具體實施例。例如替代循 1〇 %工作氣體,較佳單純捕捉鋰而排放氦。使用鎢與銀以外 的其它電極塗覆組合亦屬可能。例如銅或箔電極及塗膜可 發揮功用。其它產生電漿撮取技術可用來替代此處所述特 定具體實施例。若干其它技術述於本說明書之先前技術節 15中弓1述的專利案,專利案之說明皆以引用方式併入此處。 由夕種咼頻南電壓電脈衝之產生方法可取得且可供利用。 一項替代之道係將燈管轉於室溫,如此#鐘及賊圖朝 向燈管縱向方向前進時;東結鐘及嫣。此項;東結構想進一步 減少到達微影術工具使用的光學元件之碎屬#,原子於衝 扣$燈㈣時將永久附著於燈管壁。經由將集極光學裝置設 ^成可經欠放電㈣純口而再度成像射束點、以及 =2用差異泵送配置,可防止電極材料沉積於微影術工 二室學裝置。氦氣或氬氣可經孔口由二次放電室沉積於第 轸=此種方案可有效防止電極材料沉積於銅蒸氣雷射之 Μ窗。氫化鐘可用來替代鐘。該單元可呈靜態填補系統 86 而^作氣體流經電極L單-脈衝至每秒約5 & 3至母秒數百或數千脈衝之極為寬廣的重複率皆屬可 有所而固悲鋰位置的調整機構可經修改,讓中心 電極梢端位置也可調整來考慮梢端溶姓問題。 ▲除了刖文說明之電極配置之外,多項電極配置皆屬可 I例如外電極可為錐形而非圓柱形,如朝向撮取部的直 仏車乂大所不。此外若干具體實施例之性能可經由讓内電極 凸起超出外電極末端之外而改良。此項任務可使用火星塞 或業界眾所周知的其它前置游離器達成。另一較佳替代之 10道係利用桿陣列排列形成概略圓柱形或錐形作為外電極。 此種辦法由於產生感應鎮流,故有助於維持取中於電極軸 線之對稱撮取。 如此讀者須以隨附之申請專利範圍及其法定相當範圍 來界定本發明之範圍而非以前文列舉之實施例來界定本發 15 明之範圍。 【圖式簡單說明】 第1圖為可用作為EUV及軟X光光源之功率源,脈衝電 源系統之電圖。 第1A圖顯示脈衝變壓器之結構元件。 20 第1B及1C圖顯示測試資料。 第1D圖顯示反極性脈衝功率源。 第2A圖顯示緊密電漿聚焦EUV裝置之電特性。 第2A(1)及2A(2)圖顯示電漿撮取EUV裝置原型機之剖 面圖。 87The measurement of I22224S absorbance ratio allows comparison of the deposition rate of debris on different samples under different DpF operating conditions. The inventors used this method as the main means to obtain the angular distribution of the debris, and as the main means to know that the debris reduction factor was caused by the insertion of the debris shield. $ 5 evaluates the effectiveness of the debris shield concept and builds a simple single-pass test facility. The geometry and important dimensions of the test facility are shown in Figure μ⑴). The glass samples were placed at a distance of 6 mm from the plasma hemp, and were picked up by the positive electric device, or by the Yu-series metal working rainbow (in which the i-mm diameter channel was drilled through each working cylinder). The test was conducted with i cm and 2 cm lengths of 10 tracks. During the test, the total pressure in the room was 07 Torr, and helium gas was injected into the main container 'gas was injected through the anode. Debris film thickness was compared using the absorbance ratio technique. For samples exposed to the same number of pulses under the same operating conditions but with different lengths of the debris shield, the debris reduction factor (F) was determined. If F = 1 is defined as the sample without any protection, then _ shows how the debris shield has K effect. The experimental results of a single-channel facility with a thickness of 2 cm and 2 cm are plotted in Figure 2A (17). The results show a reduction factor of 100 per cm of shield length = 100. The results compare the reduction factors measured in a more practical multi-channel debris shield as shown in Figure 2A (16). This prototype shield is made of stainless steel by electronic discharge cutting. The data show that under these conditions, the reduction factor measured for 20-channel shields with a length of 20 cm is comparable to a simple 1-cm single-channel test facility. This gave the inventors the confidence to extend the size of this prototype debris shield to the length required for actual light source applications. Thermal Engineering Water-cooled electrodes are the first solution for the development of thermal management solutions for DPF discharge zones. 78 1222248 Water-cooled electrodes have been designed and tested on the inventor's fourth-generation EUV light source. These electrodes can compare the repetition rates that can be achieved previously, with significantly higher steady-state repetition rates, engaged in research on DPF operations, and generate heat measurement data to show the thermal energy dissipation of each electrode. 5 The cathode has four separate cooling delivery and ventilation circuits, one for each quadrant of the ring weldment. The flow through the quadrants is also configured in a similar manner. It is designed to maximize the internal cooling area by water, minimize the conduction path through the electric heating wall, and is made of high thermal conductivity copper alloy with good mechanical properties. At 400 kPa, the total water flow rate through the cathode is 3.8 liters per minute. The water-cooled type 10 electrode diagram is shown in Figure 2A (18). The anode is cooled by water flowing through two concentric annular channels formed by its total welding body. This allows water to be closer to the parts that are most intensely heated by the plasma. Water can be pumped through the electrode 'at a relatively high pressure to obtain a high water flow rate while maintaining a more favorable temperature gradient in the highest heat flux zone. In a recent test, water was pumped through the anode at 1100 kPa, and a flow rate of 11 liters per minute was obtained. Water-cooled electrode tests are performed with short pulses at steady state repetition rates up to 200 Hz up to several hundred Hertz. So far, the results are indicated in the electrode cooling system. When there are other values that have not yet been measured but most of the systems already understand the heat loss consideration, there is a reasonable interaction between the measured thermal energy input and the thermal load of the electrode cooling system. The thermal energy leaving the electrode in water is not evenly divided between the electrode and the cathode. The cathode typically removes more heat than the anode. As the repetition rate increases, the cathode removes a larger proportion of the heat. As the repetition rate increases, the anode temperature rises faster than the cathode: temperature and temperature, and the corresponding thermal conductivity of the anode material. Decrease the significant margin 79 1222248 Therefore: the cathode has a much larger cooling area than the anode, a shorter heat conduction path, and the far away car door, and the heat components removed by the electrodes are shown in Figure 28 (19). The verification light source parameters are summarized in Section 2A (2). Last year, I asked people to establish five new DPF sources and upgrade the existing fourth-generation system. The total number of systems in Simo operation 5 was increased to 6. Mainly through the gas composition formula and The gas injection geometry is optimized to achieve a significant improvement in conversion efficiency. The best conversion efficiency of 2 chats and 2% bandwidth is about 10.5 Joules and the low repetition rate is about 0.4%. Using the inventor's proven resonant charging The device technology can verify the 对 EUV output for pulses of 2 kHz. Today's experiments suggest that the continuous improvement of the gas delivery system can achieve further improvement. The energy stability continues to be about ⑽ ⑽ Needs further improvement. Improved ⑶ The radiation in the non-compliant frequency band was originally less than 0.5 · 5ο / 〇. The debris collected on the plasma sample was characterized and showed that it was mainly anode material (tungsten) and anode insulator material (aluminum, oxygen ) Deposition. No evidence of any cathode material was found. Debris reduction factor measurements of single- and multi-channel debris shields showed a reduction factor of 100 cm per cm of shield length. Extrapolate this result to Reduction factor 1 8. The prompt requires a shield length of 4-5 cm. As the heat measurement value obtained by continuously operating the electrode at 200 Hz shows that about 60% of the power is dissipated in the cathode and 40% is in the anode. The prompt is at a repetition rate of 5000 Hz And when the total input energy is 10 Joules, about 20 kilowatts of heat must be extracted from the anode. Under this condition, 0.4% CE is used to calculate the radiated power in the compliance band of 200 watts, and the source is 2% BW and 2ππ. The downstream component of the attenuation source ray must use an appropriate reduction factor. 80 1222248 Other improved dual-use collectors Due to the large reflection of EUV mirrors, minimizing the number of mirrors is better for deleting lithography lighting systems. Specially designed The surface has additional features such as the beam homogenization feature. One of the features is the addition of a reflective diffuser to the front beam. This type of residual incident collector. The magnetic shield and front dissipator are used to control the extraction. The applicant has confirmed that the magnetic field It can be used to control the picking size and position. In a specific embodiment, the permanent magnet set above the picking area can shorten the picking length by 10. The magnet can be placed on the anode, as shown in Figure 28A A magnetic field can also be applied to assist in restricting the extraction. The applicant has confirmed that the shape and position of the extraction can also be controlled by patterning the pre-free signal from the pre-free device 138, as shown in Figure 2A (2). Solution target 15 $ 给 目 # The material at the «Pickup Position> technology uses metal to form a liquid solution and inject the target in liquid form. When a liquid solution containing metal is embedded in the discharge chamber, the metal does not need to be transported by heating. The target's Conveying can be done in a so-called mass-limited manner, in other words, only the correct amount of metal (particles) is conveyed without exceeding the required amount. If no extra particles are left, otherwise the extra particles will constitute undesired debris from the source. If a sufficiently high back pressure is applied, the target material can be conveyed in a liquid jet by a nozzle. In this way, the target material can be transported to the discharge area, and the entire discharge chamber can be prevented from being filled with the target material. Because colloidal particles are used in suspension or liquid or particles in liquid, the target density can be much higher than the metal vapor target 81 1222248. By selecting the liquid metal content at the correct concentration, an optimized mass-limiting metal target can be provided. Injecting liquid into the discharge chamber is also simpler than constructing a metal vapor delivery system based on the thermal principle. Tin nitrate is an effective target for generating EUV light from 13.5 nm to 14 nm. 5 As shown in Figure 28B below, when a pulsed magnetic field is applied using the mounting coil, improvements in the EUV output and the front release are observed. The coil current pulse is not shown in Figure 30. This pulse generates a magnetic field of 200 to 500 Gauss at the anode terminal. It can be seen that the front release is improved, as shown by the anode waveform in FIG. 29A. The corresponding change in the C2 waveform is shown in Figure 29B. The application of a pulsed magnetic field resulted in a higher free density before the anode cathode region was obtained, as shown in the anode voltage drop in Figure 29A. The EUV output increases with the pulsed magnetic field. The compliance band EUV waveform is shown in Figure 29C, with field B on and off. The total dependence of the applied pulsed magnetic field 'EUV output on input energy is shown in the upper curve of Figure 29C. The bottom curve does not include a pulsed B field. Figure 2A (9) shows the efficiency improvements resulting from the electrode geometry improvements discussed here, including gas pumping and pre-movement changes, and electrical power using magnetic effects. Metal targets can be delivered using liquids, fluids, solutions or suspensions. The compound must be liquid at a specified pressure (back pressure) near room temperature, for example, about 10t to about 50 ° c. This technology is applicable to any 20-pronged (magnetic self-compressing) discharge that can generate EUV or X-rays, such as tight plasma focused dpf discharge, Z-pickup discharge, HCT capture (== hollow cathode trigger Take) discharge or capillary discharge. The liquid can be delivered through the gas injection port of the discharge device. Refer to Figure 18A. For example, the discharge device is DPF. In another specific embodiment, referring to FIG. 23, the liquid is at a high pressure, or the liquid may be pressurized by an extremely high pressure (about 80 atmospheres) 82 1222248 Helium, and passes through a small opening (about 50 microns to about 10 microns) He shot spurts are sent to the discharge area. In this way, the metal-containing liquid is restricted to a narrow liquid jet. Jet cross-discharge extraction area. Additional gas can be added to promote the development of effective extraction discharge. Liquids and vaporized gases can be removed by vacuum pumping using the nearby 5 diarrhea. Nozzles can also be expanded via a nozzle or via an internal electrode to form a series of droplets or (more diffusely) a liquid spray to expand. The liquid provides a convenient means of transporting the metal diluted to the optimum concentration in the solution to the discharge zone.玎 Avoid heating the metal to get metal vapor. 10 Preferred metals are those that can provide EUV effectively in the region of about 13 nm to about 15 nm. These metals include lithium, tin, indium, cadmium and silver. Lithium (u2 +) has a strong change at 3.5 nanometers. Tin, indium (In), cadmium (Cd), and silver (Ag) have powerful 4d-4f transition arrays from several ionic species that overlap in the 13 to 15 nanometer wavelength region. (From 13 nm to 15 nm, the peak reflectance of 15 layers of EUV lithography is reduced, but at the same time its bandwidth is increased. Therefore, the overall reflection intensity is still high, and the wavelength higher than 14 nm is here Office is still interesting). Preferred solutions are alcohols such as isopropanol, methanol, ethanol, etc. Also include water or glycol. Preferred chemical compounds are fluorinated compounds, gasified compounds, and desertified bell salts dissolved in water (for example). For tin, indium, cadmium and silver, the preferred solutions are also gaseous 20 solution, bromide solution and fluoride solution. It also includes metal sulfates and metal nitrates. Tin nitrate (Sn (NO3) 4) is the most interesting compound. In the same way, indium nitrate (In (N03) 3), cadmium nitrate (Cd (N03) 2), and silver nitrate (Ag (N〇3)) are also interesting. 83 1222248 solutions or suspensions of nano particles and micro particles can also be used. Consideration must also be given to incorporating such nano- and micro-particles into the helium gas stream by vortex flow without using any liquid for transport. Additional EUV Light from Electronic Shock The applicant proposes to use light obtained by activating the electronic shock to supplement the compliant band light generated by the borrowing. In addition to the gaseous extraction of EUV rays from plasma, EUV rays are also generated by Bruns left dragon (= soft chirped light rays) generated by activated electrons impinging on solids with appropriate absorption edges. This is a general idea. Taking the inventor's DpF source as an example (for example), it is known that when operating with a positive polarity at the center electrode (= anode), 10 electron beams (electron energy is thousands of electron volts) are collided into the front of the center electrode. Silicon is a suitable material for 13.5 nm rays. The absorption edge of silicon l appears at 13.5 nm. So activating electrons will produce 13 5 nm radiation. This is entirely based on the extraction of the additional 13.5 nm rays produced by plasma gas ions. Therefore, when the central portion of the anode (usually any electron beam impact location) is made of silicon 15, more EUV rays will be generated. Electrokinetic energy of 10 kiloelectron volts is approximately the kinetic energy of the best efficiency. For example, silicon is placed inside a tungsten anode. At the impact position, the operation mode is not cut), then there is no absorption edge matching (such as crane), and as a result, no extra rays are generated at 13.5 nm. Shi Xi is the most important here, but the principle is equally applicable to other materials at other wavelengths. (For example, embedded wrinkles generate u · 5 nm rays at the edges of 20 Be K). This technical sketch is shown in Figure μ. The metal vapour 贱 is generated by cheap forging, and the concrete, towel, active gas (lithium or tin vapor) and pre-free are provided by the guide-system. In this case, the metal target is forged by the discharge, generating metal vapor, and also producing any release required to promote the main discharge. Base 84 1222248 The source of plating power is preferably a signal generator, a 100-watt linear RF amplifier, and a 2000-watt command amplifier. A solid lithium or tin target is preferably placed in the hollow portion of the center electrode, and the spatter discharge is directed to the target. For example, the applicant's fourth-generation EUV light source generates approximately 5 watts of EUV energy in the compliance band at the transition focus 11 5 of FIG. 19. The applicant anticipates that using existing technology, future designs can enhance such 5 watts to approximately 45.4 watts. But some EUV lithography media designers have expressed a desire for powers in excess of 100 watts. The applicant proposes to use the technique described here to combine two EUV light sources into a single EUV system to achieve this project. 10 Wavelength Range The specific embodiments discussed herein are specifically discussed with respect to light sources that can generate ultraviolet light in the 12 nm to 14 nm spectral range. The reason is that mirror suppliers report that the development of multilayer near-normal mirrors for this wavelength range is quite costly. Typically, these mirrors have a maximum reflectance of about 0.6 to 0.7 in the range of 12 to 14 nanometers. Depending on the special mirror design, the mirrors typically have a FWHM bandwidth of about 0.6 nanometers. Therefore, a typical mirror covers only the 12 nm to 14 nm spectral range. For this reason, it is extremely important to carefully match the spectral output of the light source with the spectral range of the reflectance of the mirror. This mirror will be used to guide the beam, such as the mirror used in lithography scanners. 20 Readers also need to understand that the teachings in this manual apply to a broader spectral range than 12 nm to 14 nm, which is the focus of most current extreme ultraviolet light. For example, a good mirror can be made for the 11 nm range, and it is preferable to use these picking devices for a wavelength range from 14 nm to about 50 nm. It is also possible to reduce the projection lithography to about 5 nm in the future. In addition, through the X-ray near-end lithography 85 1222248 technique, the light source described here can be applied to a light source as low as about 0.5 nm. For projection lithography, an active material must be selected. The material has at least one good emission line in the reflectance range of the mirror for good projection. The active material can be applied to the entire extreme ultraviolet light spectrum. The good line can also be applied to the low-end nanolithography. The applicant therefore believes that many and most of the ideas and concepts expressed herein can be applied to a wavelength range from about 0.5 nanometers to about 50 nanometers. It should be understood that the foregoing specific embodiments are merely examples to illustrate a few possible specific embodiments that may represent the application of the principles of the present invention. For example, instead of using 10% working gas, it is better to simply capture lithium and emit helium. It is also possible to use electrode coating combinations other than tungsten with silver. For example, copper or foil electrodes and coating films can function. Other plasma generation techniques can be used in place of the specific embodiments described herein. Several other technologies are described in the patent case described in Section 1 of the prior art section 15 of this specification, the description of which is incorporated herein by reference. The method of generating the electric pulses of the south frequency of the frequency of the chirped frequency can be obtained and used. An alternative way is to turn the lamp to room temperature, so when # 钟 和 贼 图 is heading in the longitudinal direction of the lamp; Dongjie Zhong and Yan. This project wants to further reduce the number of broken pieces of the optical elements used to reach the lithography tool. Atoms will be permanently attached to the wall of the lamp when the lamp is deducted. By setting the collector optical device to be able to re-image the beam spot through the under-discharge and pure port, and = 2 using a differential pumping configuration, the electrode material can be prevented from being deposited in the lithography laboratories. Helium or argon can be deposited from the secondary discharge chamber through the orifice. This solution can effectively prevent the electrode material from being deposited on the M window of the copper vapor laser. Hydrogenated bells can be used instead of bells. The unit can be a static filling system 86, and the gas can flow through the electrode L single-pulse to about 5 & 3 to the mother second hundreds or thousands of pulses. The extremely wide repetition rate can be considered. The adjustment mechanism of the lithium position can be modified so that the position of the tip of the center electrode can also be adjusted to consider the problem of melting the tip. ▲ In addition to the electrode configuration described in the text, a number of electrode configurations are available. For example, the external electrode can be tapered instead of cylindrical, such as a straight car facing the picking part. In addition, the performance of several specific embodiments can be improved by allowing the inner electrode to protrude beyond the end of the outer electrode. This task can be accomplished using a Mars plug or other pre-dissociator known in the industry. Another preferred alternative is to use rod arrays to form roughly cylindrical or tapered outer electrodes. This method helps to maintain a symmetrical extraction centered on the electrode axis due to the induction ballast. In this way, the reader must define the scope of the present invention with the scope of the appended patent application and its legal equivalent, rather than the embodiments listed above to define the scope of the present invention. [Schematic description] Figure 1 shows the electrical diagram of the power source and pulse power system that can be used as EUV and soft X-ray light source. Figure 1A shows the structural elements of a pulse transformer. 20 Figures 1B and 1C show the test data. Figure 1D shows a reverse-polarity pulsed power source. Figure 2A shows the electrical characteristics of a compact plasma focused EUV device. Figures 2A (1) and 2A (2) show cross-sectional views of a prototype of a plasma extraction EUV device. 87

1222248 第2A(3)圖顯示帶有真空室之原型機。 第2A(4)圖顯示流動冷卻裝置。 第2A(5)圖顯示流對輸出的影響。 第2Α(6)-(9)圖顯示效能資料。 5 第2A(10)a-(10)b圖顯示效能資料。 第2A(11)-(20)圖顯示效能資料。 第2A(21)圖顯示特殊DPF組態。 第2B圖顯示習知Z-撮取裝置特色。 第2C圖顯示中空陰極Z-撮取裝置特色。 10 第2D圖顯示毛細放電裝置特色。 第3A及B圖顯示氙光譜。 第4、4A及4B圖顯示雷射產生電漿系統之特色。 第4C圖顯示混成EUV系統。 第5A-C圖顯示碎屑集極之製造方法。 15 第6A及6B圖顯示第二碎屑集極之特色。 第7A、B及C圖顯示第三碎屑集極之特色。 第8圖顯示13.5奈米鋰尖峰相對於MoSi塗層之反射率 之關係。 第8A圖為雙曲面集極之透視圖。 20 第8B圖顯示橢球集極產生之EUV光束之一部分。 第8B圖顯示雙曲面集極產生之EUV光束之一部分。 第9、9A、9B及9C圖顯示射線集極及碎屑集極之組合。 第10圖顯示氙光譜之多層鏡光譜。 第11A圖為圖表顯示各種材料對13.5奈米紫外光之反 25 射率。 第11、B、C及D圖顯示集極設計。 88 1222248 第12圖為略圖顯示導入來源氣體及工作氣體之技術。 第13圖為時序圖顯示陽極電壓及EUV強度。 第14A、14B、14C及14D圖顯示多種中心電極設計對電 漿撮取的影響。 5 第15圖為略圖顯示使用RF能來操作鋰蒸氣來源氣體之 技術。 第16圖顯示於較佳DPF裝置,用於陽極之熱管冷卻技 術。 第17圖顯示氣體控制技術。 10 第18A、B、C及D圖顯示於真空容器較佳具體實施例控 制活性氣體及緩衝氣體之技術。 第19圖顯示銜接橢球鏡配置。 第19A、B及C圖顯示恰位於二焦點下游之EUV側繪圖 形狀。 15 第20、20A、21及22圖顯示電極之水冷卻技術。 第23、24及26A及26B圖顯示電極設計。 第25圖顯示減少電極溶蝕之技術。 第27A及27B圖顯示維護技術。 第28A及28B圖顯示使用磁鐵來控制撮取。 20 第29A、29B、29C及30圖顯示脈衝形狀。 第31圖顯示前置游離技術。 第32圖顯示前置換向的影響。 第33圖顯示緊密電漿聚焦之優點。 89 1222248 【圖式之主要元件代表符號表】 2.. .喷嘴 3.. .排氣埠口 3…真空 4.. .氣體管 4,8...集極導向器 5,6…碎屑集極 6.. .碎屑捕集器 8a...陽極 8A...中心電極 8A...陽極 8A...中心電極 8A1...放電部 8A2...下部 8A3…不鏽鋼底部 8B...陰極 8B...外電極 8B...地電極 8B1...蓋 8B2…底 8C...絕緣體 8D...高電壓脈衝電源 9C...絕緣體 9E...中空區 10.. .真空室 11…中間焦點 12.. .進氣 13…電感器 14,24···埠口 30…鋰金屬目標 3〇,44...橢球面鏡 32.. .準分子雷射 32…角度 34.. .窗 36.. .透鏡 38.. .鋰金屬 42…鏡 42···集極 46…電漿撮取源 48…焦點 50.. .偵測器 50.. .鋰填充鎢天線 52…截面 52.. .射頻電源 54·.·光束側繪截面 54.. .氣體流 60,62…絕緣體 90 1222248 64…陽極 65…陰極 66.. .集極鏡 68…碎屑捕集器 69.. .源室 70.. .集極室 71…撮取源容積 72…閘閥 74.. .機械快門 76…中空圓錐 77…箔片 78.. .金屬模型 80,81.··釕塗覆之橢球面鏡 82,83…釕塗覆之拋物面鏡 84.. .拋物面雙重反射鏡 85.. .拋物面三重反射鏡 86.. .拋物面鏡 87.. .曲線示蹤鏡 88.. .多層拋物面鏡 92.. .過早游離 93.. .過遲游離 100···撮取區 102.. .蜂巢式碎屑屏蔽件 104.. .射線集極-導向器 106A-C·.·射線執跡 120…真空幫浦 122,126···微影術單元 124…篋 138.. .火星間隙前置游離器 138.. .火星塞型接腳 400.. .直流電源供應器 402…諧振充電器單元 404…實體脈衝電源系統 406…脈衝變壓器 408.. .偏壓電路 409A-C···箭頭 409D·.·執跡 418··.鐵心 420A,420B···圓環 422···心軸 424…隔件 426.. .螺栓 440…陽極中心 442…鋰熱管系統 444.··鋰熱管 446.. .傳熱區 448…熱阱表面 450.. .水盤管 91 1222248 452…限制工作缸 D3...飛輪二極體 454…上下滑動 D4...迪金二極體 460...水通道 L1...充電電感 462…主絕緣體 L3,L5,L6...偏壓電感器 464···崔呂氧^層 L53…最末可飽和電感器 466…聚醯亞胺 LS...磁性開關 468…箭頭 R1...電阻器 470…隔板 S1...指令充電開關 C0...電容器排組 S2...迪金開關 C1...電容器 VI...偏壓電源供應器 C2...放電 921222248 Figure 2A (3) shows a prototype with a vacuum chamber. Figure 2A (4) shows a flow cooling device. Figure 2A (5) shows the effect of flow on output. Figures 2Α (6)-(9) show performance data. 5 Figures 2A (10) a- (10) b show performance data. Figures 2A (11)-(20) show performance data. Figure 2A (21) shows a special DPF configuration. Figure 2B shows the characteristics of the conventional Z-snap device. Figure 2C shows the characteristics of the hollow cathode Z-stripping device. 10 Figure 2D shows the characteristics of a capillary discharge device. Figures 3A and B show the xenon spectrum. Figures 4, 4A and 4B show the characteristics of a laser-generated plasma system. Figure 4C shows the hybrid EUV system. Figures 5A-C show the manufacturing method of the debris collector. 15 Figures 6A and 6B show the characteristics of the second debris collector. Figures 7A, B, and C show the characteristics of the third debris collector. Figure 8 shows the relationship between the lithium peak of 13.5 nm and the reflectance of the MoSi coating. Figure 8A is a perspective view of a hyperbolic collector. 20 Figure 8B shows a portion of the EUV beam produced by an ellipsoidal collector. Figure 8B shows a portion of the EUV beam generated by a hyperboloid collector. Figures 9, 9A, 9B, and 9C show a combination of a ray collector and a debris collector. Figure 10 shows the multilayer mirror spectrum of the xenon spectrum. Figure 11A is a graph showing the reflectance of various materials for 13.5 nm UV. Figures 11, B, C, and D show the collector design. 88 1222248 Figure 12 is a schematic diagram showing the technique of introducing source gas and working gas. Figure 13 is a timing diagram showing the anode voltage and EUV intensity. Figures 14A, 14B, 14C, and 14D show the effects of various center electrode designs on plasma extraction. 5 Figure 15 is a schematic diagram showing a technique using RF energy to operate a lithium vapor source gas. Figure 16 shows a heat pipe cooling technique for anodes in a preferred DPF device. Figure 17 shows the gas control technology. 10 Figures 18A, B, C and D show the technology for controlling active gas and buffer gas in the preferred embodiment of the vacuum container. Figure 19 shows the ellipsoid configuration. Figures 19A, B, and C show the EUV-side drawing shape just downstream of the two focal points. 15 Figures 20, 20A, 21 and 22 show the water cooling technology of the electrodes. Figures 23, 24 and 26A and 26B show the electrode design. Figure 25 shows a technique for reducing electrode erosion. Figures 27A and 27B show maintenance techniques. Figures 28A and 28B show the use of a magnet to control the pickup. 20 Figures 29A, 29B, 29C and 30 show pulse shapes. Figure 31 shows the pre-dissociation technique. Figure 32 shows the effect of forward displacement. Figure 33 shows the advantages of tight plasma focusing. 89 1222248 [Symbols of the main components of the diagram] 2 ... Nozzle 3 ... Exhaust port 3 ... Vacuum 4 ... Gas tube 4,8 ... Collector guide 5,6 ... Debris Collector 6 ... Debris trap 8a ... Anode 8A ... Center electrode 8A ... Anode 8A ... Center electrode 8A1 ... Discharge section 8A2 ... Lower 8A3 ... Stainless steel bottom 8B .. cathode 8B ... external electrode 8B ... ground electrode 8B1 ... cover 8B2 ... bottom 8C ... insulator 8D ... high voltage pulse power source 9C ... insulator 9E ... hollow area 10. .Vacuum chamber 11… Intermediate focus 12 ... Intake 13… Inductor 14, 24 ... Port 30 ... Lithium metal target 30, 44 ... Ellipsoidal mirror 32 ... Excimer laser 32 ... Angle 34 .. Window 36 .. Lens 38 .. Lithium Metal 42 ... Mirror 42 ... Collector 46 ... Plasma Extraction Source 48 ... Focus 50 ... Detector 50 ... Lithium Filled Tungsten Antenna 52… Section 52 .. RF power source 54 .. Beam side drawing section 54 .. Gas flow 60, 62 ... Insulator 90 1222248 64 ... Anode 65 ... Cathode 66 ... Collector 68 ... Debris trap 69 .. Source chamber 70 .. Collector chamber 71 ... Pick source volume 72 ... Gate valve 74 .. Mechanical shutter 76 ... Hollow cone 77 ... Foil 78 .. Metal models 80, 81 ... Ruthenium-coated ellipsoidal mirrors 82, 83 ... Ruthenium-coated parabolic mirrors 84 .. Parabolic double mirrors 85 .. Parabolic triple mirrors 86 .. Parabolic mirrors 87 .. Curve tracer 88 .. Multi-layer parabolic mirror 92 .. Premature dissociation 93.... Dissociate too late 100... Extraction area 102... Honeycomb debris shield 104.. -Director 106A-C ..... Ray tracing 120 ... Vacuum pump 122, 126 ... Lithography unit 124 ... 箧 138 ..... Mars gap front disengager 138 .... Mars plug type pin 400 .. DC Power Supply 402 ... Resonant Charger Unit 404 ... Physical Pulse Power System 406 ... Pulse Transformer 408 .. Bias Circuit 409A-C ... Arrow 409D ... 418 Iron Core 420A 420B ·· Ring 422 ·· Mandrel 424… Spacer 426 ... Bolt 440 ... Anode Center 442 ... Lithium Heat Pipe System 444 ... Lithium Heat Pipe 446 ... Heat Transfer Zone 448 ... Heat Trap Surface 450 .. Water coil 91 1222248 452 ... Limit working cylinder D3 ... Flywheel diode 454 ... D4 sliding up and down ... Dickin diode 460 ... Water channel L1 ... Charging inductor 462 ... Main insulator L3 , L5, L6 ... bias inductor 464 ·· Cui Lu oxygen layer L53 ... finally saturable inductor 466 ... polyimide LS ... magnetic switch 468 ... arrow R1 ... resistor 470 ... partition S1 ... command charge switch C0 ... capacitor bank group S2 ... Dickin switch C1 ... capacitor VI ... bias power supply C2 ... discharge 92

Claims (1)

1222248 拾、申請專利範圍: 1. 一種生產線相容性、高重複率、高平均功率脈衝、高能 光子源,包含: A. —脈衝電源系統,包含一脈衝變壓器,用以產生 5 持續時間為10奈秒至200奈秒範圍之電脈衝, B. —真空室, C. 一活性材料,其係含於該真空室内,該活性材料 包含一種原子物種,該原子物種係以於預定極端紫外光 波長範圍之發光線為其特徵, 10 D.—熱電漿產生裝置,其係用以於該真空室之一個 熱電漿點產生熱電漿,俾於預定極端紫外光波長範圍之 波長,至少對極端紫外光射線平均,至少產生5瓦, E. —射線收集及聚焦裝置,其係用以收集部分紫外 光射線,且將該射線聚焦於距離熱電漿點遠端的一個位 15 置。 2. 如申請專利範圍第1項之源,其中該熱電漿產生裝置為 一種緊密電漿聚焦裝置。 3. 如申請專利範圍第1項之源,其中該熱電漿產生裝置為 一種習知Z-撮取裝置。 20 4.如申請專利範圍第1項之源,其中該熱電漿產生裝置為 一種中空陰極Z-撮取。 5. 如申請專利範圍第1項之源,其中該熱電漿產生裝置為 一種毛細放電裝置。 6. 如申請專利範圍第1項之源,其中該熱電漿產生裝置包 93 1222248 含一準分子雷射,該準分子雷射提供高重複率、短脈衝 雷射束供於真空容器產生電漿。 7. 如申請專利範圍第1項之源,其中該熱電漿產生裝置包 含一電漿撮取裝置以及一準分子雷射,該準分子雷射產 5 生脈衝化紫外光雷射束,被導向於部分由電漿撮取裝置 所產生的電漿。 8. 如申請專利範圍第1項之源,其中該射線集極包含一拋 物面集極。 9. 如申請專利範圍第1項之源,其中該射線集極包含一橢 10 球面集極。 10. 如申請專利範圍第1項之源,其中該射線集極包含一銜 接橢球面系統。 11. 如申請專利範圍第1項之源,其中該射線集極包含一混 成集極,其包含至少一橢球面反射鏡單元以及至少一雙 15 曲面反射鏡單元。 12. 如申請專利範圍第11項之源,其中該混成集極包含至少 二橢球面反射鏡單元以及至少二雙曲面集極單元。 13. 如申請專利範圍第12項之源,其中該混成集極也包含一 多層鏡單元。 20 14.如申請專利範圍第13項之源,其中該多層鏡單元為至少 部分拋物面。 15.如申請專利範圍第1項之源,也包含一碎屑屏蔽件,該 碎屑屏蔽件有狹窄通道係與熱電漿點校準,供EUV光的 通過,且限制碎屑的通過。 94 1222248 16. 如申請專利範圍第15項之源,其中該碎屑屏蔽件係經由 去除表皮角錐形形式留下環繞通道路徑的硬化材料組 成。 17. 如申請專利範圍第15項之源,其中該碎屑屏蔽件係由金 5 屬箔製成的焊接中空錐體組成。 18. 如申請專利範圍第15項之源,其中該碎屑屏蔽件係由複 數薄層合片經過修剪形成的通道所組成 19. 如申請專利範圍第15項之源,也包含一磁鐵,該磁鐵係 供產生垂直EUV射束軸線方向取向之磁場,供迫使帶電 10 粒子形成彎曲彈道。 20. 如申請專利範圍第19項之源,其中該磁鐵為永久磁鐵。 21. 如申請專利範圍第19項之源,其中該磁鐵為電磁鐵。 22. 如申請專利範圍第15項之源,其中該碎屑屏蔽件為蜂巢 式碎屑屏蔽件。 15 23.如申請專利範圍第22項之源,其中該蜂巢式碎屑屏蔽件 包含硬化塑化粉狀批料。 24. 如申請專利範圍第23項之源,其中該粉狀批料係藉燒結 硬化。 25. 如申請專利範圍第1項之源,其中該活性材料係選自 20 亂、锡、裡、姻、編及銀組成的組群。 26. 如申請專利範圍第1項之源,其中該真空除了活性材料 外含有緩衝氣體。 27. 如申請專利範圍第1項之源,其中該活性材料係經由電 極被注入真空室内。 95 1222248 28. 如申請專利範圍第15項之源,進一步包含一種氣體控制 系統,俾於EUV光通過碎屑屏蔽件方向之相反方向,形 成一氣體流於該真空容器内通過至少部分碎屑屏蔽件。 29. 如申請專利範圍第28項之源,其中氣體係於二方向流經 5 碎屑屏蔽件。 30. 如申請專利範圍第2項之源,其中該進入電漿聚焦裝置 包含同轴電極。 31. 如申請專利範圍第30項之源,進一步包含一種氣體注入 裝置,其係供由位在熱電漿點之與電極反側位置之喷嘴 10 而注入活性氣體。 32. 如申請專利範圍第1項之源,其中該活性材料係呈化合 物而被導引入真空室内。 33. 如申請專利範圍第32項之源,其中該化合物係選自 Li02、LiH、LiOH、LiCn、Li2C03、LiF、CH3 及本組群 15 中任一種材料之溶液組成的組群。 34. 如申請專利範圍第1項之源,進一步包含一種雷射供氣 化活性材料。 35. 如申請專利範圍第1項之源,進一步包含一射頻源,其 係供濺鍍活性材料至熱電漿點内部或接近熱電漿點之 20 位置。 36. 如申請專利範圍第1項之源,進一步包含一種前置游離 裝置。 37. 如申請專利範圍第1項之源,其中該前置游離裝置包含 火星塞型接腳。 96 1222248 38. 如申請專利範圍第36項之源,其中該前置游離裝置包含 射頻源。 39. 如申請專利範圍第1項之源,其中該活性材料係於注入 真空容器之前經前置游離。 5 40.如申請專利範圍第39項之源,其中該前置游離裝置包含 一輻射裝置,其係供導引射線至一喷嘴,俾於活性材料 離開該喷嘴而進入真空容器之前,前置游離該活性材 料。 41. 如申請專利範圍第26項之源,其中該緩衝氣體係選自氦 10 及氖組成的組群。 42. 如申請專利範圍第26項之源,其中該緩衝氣體包含氫。 43. 如申請專利範圍第2項之源,進一步包含一電容器裝 置,其經選擇於電漿撮取事件期間產生尖峰電容器電 流。 15 44.如申請專利範圍第2項之源,其中該緊密電漿聚焦裝置 包含界定一中心電極之同軸電極。 45. 如申請專利範圍第44項之源,其中該中心電極為陽極。 46. 如申請專利範圍第45項之源,其中部分陽極為中空,以 及該陽極界限一中空梢端尺寸位於該陽極梢端,以及於 20 該梢端下方之中空部係比中空梢端尺寸更大。 47. 如申請專利範圍第1項之源,其中該活性材料為鋰含於 多孔嫣。 48. 如申請專利範圍第47項之源,進一步包含一射頻裝置供 將鋰原子由多孔鎢内驅出。 97 1222248 49. 如申請專利範圍第44項之源,其中該中心電極為水冷 式。 50. 如申請專利範圍第44項之源,進一步包含一熱管供冷卻 該中心電極。 5 51.如申請專利範圍第44項之源,其中該電極係設計成沿徑 向方向耗用。 52. 如申請專利範圍第1項之源,其中該源係定位成可提供 EUV光給微影術機器。 53. 如申請專利範圍第52項之源,其中部分光源係整合於微 10 影術機器。 54. 如申請專利範圍第44項之源,進一步包含一犧牲區介於 該電極間,俾鼓勵於遠離陽極梢端區之後撮取放電。 55. 如申請專利範圍第44項之源,進一步包含一濺鍍源,其 係供產生濺鍍材料來補充由其中至少一電極溶蝕的材 15 料。 56. 如申請專利範圍第55項之源,其中該濺鍍源也係用來提 供前置游離。 57. 如申請專利範圍第44項之源,其中該中心電極為一陽極 界限外壁,以及進一步包含絕緣體材料完全覆蓋面對該 20 陰極之陽極壁。 58. 如申請專利範圍第57項之源,其中該陽極也界限内壁, 以及包含絕緣體材料覆蓋至少部分内壁。 59. 如申請專利範圍第44項之源,其中該電極至少部分係由 熱解石墨製成。 98 1222248 6(h如申請專利範圍第1項之源,進一步包含一開閉器帶有 一封介於該碎屑屏蔽件與該射線集極間,俾允許更換電 極及碎屑屏蔽件而未造成環繞射線集極的真空漏失。 61. 如申請專利範圍第44項之源,進一步包含一電極組其係 5 與該碎屑屏蔽件設置成一模組,故該電極組與該碎屑屏 蔽件容易呈一個單元更換。 62. 如申請專利範圍第1項之源,其中該產生熱電漿之裝置 係足夠於該中間焦點產生至少45.4瓦。 63. 如申請專利範圍第1項之源,其中該產生熱電漿之裝置 10 係足夠於該中間焦點產生至少105.8瓦。 64. 如申請專利範圍第1項之源,其中該活性材料經選擇可 於13.5奈米之約2%波長頻帶範圍内產生EUV射線。 65. 如申請專利範圍第1項之源,其中該脈衝電源系統係於 每秒至少6,000脈衝之重複率操作。 15 66.如申請專利範圍第1項之源,其中該脈衝電源系統係於 每秒至少10,000脈衝之重複率操作。 67. 如申請專利範圍第1項之源,其中該射線集極係設計成 可產生EUV射線之均化。 68. 如申請專利範圍第2項之源,進一步包含一磁性裝置供 20 施加磁場俾控制至少一項撮取參數。 69. 如申請專利範圍第68項之源,其中該參數為撮取長度。 70. 如申請專利範圍第68項之源,其中該參數為撮取形狀。 71. 如申請專利範圍第68項之源,其中該參數為撮取位置。 72. 如申請專利範圍第1項之源,其中該活性材料係呈流體 99 1222248 形式之金屬輸送至熱電漿點區域。 73. 如申請專利範圍第1項之源,其中該流體形式為液體。 74. 如申請專利範圍第1項之源,其中該流體形式為溶液。 75. 如申請專利範圍第1項之源,其中該流體形式為懸浮液。 5 76.如申請專利範圍第1項之源,其中該由電子衝擊電子材 料產生之EUV光係連同來自電漿熱點之EUV光一起收 集。 77.如申請專利範圍第1項之源,其中該活性材料為經由濺 鑛產生之金屬蒸氣。 10 78.如申請專利範圍第1項之源,其中該活性材料係經選擇 而產生於0.5奈米至50奈米範圍之高能輻射光。1222248 Patent application scope: 1. A production line compatibility, high repetition rate, high average power pulse, high-energy photon source, including: A. —Pulse power system, including a pulse transformer, to generate 5 duration 10 Electrical pulses ranging from nanoseconds to 200 nanoseconds, B. —Vacuum chamber, C. An active material contained in the vacuum chamber, the active material contains an atomic species at a predetermined extreme ultraviolet wavelength The luminous line in the range is its characteristic. 10 D.—Thermal plasma generating device, which is used to generate the thermo-plasma at a thermo-plasma point in the vacuum chamber. The ray averages at least 5 watts. E. A ray collection and focusing device is used to collect part of the ultraviolet light rays and focus the rays at a position 15 far from the far end of the thermoplasma point. 2. As the source of the scope of patent application, the thermo-plasma generating device is a compact plasma focusing device. 3. As the source of the scope of the patent application, the thermo-plasma generating device is a conventional Z-pickup device. 20 4. The source according to item 1 of the scope of patent application, wherein the thermo-plasma generating device is a hollow cathode Z-stripping. 5. The source according to item 1 of the patent application scope, wherein the thermo-plasma generating device is a capillary discharge device. 6. As the source of the first scope of the patent application, the thermo-plasma generating device package 93 1222248 contains an excimer laser, which provides a high repetition rate, short pulse laser beam for generating a plasma in a vacuum container. . 7. As the source of the first scope of the patent application, wherein the thermoplasma generating device includes a plasma extraction device and an excimer laser, the excimer laser generates a pulsed ultraviolet laser beam and is directed In part, the plasma generated by the plasma extraction device. 8. The source of the scope of patent application, wherein the ray collector comprises a parabolic collector. 9. As the source of the scope of patent application, wherein the ray collector includes an ellipsoidal 10 spherical collector. 10. The source of the scope of patent application, wherein the ray collector comprises a connected ellipsoidal system. 11. As the source of the scope of the patent application, the ray collector includes a hybrid collector including at least one ellipsoidal mirror unit and at least one double 15 curved mirror unit. 12. As the source of claim 11 in the scope of patent application, wherein the hybrid collector includes at least two ellipsoidal mirror units and at least two hyperboloid collector units. 13. As the source of the scope of application for patent No. 12, wherein the hybrid collector also includes a multilayer mirror unit. 20 14. The source according to item 13 of the patent application scope, wherein the multilayer mirror unit is at least a part of a paraboloid. 15. The source of item 1 of the patent application scope also includes a debris shield, the debris shield has a narrow channel system aligned with the thermo-plasma point for the passage of EUV light and restricts the passage of debris. 94 1222248 16. The source of the scope of patent application item 15, wherein the debris shield is composed of a hardened material that removes the skin pyramidal form leaving a path around the channel. 17. The source of scope 15 of the patent application, wherein the chip shield is composed of a welded hollow cone made of metal 5 foil. 18. If the source of the scope of patent application No. 15 wherein the debris shield is composed of a plurality of channels formed by trimming thin laminated sheets 19. If the source of the scope of patent application No. 15 also includes a magnet, the The magnet is used to generate a magnetic field oriented perpendicular to the axis of the EUV beam and to force the charged 10 particles to form a curved trajectory. 20. The source of claim 19, wherein the magnet is a permanent magnet. 21. The source according to item 19 of the application, wherein the magnet is an electromagnet. 22. The source of claim 15 in which the debris shield is a honeycomb debris shield. 15 23. The source of claim 22, wherein the honeycomb chip shield comprises a hardened plasticized powder batch. 24. If the source of the scope of application for item 23 is applied, the powdery batch is hardened by sintering. 25. For example, the source of the scope of patent application, wherein the active material is selected from the group consisting of 20 random, tin, lining, marriage, woven and silver. 26. The source of scope 1 of the patent application, wherein the vacuum contains a buffer gas in addition to the active material. 27. The source according to item 1 of the patent application, wherein the active material is injected into the vacuum chamber via an electrode. 95 1222248 28. If the source of the scope of patent application No. 15 further includes a gas control system, the EUV light passes in the direction opposite to the direction of the debris shield to form a gas flow in the vacuum container through at least part of the debris shield Pieces. 29. If the source of the scope of patent application No. 28, the gas system flows through the 5 debris shield in two directions. 30. The source of scope 2 of the patent application, wherein the plasma focusing device comprises a coaxial electrode. 31. For example, the source of the scope of application for item 30 further includes a gas injection device for injecting an active gas from a nozzle 10 located at a position opposite to an electrode of a thermoelectric plasma point. 32. The source of the scope of patent application item 1, wherein the active material is introduced into a vacuum chamber as a compound. 33. For example, the source of the scope of patent application No. 32, wherein the compound is a group selected from the group consisting of Li02, LiH, LiOH, LiCn, Li2C03, LiF, CH3, and a solution of any of the materials in this group 15. 34. The source according to item 1 of the patent application scope further comprises a laser gasification active material. 35. The source according to item 1 of the scope of patent application, further comprising a radio frequency source, which is used for sputtering the active material to the inside of or close to the 20 position of the thermo-plasma point. 36. The source of the scope of patent application item 1 further includes a pre-dissociation device. 37. The source according to item 1 of the patent application scope, wherein the front disengagement device includes a Martian plug type pin. 96 1222248 38. The source according to item 36 of the patent application, wherein the pre-free device comprises a radio frequency source. 39. The source according to item 1 of the patent application, wherein the active material is pre-dissociated before being injected into the vacuum container. 5 40. The source according to item 39 of the patent application scope, wherein the pre-dissociation device includes a radiation device for guiding the rays to a nozzle, and the pre-dissociation device before the active material leaves the nozzle and enters the vacuum container. The active material. 41. The source of claim 26, wherein the buffer gas system is selected from the group consisting of helium 10 and neon. 42. The source of claim 26, wherein the buffer gas contains hydrogen. 43. The source of item 2 of the patent application scope further includes a capacitor device which is selected to generate a peak capacitor current during a plasma extraction event. 15 44. The source of claim 2 wherein the compact plasma focusing device includes a coaxial electrode defining a center electrode. 45. The source according to item 44 of the patent application, wherein the center electrode is an anode. 46. If the source of the 45th scope of the patent application, part of the anode is hollow, and the size of the anode is a hollow tip end located at the anode tip, and the hollow part below the tip end has a larger size than the hollow tip end. Big. 47. For example, the source of the scope of patent application, wherein the active material is lithium and is contained in porous materials. 48. The source according to item 47 of the patent application, further comprising a radio frequency device for driving lithium atoms out of the porous tungsten. 97 1222248 49. If the source of the scope of patent application No. 44, the center electrode is water-cooled. 50. The source according to item 44 of the patent application, further comprising a heat pipe for cooling the center electrode. 5 51. The source according to item 44 of the patent application scope, wherein the electrode is designed to be consumed in the radial direction. 52. The source of scope 1 of the patent application, wherein the source is positioned to provide EUV light to the lithography machine. 53. If the source of the scope of patent application No. 52, some of the light sources are integrated in the lithography machine. 54. If the source of the scope of application for item 44 further includes a sacrificial region between the electrodes, it is encouraged to extract the discharge away from the anode tip end region. 55. The source according to item 44 of the scope of patent application, further comprising a sputtering source for generating a sputtering material to supplement the material eroded by at least one of the electrodes. 56. For a source in the scope of patent application No. 55, the sputtering source is also used to provide pre-dissociation. 57. For example, the source of the scope of application for patent 44, wherein the center electrode is an anode boundary outer wall, and further comprises an insulator material to completely cover the anode wall facing the 20 cathode. 58. The source according to item 57 of the patent application, wherein the anode also bounds the inner wall and includes an insulator material covering at least part of the inner wall. 59. The source according to item 44 of the patent application, wherein the electrode is at least partially made of pyrolytic graphite. 98 1222248 6 (h As the source of the scope of the patent application, it further includes a shutter with a letter between the debris shield and the ray collector. It is allowed to replace the electrode and debris shield without surrounding The vacuum leakage of the ray collector. 61. If the source of the 44th scope of the patent application, further includes an electrode group 5 and the debris shield are set into a module, the electrode group and the debris shield are easy to present. One unit is replaced. 62. If the source of the scope of the patent application, the thermoelectric plasma generating device is sufficient to generate at least 45.4 watts of the intermediate focus. 63. If the source of the scope of the patent application, the thermoelectricity is generated The pulp device 10 is sufficient for the intermediate focus to generate at least 105.8 watts. 64. For example, the source of the scope of patent application, wherein the active material is selected to generate EUV rays in a wavelength range of about 2% of 13.5 nm. 65. If the source of the scope of the patent application, the pulse power system operates at a repetition rate of at least 6,000 pulses per second. 15 66. If the source of the scope of the patent application, the pulse power The system operates at a repetition rate of at least 10,000 pulses per second. 67. For example, the source of the scope of the patent application, wherein the ray collector is designed to generate the homogenization of EUV rays. 68. The scope of the patent application, the second The source further includes a magnetic device for applying a magnetic field of 20 to control at least one extraction parameter. 69. For example, the source of the 68th scope of the patent application, wherein the parameter is the extraction length. 70. The 68th scope of the patent application The source is where the parameter is the extraction shape. 71. For example, the source of the 68th aspect of the patent application, where the parameter is the extraction position. 72. The source of the first scope of the patent application, where the active material is fluid The metal in the form of 99 1222248 is delivered to the thermoelectric plasma point area. 73. For example, the source of the scope of patent application is a liquid. 74. For example, the source of the scope of patent application is a liquid. 74. 75. If the source of the scope of the patent application item 1, the fluid form is a suspension. 5 76. If the source of the scope of the patent application item 1, the EUV light generated by the electron impact on the electronic material together with The EUV light from the plasma hot spot is collected together. 77. The source according to item 1 of the patent application, wherein the active material is a metal vapor generated by splattering. 10 78. The source according to item 1 of the patent application, where the The active material is selected to produce high-energy radiation in the range of 0.5 to 50 nm. 100100
TW92108103A 2000-10-16 2003-04-09 Extreme ultraviolet light source TWI222248B (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US09/690,084 US6566667B1 (en) 1997-05-12 2000-10-16 Plasma focus light source with improved pulse power system
US10/120,655 US6744060B2 (en) 1997-05-12 2002-04-10 Pulse power system for extreme ultraviolet and x-ray sources
US10/189,824 US6815700B2 (en) 1997-05-12 2002-07-03 Plasma focus light source with improved pulse power system
US10/384,967 US6904073B2 (en) 2001-01-29 2003-03-08 High power deep ultraviolet laser with long life optics
US10/409,254 US6972421B2 (en) 2000-06-09 2003-04-08 Extreme ultraviolet light source

Publications (2)

Publication Number Publication Date
TW200403905A TW200403905A (en) 2004-03-01
TWI222248B true TWI222248B (en) 2004-10-11

Family

ID=34437785

Family Applications (1)

Application Number Title Priority Date Filing Date
TW92108103A TWI222248B (en) 2000-10-16 2003-04-09 Extreme ultraviolet light source

Country Status (1)

Country Link
TW (1) TWI222248B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI386112B (en) * 2008-08-21 2013-02-11 Atomic Energy Council Rf hollow cathode plasma generator
TWI466736B (en) * 2007-11-06 2015-01-01 Zeiss Carl Smt Gmbh Method for removing a contamination layer from an optical surface, method for generating a cleaning gas, and corresponding cleaning and cleaning gas generation arrangements

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8368039B2 (en) * 2010-04-05 2013-02-05 Cymer, Inc. EUV light source glint reduction system
US10054485B2 (en) * 2016-03-17 2018-08-21 Raytheon Company UV LED-phosphor based hyperspectral calibrator
US9832852B1 (en) * 2016-11-04 2017-11-28 Asml Netherlands B.V. EUV LPP source with dose control and laser stabilization using variable width laser pulses
JP2023519552A (en) * 2020-04-06 2023-05-11 サイマー リミテッド ライアビリティ カンパニー Conduit system, radiation source, lithographic apparatus and method thereof

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI466736B (en) * 2007-11-06 2015-01-01 Zeiss Carl Smt Gmbh Method for removing a contamination layer from an optical surface, method for generating a cleaning gas, and corresponding cleaning and cleaning gas generation arrangements
US8980009B2 (en) 2007-11-06 2015-03-17 Carl Zeiss Smt Gmbh Method for removing a contamination layer from an optical surface and arrangement therefor
TWI386112B (en) * 2008-08-21 2013-02-11 Atomic Energy Council Rf hollow cathode plasma generator

Also Published As

Publication number Publication date
TW200403905A (en) 2004-03-01

Similar Documents

Publication Publication Date Title
US7368741B2 (en) Extreme ultraviolet light source
US6815700B2 (en) Plasma focus light source with improved pulse power system
KR101118996B1 (en) Collector for euv light source
US6566667B1 (en) Plasma focus light source with improved pulse power system
KR100358447B1 (en) Plasma focus high energy photon source with blast shield
EP1047288B1 (en) Plasma focus high energy photon source
TW200534548A (en) EUV light source
TWI222248B (en) Extreme ultraviolet light source
JP2010182698A (en) Extreme ultraviolet light source
TW200425802A (en) Discharge produced plasma EUV light source
JP3317957B2 (en) Plasma focus high energy photon source with blast shield
Fomenkov et al. Performance and scaling of a dense plasma focus light source for EUV lithography
JP2008053696A (en) Extreme-ultraviolet light source device and extreme-ultraviolet light generating method
RU2253194C2 (en) Radiation source built around plasma focus with improved switching-mode supply system
Borisov et al. EUV light source with high brightness at
Tomie et al. EUV generation using a droplet of a suspension including tin as a target of a high-efficiency LPP source for high volume production
Fraenkel et al. Generation of intense collimated monochromatic X-ray beam using femtosecond table-top laser
Semyonov et al. Experimental model of industrial x-ray source MSX-1 with a vacuum spark for x-ray lithography
Rollinger et al. Kinetic simulation of debris from an LPP EUV source
KR20010029769A (en) Plasma focus high energy photon source with blast shield
KR20010007165A (en) Plasma focus high energy photon source
Guo et al. Vacuum spark point source for x-ray/EUV lithography
Nagel Generation and use of spontaneous X-ray emission from laser-heated plasmas

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees