TW200403905A - Extreme ultraviolet light source - Google Patents

Extreme ultraviolet light source Download PDF

Info

Publication number
TW200403905A
TW200403905A TW92108103A TW92108103A TW200403905A TW 200403905 A TW200403905 A TW 200403905A TW 92108103 A TW92108103 A TW 92108103A TW 92108103 A TW92108103 A TW 92108103A TW 200403905 A TW200403905 A TW 200403905A
Authority
TW
Taiwan
Prior art keywords
source
scope
patent application
plasma
item
Prior art date
Application number
TW92108103A
Other languages
Chinese (zh)
Other versions
TWI222248B (en
Inventor
Stephan T Melnychuk
William N Partlo
Igor V Fomenkov
I Roger Oliver
Richard M Ness
Bowering Norbert
Khodykin Oleh
L Rettig Curtis
M Blumenstock Gerry
S Dyer Timothy
D Simmons Rodney
R Hoffman Jerzy
Mark Johnson R
Original Assignee
Cymer Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/690,084 external-priority patent/US6566667B1/en
Priority claimed from US10/120,655 external-priority patent/US6744060B2/en
Priority claimed from US10/189,824 external-priority patent/US6815700B2/en
Priority claimed from US10/384,967 external-priority patent/US6904073B2/en
Priority claimed from US10/409,254 external-priority patent/US6972421B2/en
Application filed by Cymer Inc filed Critical Cymer Inc
Publication of TW200403905A publication Critical patent/TW200403905A/en
Application granted granted Critical
Publication of TWI222248B publication Critical patent/TWI222248B/en

Links

Landscapes

  • X-Ray Techniques (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

The present invention provides a reliable, high-repetition rate, production Une compatible high energy photon source. A very hot plasma containing an active material is produced in vacuum chamber. The active material is an atomic element having an emission line within a desired extreme ultraviolet (EUV) range. A pulse power source comprising a charging capacitor and a magnetic compression circuit comprising a pulse transformer, provides electrical pulses having sufficient energy and electrical potential sufficient to produce the EUV light at an intermediate focus at rates in excess of 5 Watts. In preferred embodiments designed by Applicants in-band, EUV light energy at the intermediate focus is 45 Watts extendable to 105.8 Watts.

Description

200403905 玖、發明說明: 【發明所屬之技術麟域】 發明領域 本發明為下列各案之連續部分:美國申請案第 5 10/384,967號,申請日2003年3月8日、美國申請案第 10/189,824號,申請曰2002年7月3曰、美國申請案第 10/120,655號,申請曰2002年4月10日、美國申請案第 09/875,719號,申請日2001年6月6日、以及美國申請案第 09/875,721號’申請曰2001年6月6曰、美國申請案第 10 〇9/690,084號,申請日2000年1〇月16日;以及請求專利申請 案第6〇/422,8〇8號,申請曰2〇〇2年1〇月31曰、以及專利申請 案第60M19,8〇5號,申請日2002年1〇月18日之權益;各案皆 以引用方式併入此處。本發明係有關高能光子源,以及特 別係有關高度可靠之X光及高能紫外光源。 15 【jiyf USl 】 發明背景 半V體產業不斷開發微影術技術,而可印刷之積體電 路尺寸不斷縮小。此種系統必須有高度可靠性、具有成本 效益之產出量以及合理的製程範圍。積體電路製造業晚近 20由汞G線(436奈米)幻線㈤奈米)曝光光源轉成撕奈米及 193奈米之準分子雷射光源。此種變遷係為了需要有更高微 影術解析度而將焦深之耗損最小化。 積體電路業界需求很快將超過193奈米曝光光源的解 析能力,因此需要開發一種比193奈米顯著更短波長之可靠 6 曝光光源。準分子射線存在於157奈米,但難以獲得於此種 波長有足夠透射率以及夠高光學品質的光學材料。因此需 要全反射成像系統。全反射光學系統需要的數值孔徑(NA) 比透射系統更小。因數值孔徑較小造成的解析度損失只能 藉由以較大因數縮短波長彌補。如此若光學微影術之解析 度欲改良至超過193奈米或157奈米所能達成的解析度,則 要求10至20奈米範圍之光源。波長低於157奈米之光之光學 成分極為有限。但目前已有有效入射反射鏡,以及良好反 射鏡多層於法線入射角,該反射鏡可用於約1〇奈米至14奈 米波長範圍之光(於此種波長範圍之光係於稱作極端紫外 光之光譜範圍,例如軟X光)。因此理由故,需要有於此種 範圍例如約13.5奈米波長範圍之良好可靠光源。 於高能紫外光源及X光源之目前業界現況係利用雷射 束、電子或其它粒子碰撞多種目標材料製造的電漿。已經 使用固體目標,但由@體目標_形成的碎屑對預期供: 產線操作的系統之各個組成元件有不良影響。對碎屑問題 提出之解決之道係使用冷綠態或液化錢結氣體目桿, 因此碎屑不會鍍覆至光學設備上。但至目前為止此等^统 皆未能證實可實際用於生產線操作。 多年來眾所周知X光及高能紫外光可於電聚撮取操作 產生一電輯取中,電流係以數種可能的組態之_流經 電漿,讓流動電流產生的磁場加快電漿内的電子及離子速 度成為小量溶劑帶有足夠能量來致使外層電子由離子剝 離’結果產生X光及高能紫外線1種由聚焦或撮取電聚產 生高能射線之先前技藝技術說明於美國專利第6,452,199號 之先前技術節。 典型先前技術電«焦裝置可產生大量適合供近端x 光微影術的射線,但由於每個脈衝的電能需求大,故重複 率有限以及㈣成分壽命短。此㈣統之儲存電能需求為i 千焦耳至_千焦耳。重複率典型不超過每秒數脈衝。 需要有生產線可靠之系統用來產生、收集以及導引於 所需波長範圍之高能紫外光、成,其可以高重複率可靠地 操作且避免先前技術有關碎屑生成的問題。 【發明内容3 發明概要 本發明提供-種可靠且重複率高之生產線相容之高能 光子源。於真空室製造含有活性材料之極熱電漿。該活性 材料為一種具有於所需極端紫外線(EUV)波長範圍之發光 射線之原子元素。包含充電電容器之脈衝功率源以及包含 脈衝變壓器之磁壓縮電路提供電脈衝,該電脈衝有足夠能 Ϊ及電位足以產生中間焦點超過5瓦之EUV光以連續基準 其比率超過5瓦、而以叢發基準其比率超過2〇瓦。申請人設 計之較佳具體實施例中合規頻帶EUV光能於中間焦點45瓦 可延伸至105.8瓦。 較佳具體實施例中,高能光子源為帶有同軸電極之緊 遂電桌♦焦I置’该等電極係同轴配置。中間電極較佳為 中空’活性氣體被導出中空電極之外。如此允許光譜線光 源的最佳化、以及緩衝氣體的分開最佳化。較佳具體實施 例中,中間電極係以高負電脈衝施加脈衝,讓中間電極作 為中空陰極。揭示較佳具體實施例提供電容值、陽極長度 及形狀以及較佳活性氣體輸送系統之最佳化。特殊技術描 述冷卻中間電極。一實施例中,水循環通過中空電極壁。 另一例中描述熱管冷卻系統用來冷卻中間電極。 外部反射線集極-導向器收集於電漿撮取產生的射線 且導引射線於預定方向。反射鏡材料之良好選擇為鉬、把、 釕、铑、金或鎢。較佳具體實施例中,活性材料為氙、鋰 蒸氣、錫蒸氣;緩衝氣體為氦,射線集極係由具有高補餘 入射反射率之材料製成或以該種材料塗覆製成。說明其它 可能之活性材料。 ' 較佳具體實施例中,緩衝氣體為氦或氬。鋰蒸氣係經 由於順著同軸電極組態之中央電極軸線之孔,氣化固體或 液體鋰產生。鋰也可呈溶液鋰液提供,因鹼金屬可溶解於 胺。鋰於氨(NH3)之溶液為良好候選者。鋰也可藉濺鍍法提 供,其中前置游離放電用於提供鋰蒸氣以及用於前置游離 的雙重目的。較佳具體實施例中,碎屑收集於錐形巢套碎 屑集極,其表面係以由撮取位置延伸出且導向射線集極-導 向之光線校準。反射線集極_導向器及錐形巢套碎屑集極 可共同製造成為一個零組件,或可製造成分開零組件而彼 此與撮取位置校準。 此種原型裝置實際上係由申請人所營建且測試,將每 脈衝約儲存10焦耳電能之電脈衝(正或負)轉成約5〇毫焦耳 合規頻帶13.5奈米射線發射成2;r球面角度。如此證實轉換 200403905 效率約為0·5%,申請人估計可收集約2〇% 5〇毫焦耳12·5奈 米射線,故證實每個脈衝收集之能約為1〇毫焦耳。申請人 證實1000赫茲連續操作及4〇00赫茲短叢發操作。如此證實 10瓦連續輸出及40瓦叢發輸出。使用申請人設計之收集技 5術,約半量能量可輸送至距電漿源之中間焦點距離。如此 於連續基準於中間焦點提供至少5瓦合規頻帶EUV光,以及 於叢發基準提供至少20瓦合規頻帶euv光。申請人也顯示 此處所示技術可應用而可以5,〇〇〇赫茲或以上重複率提供 60瓦範圍之輸出。於2000赫茲,測量得之脈衝至脈衝能安 10定性(標準差)約為9·4%,未見遺失脈衝。此種原型DPF裝置 之電路及操作連同若干標準修改說明提供,意圖改良安定 性、效率及效能。 其它具體實施例中,電漿可於其它電漿撮取裝置產 生,例如習知ζ-撮取裝置、中空陰極Ζ-撮取或毛細管放電, 15 電漿可以脈衝氣體放電雷射束產生。脈衝功率或各來源係 以此處所述脈衝電源系統製造,各EUV光較佳經收集,且 較佳使用此處所述一或多項技術輸送至中間焦點。 本發明提供EUV微影術之實際實作,使用可靠之高亮 度EUV光源帶有發光特性明確匹配鉬/矽或鉬/鈹鏡系統之 2〇 反射頻帶。申請人進行測試證實改良電極組態,其中中心 電極組態之中心電極為中空且組配作為陰極。用於此種組 態,中空陰極本身之前置游離太過特殊因而無需進行前置 游離。 圖式簡單說明 10 200403905 第1圖為可用作為EUV及軟x光光源之功率源,脈衝電 源系統之電圖。 第1A圖顯示脈衝變壓器之結構元件。 第1B及1C圖顯示測試資料。 5 第1D圖顯示反極性脈衝功率源。 第2A圖顯示緊密電漿聚焦EUV裝置之電特性。 第2A(1)及2A(2)圖顯示電漿撮取EUV裝置原型機之剖 面圖。 第2A(3)圖顯示帶有真空室之原型機。 10 第2A(4)圖顯示流動冷卻裝置。 第2A(5)圖顯示流對輸出的影響。 第2A(6)-(20)圖顯示效能資料。 第2A(21)圖顯示特殊DPF組態。 第2B圖顯示習知Z-撮取裝置特色。 15 第2C圖顯示中空陰極Z-撮取裝置特色。 第2D圖顯示毛細放電裝置特色。 第3A及B圖顯示氙光譜。 第4、4A及4B圖顯示雷射產生電漿系統之特色。 第4C圖顯示混成EUV系統。 20 第5A-C圖顯示碎屑集極之製造方法。 第6A及6B圖顯示第二碎屑集極之特色。 第7A、B及C圖顯示第三碎屑集極之特色。 第8圖顯示13.5奈米鋰尖峰相對於MoSi塗層之反射率 之關係。 11 200403905 第8A圖為雙曲面集極之透視圖。 第8B圖顯示橢球集極產生之Euv光束之一部分。 第8Β圖顯示雙曲面集極產生之Ευν光束之一部分。 第9、9Α、9Β及9C圖顯示射線集極及碎屑集極之組八。 5 第1〇圖顯示氙光譜之多層鏡光譜。 第11Α圖為圖表顯示各種材料對13 5奈米紫外光之反 射率。 第11、Β、C及D圖顯示集極設計。 第12圖為略圖顯示導入來源氣體及工作氣體之技術。 10 第13圖為時序圖顯示陽極電壓及euv強度。 弟14Α、14Β、14C及14D圖顯示多種中心電極設計對電 漿撮取的影響。 第15圖為略圖顯示使用rf能來操作鋰蒸氣來源氣體之 技術。 15 第16圖顯示於較佳DPF裝置,用於陽極之熱管冷卻技 術。 弟17圖顯不氣體控制技術。 第18Α、B、C及D圖顯示於真空容器較佳具體實施例控 制活性氣體及緩衝氣體之技術。 20 第19圖顯示銜接橢球鏡配置。 第19Α、Β及C圖顯示恰位於二焦點下游之EUV側繪圖 形狀。 第20、20Α、21及22圖顯示電極之水冷卻技術。 弟23、24及26Α及26Β圖顯示電極設計。 二⑻403905 第25圖顯示減少電極溶蝕之技術。 第27A及27B圖顯示維護技術。 第28A及28B圖顯示使用磁鐵來控制撮取。 第29A、29B、29C及30圖顯示脈衝形狀。 5 第31圖顯示前置游離技術。 第32圖顯示前置換向的影響。 第33圖顯示緊密電漿聚焦之優點。 C實施方式3 較佳實施例之詳細說明 10熱電漿 為了由電漿產生13-14奈米光譜範圍之光需要極熱電 漿,對應於攝氏數千度之範圍。此種溫度的電漿可經由將 極高功率(極短脈衝)雷射束或高能電子束聚焦於金屬目標 表面達成。也可於氣體以電放電使用聚焦或撮取電漿之數 15 種特殊放電技術之任一種而產生極熱電漿。此等技術包括 (1)緊密電漿聚焦技術、(2)規則Z-撮取技術、(3)中空陰極2_ 振取以及(4)毛細放電技術。此等技術之細郎討論如後。用 作為積體電路製造上的微影術光源,光源及其電源必須可 連續可靠地日以繼夜地操作數十億脈衝。原因在於微影術 2〇機器以及相關生產線價格極為昂貴,有任何非照計劃的停 機時間將造成每小時數十萬美元的損失。 12-14奈米EUV光譜線之原子源 如本說明書之先前技術節所述,良好鏡可提供約10奈 米至14奈米波長範圍約70%或以上之反射比。此等鏡典型 13 唯有於12奈米至14奈米範圍之較為狹窄光譜範圍才提供如 此向的反射比。例如第11A圖所示鏡於約is·]至13 8奈米光 譜範圍提供約70%反射比。此種鏡於13.5奈米具有反射比約 〇.7,FWHM頻寬為0.5奈米。此等鏡可有效供未來積體電路 5彳政影術之微影術機器使用。後文說明之電漿產生裝置包括 第2A圖至第2D圖所示裝置產生於數千。c範圍之極高溫電 漿,但發光光譜展開於極為寬廣範圍。為了產生於約13_14 奈米預定範圍之電漿,熱點電漿須包括具有光譜線於13_14 奈米範圍之原子目標材料。若干之可能使用之目標材料為 1〇已知,包括氙、鋰及錫。目標材料的最佳選擇涉及有關可 利用之光譜、電漿能轉成預定光譜能效率、目標注入電漿 區之困難度、碎屑問題等各方面的折衷。若干較佳目標及 處理此等議題之技術討論如後。(讀者須了解全部元素於高 溫皆可產生光譜線,此等光譜線已經有明確文獻記載,因 15此若希望產生於其它波長之光時,相當直捷的辦法係搜尋 芩考文獻找出適當目標材料,該目標材料當於電漿加熱時 將於感興趣的波長產生良好光譜線)。 氙 氣為較佳原子目標。氤為貴氣,故氣無碎屑問題。如 2〇第3A及3B®|所示,氤於13至14奈米範圍有極為良好的光譜 線。第11A圖顯示申請人測得之EUVXe光譜。 第3A圖顯不測量得之單脈衝光譜。第3B圖顯示計算得 之理論Xe光譜。氤可添加作為放電室緩衝氣體之組成分, 或机可注入接近放電區或撮取區,讓氣濃度於放電區或撮 取區為較高。氙也可冷卻至低於其沸點,以及呈液體或固 體注入放電區或撮取區,讓氙濃度於電漿大增。某些氙化 合物(例如氧氟化氙)也可製作良好目標材料。 鋰 鋰也眾所周知為可能之目標材料。鋰於標準溫度為固 體,鋰不會造成碎屑問題。此外,添加原子鋰至放電區或 振取區時必須採用特殊技術。若干技術述於親代專利申請 案或先前技術來源,其它技術說明如後。鋰可呈固體、液 體或蒸氣注入放電室内。 锡 錫有若干強力光譜線於預定範圍,故錫亦為較佳目標 村料。但錫類似鋰,錫於標準溫度為固體,可鍍覆於光學 表面上,故不會構成碎屑問題。 脈衝電源系統 電路 需要服務壽命長且可靠的脈衝功率 若干先$技術脈衝電源系統已知可供應短的高電壓脈 衝來於此等裝置產生放電。但先前技術之電源供應器皆未 月匕提供積體電路微影術製造上所需高重複率、高功率、服 各m卩長且可靠等可靠性及控制性特色。申請人仰賴申請 / π展之準刀子雷射光源技術而建立且測試一種脈衝電源 糸統。此種準分子雷射可發出248奈米及193奈米光,目前 廣泛用於積體電路製造上作為光源。t請人所建立及測試 之咖裝置用服務壽命長且可靠之脈衝電源系統,作為第 200403905 四代電漿聚焦裝置之一部分述於以下各節。 麥照第1圖,偶爾參照第ΙΑ、2A及2B圖,此種較佳脈 衝電源系統之電路圖說明如後。 習知約700伏特直流電源供應器4〇〇用來將來自市電 5 208伏特、3相電源之交流電源轉換成約7〇〇伏特直流5〇安培 電力。此種電源供應器400提供諧振充電單元4〇2之電力。 電源供應單元400充電大型1550微法拉第(μρ)電容器排組 C-1。當指令來自外部觸發信號時,諧振充電器經由閉合指 '、 令充電開關S1而開始充電週期。一旦開關閉合,由cq電容 · 10器、充電電感器L1以及C0電容器排組[其構成固體脈衝電源 系統(SSPPS)404之一部分]形成諧振電路。因此電流開始由 C-1經由L1電感态放電進入c〇,充電該電容。由於匸-丨電容 遠比C0電容更大,故C0之電壓於此種諧振充電過程中達到 C-1電壓初始電壓之約2倍。充電電流脈衝為半正弦波形, 15 C0電壓類似「1減餘弦」波形。 為了控制C0的終端電壓,可採用若干動作。首先指令 充電開關S1可於正常充電週期之任何時間斷開。此時,來 _ 自C-1的電流停止流動,但已經累積於充電電感器上的電流 繼_由飛輪二極體D3而流入co。如此可停止任何進一步 ^ 20月匕里由C-1移轉至C0。唯有留在充電電感器L1的能量(可能 M、 相當大量)持續傳輸到C0,且充電CG至較高電麼。 此外,跨充電電感器之迪金開關(de-qing Switch)sw 閉路,因而有效短路充電電感器且「迪金」諧振電路。如 此大致上由諧振電路移開電感器,且防止任何電感器之電 16 流繼續充電CO。然後電感器之電流由負載分路且被捕捉於 回路,該回路係由充電電感器L1、迪金開關82及迪金二極 體D4組成。由於IGBT有反相逆平行二極體含括於正常傳導 反向電流的裝置,故二極體係含括於該電路。結果二極 5體D4遮斷此種反向電流,否則該反向電流將於充電週期期 間分路繞過充電電感器。最後「分流」或分路開關及串聯 電阻器(二者皆未顯示於本較佳具體實施例)一旦於充電週 期完全完成時,可用來由C0放電,俾達成c〇電壓之極端微 調。 10 直流電源供應器為208伏特、90安培、交流輸入、8〇〇 伏特、50安培、直流輸出調節式電壓電源供應器,供應商 環球福左尼克(Universal Voltronics)、π /EMI、凱撒(Kaiser) 系統公司、索倫森(Sorensen)公司等。第二具體實施例可使 用串聯及/或並聯組合之複數個較低功率電源供應器,俾對 15系統提供總電壓、電流及平均電力需求。諳振充電器402之 C-1電容器係由兩部450伏特直流、3100微法拉第電解電容 器串聯連結組成。結果所得的電容於9〇〇伏特額定電壓為 1550微法拉第,於典型700-800伏特操作範圍提供足夠邊 際。此種電容器可得自例如史伯革(SpragUe)、馬洛里 20 (Mallory)、亞洛福克斯(Aerovox)供應商。該具體實施例之 指令充電開關S1以及輸出串聯開關S3為120伏特、300安培 IGBT開關。開關的實際零組件編號係得自包爾力司 (Powerex)公司之CM300HA-24H。迪金開關S2為1700伏特、 400安培IGBT開關,也得自包爾力司公司零組件編號 17 200403905 CM400HA-34H。充電電感器L1為依照客戶需求訂製的電感 器,兩組里兹線(Litz wire)平行繞線(各20阻)繞線於螺旋管 形50-50% NiFe帶狀捲繞中心組成,有兩個1/8吋氣隙,結果 獲得之電感約為140 μΗ。國家阿諾公司(National Arnold)提 5 供特殊中心。其它具體實施例可利用不同的磁性材料作為 中心,包括莫里帕碼洛伊(Molypermaloy)、麥特葛萊斯 (Metglas)等。串聯二極體、迪金二極體及飛輪二極體皆為 得自包爾力司公司零組件編號R6221430PS之1400伏特、300 安培二極體。 10 一旦諧振充電器402充電C0,於諧振充電器的控制單元 (圖中未顯示)產生一觸發信號,該觸發信號觸發IGBT開關 S4為閉路。雖然示意圖中(為求清晰)只顯示一個IGBT,但 IGBT開關S4係由八個並聯IGBT組成,用來放電C0至C1。 來自C0電容器之電流隨後經由IGBT放電,放電入第一磁性 15 開關LS1。有足夠伏特-秒提供於此種磁性開關設計,於放 電電路有實質電流積聚之前,允許全部8個並聯IGBT皆完 全變成導通(換言之閉路)。閉路之後,產生主電流脈衝,用 來將能量由C0傳入C1。由C0傳入C1的時間典型約5微秒, LSI之飽和電感約為23〇 nH。當C1的電壓積聚至全部期望 20 電壓時,第二磁性開關LS2的伏特-秒流出,該開關飽和, 傳輸C1能量至1:4脈衝變壓器406(容後詳述)。變壓器基本上 係由三個一匝一次「繞線」並聯連結以及單一二次「繞線」 組成。二次導體繫至一次繞線的高電壓端子,結果升高比 變成1:4,而非自動變壓器組態的1:3。然後二次r繞線」繫 18 至C2電容器排組,C2電容器排組隨後藉傳輸來自C1的能量 (經由脈衝變壓器傳輸)充電。由C1至C2之傳輸時間約為500 奈秒,LS2之飽和電感約為2·3 nH。電壓積聚於C2時,達成 第三磁性開關LS3之伏特-秒乘積,開關LS3也飽和,傳輸 5 C2電壓給陽極8a,如第MA圖及第14B圖所示。LS3之飽和 電感約為1.5 nH。 第1圖顯示於408的偏壓電路也用來適當偏壓三個磁性 開關。來自偏壓電源供應器VI之電流通過磁開關LS3。然 後分流’部分電流流經偏壓電感器L5,且流回偏壓電源供 〇應器VI。其餘電流流經脈衝變壓器二次繞線,然後流經磁 開關LS2及LSI以及偏壓電感器L3,而返回偏壓電源供應器 VI。偏壓電感器L2提供電流通過脈衝變壓器一次電路至接 地返回電源供應器的路徑。偏壓電感器L3及L5於SSPPS脈 衝期間也提供電壓隔離,原因在於偏壓電源供應器Vi係與 15 地電位閉路操作(與SSPPS產生的電位相反,此處做出偏壓 連結)。 CO、C1及C2電容係由多個並聯聚丙烯膜電容器安裝於 印刷電路板上帶有厚(6_ 10盎司)銅鑛覆層組成。印刷電路板 為楔形,因此四片印刷電路板組成一圓柱形電容器座,該 2〇 電谷器座對圓柱形匬流排饋送而電壓連結及接地連結。藉 此方式,形成低電導連結,其對脈衝壓縮以及DPF本身的 電漿撮取穩定性二者皆相當重要。C0及C1的總電容為21.6 微法拉第,而C2的總電容為1.33微法拉第。C0及C1電容器 為〇·1微法拉第、1600伏特電容器,得自德國威馬(Wima)公 19 200403905 司或北卡羅萊那州維雪羅得思坦(Vishay Roederstein)供應 商。C2電谷由電谷裔的二個區段串聯堆豐組成’俾達成總 額定電壓,脈衝變壓器二次電路的電壓約為5千伏特。C2 電容為0.01微法拉第、2000伏特直流元件,也是得自威 5馬公司或維雪羅得思坦公司。SSPPS開關為1400伏特、1000 安培IGBT開關。實際零組件編號為得自包爾力司公司之200403905 发明 Description of the invention: [Technical domain to which the invention belongs] Field of the invention The present invention is a continuous part of the following cases: US Application No. 5 10 / 384,967, filing date of March 8, 2003, US Application No. 10 / 189,824, application date July 3, 2002, US application number 10 / 120,655, application date April 10, 2002, US application number 09 / 875,719, application date June 6, 2001, and U.S. Application No. 09 / 875,721 'Application date June 6, 2001, U.S. Application No. 1009 / 690,084, filing date October 16, 2000; and Patent Application No. 60/422, No. 808, application dated October 31, 2002, and patent application No. 60M19,805, filed on October 18, 2002; the rights and interests of each application were incorporated by reference Here. The present invention relates to high-energy photon sources, and particularly to highly reliable X-ray and high-energy ultraviolet light sources. 15 [jiyf USl] Background of the Invention The semi-V industry has continuously developed lithography technology, and the size of printable integrated circuits has continued to shrink. Such systems must have a high degree of reliability, cost-effective output, and a reasonable process range. The integrated circuit manufacturing industry was converted from an exposure light source of mercury G-line (436 nanometers) to linear nano- and 193-nanometer excimer laser light sources. This transition minimizes the loss of focal depth in order to require higher lithographic resolution. Integrated circuit industry demand will soon exceed the resolution of 193nm exposure light sources, so it is necessary to develop a reliable 6 exposure light source with significantly shorter wavelengths than 193nm. Excimer rays exist at 157 nm, but it is difficult to obtain optical materials with sufficient transmittance and high optical quality at such wavelengths. Therefore, a total reflection imaging system is needed. A total reflection optical system requires a smaller numerical aperture (NA) than a transmission system. The loss of resolution due to the small numerical aperture can only be compensated by shortening the wavelength by a large factor. Therefore, if the resolution of optical lithography is to be improved to a resolution exceeding 193 nm or 157 nm, a light source in the range of 10 to 20 nm is required. The optical composition of light below 157 nm is extremely limited. However, currently there are effective incidence mirrors and good reflection multilayers at normal incidence angles. This mirror can be used for light in the wavelength range of about 10 nm to 14 nm (light in this wavelength range is called The spectral range of extreme ultraviolet light, such as soft X-rays. For this reason, there is a need for a good and reliable light source in this range, such as a wavelength range of about 13.5 nanometers. The current state of the art in high-energy UV light sources and X-light sources is the use of laser beams, electrons, or other particles to collide with a variety of target materials. Solid targets have been used, but the debris formed by @ 体 Target_ has an adverse effect on the various components of the system that is expected to supply: the line. The solution to the debris problem is to use cold green or liquefied gas knots, so the debris will not be plated on the optical equipment. But so far, these systems have not proved that they can be practically used in production line operations. It has been known for many years that X-rays and high-energy ultraviolet light can be used to generate electricity in an electro-focusing operation. The electric current flows through the plasma in several possible configurations. The magnetic field generated by the flowing current can be accelerated in the plasma. The electron and ion velocities become a small amount of solvent with sufficient energy to cause the outer electrons to be stripped from the ions. As a result, X-rays and high-energy ultraviolet rays are generated. A prior art technology that focuses or extracts electricity to generate high-energy rays is described in US Patent No. 6,452 Prior Art Section 199. A typical prior art electrofocus device can generate a large number of rays suitable for near-end x-ray lithography, but due to the large electrical demand for each pulse, the repetition rate is limited and the tritium component has a short life. The storage power requirement of this system is from iKJ to _KJ. The repetition rate typically does not exceed several pulses per second. A reliable production line system is needed to generate, collect, and direct high-energy UV light at a desired wavelength range, which can operate reliably with high repetition rates and avoid the problems associated with debris generation in the prior art. [Summary of the Invention 3] Summary of the Invention The present invention provides a reliable and highly reproducible production line compatible high-energy photon source. Manufacture of extremely hot plasmas containing active materials in a vacuum chamber. The active material is an atomic element having luminescent rays in a desired extreme ultraviolet (EUV) wavelength range. A pulsed power source including a charging capacitor and a magnetic compression circuit including a pulse transformer provide electrical pulses which are sufficient and capable of generating EUV light with an intermediate focal point exceeding 5 watts at a continuous reference whose ratio exceeds 5 watts, and The benchmark is more than 20 watts. In the preferred embodiment designed by the applicant, the compliance band EUV light energy can be extended to 105.8 watts at 45 watts at the middle focus. In a preferred embodiment, the high-energy photon source is a compact electric table with coaxial electrodes. The electrodes are arranged coaxially. The intermediate electrode is preferably a hollow 'active gas that is led out of the hollow electrode. This allows optimization of the spectral line light source and optimization of the separation of the buffer gas. In a preferred embodiment, the middle electrode is pulsed with a high negative electrical pulse, and the middle electrode is used as a hollow cathode. The disclosed preferred embodiments provide optimization of the capacitance value, anode length and shape, and the preferred active gas delivery system. Special techniques describe cooling intermediate electrodes. In one embodiment, water is circulated through the hollow electrode wall. Another example describes a heat pipe cooling system for cooling the intermediate electrode. The external reflection line collector-guide collects the rays generated by the plasma extraction and guides the rays in a predetermined direction. A good choice of mirror material is molybdenum, handlebar, ruthenium, rhodium, gold or tungsten. In a preferred embodiment, the active material is xenon, lithium vapor, tin vapor; the buffer gas is helium, and the ray collector is made of a material with a high supplemental incident reflectance or is coated with this material. Explain other possible active materials. 'In a preferred embodiment, the buffer gas is helium or argon. Lithium vapor is generated by vaporizing solid or liquid lithium due to holes along the central electrode axis configured along the coaxial electrode. Lithium can also be provided as a solution lithium solution because alkali metals are soluble in amines. A solution of lithium in ammonia (NH3) is a good candidate. Lithium can also be provided by sputtering, where pre-free discharge is used for the dual purpose of providing lithium vapor and for pre-free. In a preferred embodiment, the debris is collected in a conical nested chip collector, and its surface is calibrated with light that extends from the pick-up position and is directed to the ray collector-guide. The reflection line collector_guide and the conical nest sleeve chip collector can be manufactured together as a component, or a component open component can be manufactured and aligned with the picking position. This prototype device is actually constructed and tested by the applicant, which converts electrical pulses (positive or negative) that store about 10 joules of energy per pulse into about 50 millijoules in the compliance frequency band 13.5 nm and emits 2; Spherical angle. In this way, the conversion efficiency of 200403905 is about 0.5%. The applicant estimates that it can collect about 20% 50 millijoules and 12.5 nanometers of rays. Therefore, it is confirmed that the energy collected by each pulse is about 10 millijoules. The applicant has confirmed 1000 Hz continuous operation and 4,000 Hz short burst operation. This confirms the continuous output of 10 watts and the burst output of 40 watts. Using the collection technique designed by the applicant, about half of the energy can be delivered to the middle focus distance from the plasma source. This provides at least 5 watts of compliance band EUV light at the intermediate focus for continuous references and at least 20 watts of compliance band euv light at the burst reference. Applicants have also shown that the technology shown here is applicable and can provide output in the 60 watt range at repetition rates of 5,000 Hz and above. At 2000 Hz, the measured pulse-to-pulse energy is 10 qualitative (standard deviation) is about 9.4%, no missing pulses are seen. The circuit and operation of this prototype DPF device is provided with a number of standard modification instructions, with the intention of improving stability, efficiency, and performance. In other embodiments, the plasma can be generated in other plasma extraction devices, such as the conventional ζ-extraction device, hollow cathode Z-extraction, or capillary discharge. 15 The plasma can be generated by a pulsed gas discharge laser beam. The pulsed power or sources are manufactured using the pulsed power system described herein, and each EUV light is preferably collected and preferably delivered to the intermediate focus using one or more of the techniques described herein. The invention provides a practical implementation of EUV lithography, using a reliable high-brightness EUV light source with a light emitting characteristic that clearly matches the 20 reflection frequency band of a molybdenum / silicon or molybdenum / beryllium mirror system. The applicant conducted tests to confirm the improved electrode configuration, in which the center electrode of the center electrode configuration is hollow and is assembled as a cathode. For this configuration, the pre-release of the hollow cathode itself is too special to require pre-release. Brief description of the diagram 10 200403905 Figure 1 shows the electrical diagram of a pulsed power system that can be used as a power source for EUV and soft x-ray light sources. Figure 1A shows the structural elements of a pulse transformer. Figures 1B and 1C show test data. 5 Figure 1D shows a reverse-polarity pulsed power source. Figure 2A shows the electrical characteristics of a compact plasma focused EUV device. Figures 2A (1) and 2A (2) show cross-sectional views of a prototype of a plasma extraction EUV device. Figure 2A (3) shows a prototype with a vacuum chamber. 10 Figure 2A (4) shows a flow cooling device. Figure 2A (5) shows the effect of flow on output. Figures 2A (6)-(20) show performance data. Figure 2A (21) shows a special DPF configuration. Figure 2B shows the characteristics of the conventional Z-snap device. 15 Figure 2C shows the characteristics of the hollow cathode Z-stripping device. Figure 2D shows the features of a capillary discharge device. Figures 3A and B show the xenon spectrum. Figures 4, 4A and 4B show the characteristics of a laser-generated plasma system. Figure 4C shows the hybrid EUV system. 20 Figures 5A-C show the manufacturing method of the debris collector. Figures 6A and 6B show the characteristics of the second debris collector. Figures 7A, B, and C show the characteristics of the third debris collector. Figure 8 shows the relationship between the lithium peak of 13.5 nm and the reflectance of the MoSi coating. 11 200403905 Figure 8A is a perspective view of a hyperbolic collector. Fig. 8B shows a part of the Euv beam generated by the ellipsoid collector. Figure 8B shows a part of the υν beam generated by the hyperboloid collector. Figures 9, 9A, 9B, and 9C show group eight of the radiation collector and the debris collector. 5 Figure 10 shows the multilayer mirror spectrum of the xenon spectrum. Figure 11A is a graph showing the reflectance of various materials to 13 5 nm UV light. Figures 11, B, C and D show the collector design. Fig. 12 is a schematic diagram showing a technique for introducing a source gas and a working gas. 10 Figure 13 is a timing diagram showing the anode voltage and euv intensity. Figures 14A, 14B, 14C, and 14D show the effects of various center electrode designs on plasma extraction. Fig. 15 is a schematic diagram showing a technique using rf energy to operate a lithium vapor source gas. 15 Figure 16 shows the heat pipe cooling technique for anodes in a preferred DPF unit. Brother 17 picture shows no gas control technology. Figures 18A, B, C, and D show techniques for controlling active gas and buffer gas in a preferred embodiment of a vacuum container. 20 Figure 19 shows the ellipsoid configuration. Figures 19A, B, and C show the EUV-side drawing shape just downstream of the two focal points. Figures 20, 20A, 21 and 22 show the water cooling technology of the electrodes. Figures 23, 24 and 26A and 26B show the electrode design. Er 403905 Figure 25 shows the technique of reducing electrode erosion. Figures 27A and 27B show maintenance techniques. Figures 28A and 28B show the use of a magnet to control the pickup. Figures 29A, 29B, 29C, and 30 show pulse shapes. 5 Figure 31 shows the pre-dissociation technique. Figure 32 shows the effect of forward displacement. Figure 33 shows the advantages of tight plasma focusing. C Embodiment 3 Detailed description of the preferred embodiment 10 Thermoplasma In order to generate light in the 13-14 nanometer spectral range from the plasma, an extreme thermoplasma is required, which corresponds to a range of thousands of degrees Celsius. Plasma at this temperature can be achieved by focusing a very high power (very short pulse) laser beam or high energy electron beam on the surface of a metal target. It is also possible to generate extremely hot plasmas by using any of the 15 special discharge technologies that focus or pinch the plasma in the electrical discharge of the gas. These technologies include (1) compact plasma focusing technology, (2) regular Z-pickup technology, (3) hollow cathode 2-vibration, and (4) capillary discharge technology. The discussion of these techniques is as follows. Used as a lithography light source in integrated circuit manufacturing, the light source and its power source must be capable of continuously and reliably operating billions of pulses day and night. The reason is that lithography 20 machines and related production lines are extremely expensive, and any unplanned downtime will result in losses of hundreds of thousands of dollars per hour. Atomic source of 12-14 nanometer EUV spectral line As described in the previous section of this specification, a good mirror can provide a reflectance of about 70% or more in the wavelength range of about 10 nanometers to 14 nanometers. These mirrors typically provide reflectivity in this direction only in the narrower spectral range of 12 nm to 14 nm. For example, the mirror shown in Fig. 11A provides about 70% reflectance in the spectral range of about is ·] to 138 nm. This mirror has a reflectance of about 0.7 at 13.5 nm and an FWHM bandwidth of 0.5 nm. These mirrors can be effectively used for future lithography machines. The plasma generating device described later includes the devices shown in Figs. 2A to 2D, which are produced in thousands. Extremely high temperature plasma in the c range, but the emission spectrum is spread over a very wide range. In order to generate a plasma in a predetermined range of about 13-14 nanometers, the hot spot plasma must include an atomic target material with a spectral line in the range of 13-14 nanometers. Several possible target materials are known, including xenon, lithium and tin. The best choice of target materials involves tradeoffs in terms of available spectrum, plasma energy conversion to a predetermined spectral energy efficiency, difficulty of target injection into the plasma area, and debris issues. Several better objectives and technical discussions to address these issues are as follows. (The reader must understand that all elements can generate spectral lines at high temperatures. These spectral lines have been clearly documented. Therefore, if you want to generate light at other wavelengths, a fairly straightforward method is to search the research literature to find the appropriate Target material, which will produce a good spectral line at the wavelength of interest when heated in the plasma). Xenon is a better atomic target. Radon is noble gas, so there is no debris problem. As shown in Figures 3A and 3B® |, there are extremely good spectral lines in the range of 13 to 14 nm. Figure 11A shows the EUVXe spectrum measured by the applicant. Figure 3A shows the single-pulse spectrum that was not measured. Figure 3B shows the calculated theoretical Xe spectrum.氤 It can be added as a component of the buffer gas of the discharge chamber, or the machine can be injected near the discharge zone or the extraction zone, so that the gas concentration is higher in the discharge zone or the extraction zone. Xenon can also be cooled below its boiling point, and injected into the discharge or extraction zone as a liquid or solid, so that the concentration of xenon in the plasma is greatly increased. Certain xenon compounds, such as xenon oxyfluoride, can also make good target materials. Lithium Lithium is also well known as a possible target material. Lithium is solid at standard temperatures, and lithium does not cause debris problems. In addition, special techniques must be used when adding atomic lithium to the discharge or vibration area. Several technologies are described in parental patent applications or prior technology sources, others are described later. Lithium can be injected into the discharge chamber as a solid, liquid or vapor. Tin Tin has several powerful spectral lines within a predetermined range, so tin is also a better target material. However, tin is similar to lithium. Tin is solid at standard temperatures and can be plated on optical surfaces, so it does not pose a debris problem. Pulsed power system circuits require long service life and reliable pulsed power. Several state-of-the-art pulsed power systems are known to supply short high-voltage pulses to discharge these devices. However, none of the power supply devices of the prior art can provide the reliability and controllable features such as the high repetition rate, high power, long and reliable performance of integrated circuit lithography. The applicant relied on the application of π exhibition's quasi-knife laser light source technology to establish and test a pulsed power supply system. This kind of excimer laser can emit 248nm and 193nm light, and is currently widely used as a light source in the manufacture of integrated circuits. The long-life and reliable pulse power supply system for coffee equipment built and tested by the applicant is described in the following sections as part of the 200403905 fourth-generation plasma focusing device. Mai according to Figure 1, and occasionally refer to Figures IA, 2A, and 2B. The circuit diagram of this preferred pulse power system is described below. A conventional 700 volt DC power supply 400 is used to convert AC power from a mains power of 5 208 volts and a 3-phase power source to about 700 volts DC and 50 amps of electricity. Such a power supply 400 provides power to the resonant charging unit 402. The power supply unit 400 charges a large 1550 micro-Faraday (μρ) capacitor bank C-1. When the command comes from an external trigger signal, the resonant charger starts the charging cycle by closing the finger 'and causing the charging switch S1. Once the switch is closed, a resonant circuit is formed by the cq capacitor · 10, the charging inductor L1, and the C0 capacitor bank [which forms part of the solid pulse power system (SSPPS) 404]. Therefore, the current starts to flow from C-1 to C0 through the inductive state of L1, which charges the capacitor. Since the 匸-丨 capacitor is much larger than the C0 capacitor, the voltage of C0 reaches about twice the initial voltage of the C-1 voltage during this resonant charging process. The charging current pulse is a half sine waveform, and the 15 C0 voltage is similar to the "1 minus cosine" waveform. In order to control the terminal voltage of C0, several actions can be taken. First, the charging switch S1 is instructed to be turned off at any time during a normal charging cycle. At this time, the current from C-1 stops flowing, but the current that has been accumulated on the charging inductor continues to flow into co from flywheel diode D3. This will stop any further ^ 20 month dagger transfer from C-1 to C0. Only the energy (possibly M, a considerable amount) remaining in the charging inductor L1 is continuously transmitted to C0, and is CG charged to a higher power. In addition, the de-qing Switch sw across the charging inductor is closed, so the charging inductor is effectively short-circuited and the "Dickin" resonant circuit is short-circuited. In this way, the inductor is removed by the resonant circuit, and the current of any inductor is prevented from continuing to charge the CO. The current of the inductor is then shunted by the load and captured in a loop, which is composed of a charging inductor L1, a Dickin switch 82, and a Dickin diode D4. Since the IGBT has an anti-parallel diode that is included in the device that normally conducts reverse current, the diode system is included in the circuit. As a result, the two-pole 5-body D4 interrupts this reverse current, otherwise the reverse current will bypass the charging inductor during the charging cycle. Finally, the “shunt” or shunt switch and series resistor (both are not shown in this preferred embodiment) can be used to discharge from C0 once the charging cycle is completely completed, thereby achieving extreme fine adjustment of the C0 voltage. 10 DC power supply is 208 volts, 90 amps, AC input, 800 volts, 50 amps, DC output regulated voltage power supply, suppliers Universal Voltronics, π / EMI, Kaiser ) Systems, Sorensen, etc. The second embodiment can use a plurality of lower-power power supplies combined in series and / or in parallel to provide total voltage, current, and average power requirements for the 15 systems. The C-1 capacitor of the vibrating charger 402 is composed of two 450-volt DC, 3100 micro-Faraday electrolytic capacitors connected in series. The resulting capacitor is rated at 1,550 microfarads at 900 volts, providing sufficient margin over a typical 700-800 volt operating range. Such capacitors are available from suppliers such as SpragUe, Mallory 20, and Aerovox. The command charging switch S1 and the output series switch S3 of this embodiment are 120 volt, 300 amp IGBT switches. The actual component numbers for the switches are obtained from CM300HA-24H from Powerex. The Dickin switch S2 is a 1700 volt, 400 amp IGBT switch, also available from Baoerixi Parts No. 17 200403905 CM400HA-34H. Charging inductor L1 is an inductor customized according to customer requirements. Two sets of Litz wire parallel windings (20 resistances each) are wound around a spiral tube-shaped 50-50% NiFe ribbon winding center. With two 1 / 8-inch air gaps, the resulting inductance is approximately 140 μΗ. National Arnold offers 5 special centers. Other embodiments may use different magnetic materials as the center, including Molypermaloy, Metglas, and the like. The tandem diode, Dickin diode, and flywheel diode are all 1400 volt, 300 amp diodes from Baerix Corporation under component number R6221430PS. 10 Once the resonant charger 402 charges C0, a trigger signal is generated in the control unit (not shown) of the resonant charger, which triggers the IGBT switch S4 to be closed circuit. Although only one IGBT is shown in the diagram (for clarity), the IGBT switch S4 is composed of eight parallel IGBTs and is used to discharge C0 to C1. The current from the C0 capacitor is then discharged through the IGBT and discharged into the first magnetic 15 switch LS1. Sufficient volt-seconds are provided for this type of magnetic switch design, allowing all 8 parallel IGBTs to be fully turned on (in other words, closed circuits) before the discharge circuit has substantial current accumulation. After the circuit is closed, a main current pulse is generated to transfer energy from C0 to C1. The time from C0 to C1 is typically about 5 microseconds, and the saturation inductance of the LSI is about 23 nH. When the voltage of C1 has accumulated to all the desired 20 voltages, the volt-seconds of the second magnetic switch LS2 flows out, the switch is saturated and transmits C1 energy to the 1: 4 pulse transformer 406 (detailed later). The transformer basically consists of three one-turn "winding" parallel connections and a single secondary "winding". The secondary conduction system to the high-voltage terminal of the primary winding results in an increase ratio of 1: 4 instead of 1: 3 in the auto transformer configuration. "Secondary r-winding" is from 18 to C2 capacitor bank, which is then charged by transmitting the energy from C1 (transmitted via the pulse transformer). The transmission time from C1 to C2 is about 500 nanoseconds, and the saturation inductance of LS2 is about 2 · 3 nH. When the voltage is accumulated in C2, the volt-second product of the third magnetic switch LS3 is reached, and the switch LS3 is also saturated, and the 5 C2 voltage is transmitted to the anode 8a, as shown in Fig. MA and Fig. 14B. The saturation inductance of LS3 is about 1.5 nH. The bias circuit shown in Figure 1 at 408 is also used to properly bias the three magnetic switches. The current from the bias power supply VI passes through the magnetic switch LS3. Then the shunt 'part of the current flows through the bias inductor L5, and the bias power is supplied back to the reactor VI. The remaining current flows through the secondary winding of the pulse transformer, then through the magnetic switches LS2 and LSI and the bias inductor L3, and returns to the bias power supply VI. The bias inductor L2 provides a path through the pulse transformer primary circuit to ground and returns to the power supply. The bias inductors L3 and L5 also provide voltage isolation during the SSPPS pulse, because the bias power supply Vi is closed-circuited to 15 ground (as opposed to the potential generated by SSPPS, which is biased here). The CO, C1 and C2 capacitors consist of multiple parallel polypropylene film capacitors mounted on a printed circuit board with a thick (6-10 ounce) copper ore coating. The printed circuit board is wedge-shaped, so the four printed circuit boards form a cylindrical capacitor holder. The 20-valley holder feeds the cylindrical busbar with voltage connection and ground connection. In this way, a low-conductance connection is formed, which is important for both pulse compression and the plasma extraction stability of the DPF itself. The total capacitance of C0 and C1 is 21.6 microFaraday, and the total capacitance of C2 is 1.33 microFaraday. C0 and C1 capacitors are 0.1 micro Faraday, 1600 volt capacitors, available from Wima Corporation, Germany 19 200403905 or a supplier from Vishay Roederstein, North Carolina. The C2 electric valley is composed of two sections of electric valleys connected in series to achieve a total rated voltage. The voltage of the secondary circuit of the pulse transformer is about 5 kV. The C2 capacitor is a 0.01 microfarady, 2000 volt DC component and is also available from Wisma 5 or Wisteria Rodstein. The SSPPS switch is a 1400 volt, 1000 amp IGBT switch. Actual part numbers are those obtained from Baueritz

CM1000HA-28H。如前文說明,8個並聯IGBT開關用來將 C0放電至C卜SSPPS串聯二極體皆為14〇〇伏特、3〇〇安培二 極體,得自包爾力司公司零組件編號R622143()。兩種二極 10體用於各個IGBT開關,共獲得16個並聯元件。 磁開關LSI為一客戶需求訂製的電感器,係由16組里茲 線平打繞線(各6&)繞於螺旋管鐵氧體鐵心組成。特殊鐵心 係由紐澤西川陶竞磁鐵公司供應,係由cn_2〇鐵氧體材料製 成。螺旋管厚0.5忖,内部直徑5 〇叶,外部直徑8〇对。磁 15開關LS2為單1£軌官電感器。磁鐵心係使用寬㈣厚w密 耳之2605-S3A麥特葛萊斯得自漢寧威爾公司帶CM1000HA-28H. As explained above, the 8 parallel IGBT switches are used to discharge C0 to C. SSPPS series diodes are all 1400 volts and 300 amps diodes. They are obtained from Baoerixi Co., Ltd. Part Number R622143 () . Two kinds of two-pole 10-body are used for each IGBT switch, and a total of 16 parallel elements are obtained. The magnetic switch LSI is a custom inductor. It consists of 16 sets of Ritz wire flat-wound wires (6 & each) wound around a spiral tube ferrite core. The special iron core is supplied by the New Jersey Chuan Taojing Magnet Company and is made of cn_2〇 ferrite material. The spiral tube is 0.5 忖 thick, with an inner diameter of 50 leaves and an outer diameter of 80 pairs. The magnetic 15-switch LS2 is a single 1-rail official inductor. The magnet core uses a wide, thick, w mil 2605-S3A Matt Glace.

狀捲、%於8.875对外役心轴,該麥特葛萊斯帶有ο」密耳厚米 勒(M咖)捲繞於各層間至外徑為则何。磁開關⑶也是 單㈣旋管電感器。磁鐵心係使用寬i忖厚0.7密耳之 2〇細猶麥特葛萊斯得自漢寧威爾公司帶狀捲繞於9 $叶 外仅〜軸,δ亥麥特愚萊斯帶有〇1密耳厚米勒捲繞於各層間 至外徑為10.94吋。 脈衝變壓器顯示於 一 、〇6’也顯不於第丨八圖,共有三個變 壓器鐵心。三個變壓哭料 ^ 时鐵心各自係使用寬1吋厚0.7密耳之 20 200403905 2605-S3A麥特葛萊斯得自漢寧威爾公司帶狀捲繞於i2树 外徑心軸422,雜特葛萊斯帶有〇1密耳厚米勒捲繞於各 層間至外徑為14.65对。三個鐵心418各自為環形,内徑η』 对,外徑約14对,高1叶。第1A圖為軸向剖面草圖,顯示三 5個鐵心以及一次及二次「繞線」的實體配置。一次繞線實 際上各自係由兩個圓環420A及420B螺栓至心軸422及桿狀 隔件424組成。二次「繞線」係由48個圓形隔開的螺栓426 組成。變壓器的操作原理類似直線加速器如美國專利第 5,142,166號所述。三個一次「繞線」之高電壓電流脈衝誘 10生一次「繞線」電壓升局約略等於一次電壓。結果為二次 繞線(換言之桿426)產生的電壓等於一次電壓脈衝的三倍。 但因二次繞線的低電壓端係繫於一次繞線,故此種「自動 變壓器」組態可提供四倍變壓。 偏壓電感器L3及L4皆為螺旋管電感器捲繞於莫里帕碼 15 洛伊磁鐵心上。特定鐵心尺寸高0.8吋,内徑3.094吋及外徑 5.218吋。鐵心之零組件編號為得自阿諾組之&-430026-2。 電感器13有90匝12 AWG線捲繞於螺旋管獲得電感約7.3 mH,L4有140匝12 AWG線捲繞於其上,電感約18mH。偏 壓電感器L6只有16匝12 AWG線捲繞成6吋直徑。偏壓電感 20 器L4為30匝12 AWG線捲繞成6吋直徑。偏壓電感器L2為8 匝12 AWG線捲繞成6吋直徑。電阻器R1為2〇個並聯電阻器 陣列,各個電阻器為27歐姆、2瓦碳組成物電阻器。 極性 本發明之較佳具體實施例中,第1圖顯示之電流提供正 21 200403905 高電壓脈衝給中心電極8A,如第2圖、第2B1圖及第2B2圖 所示。各初始脈衝各部分之電流流動方向分別以箭頭 409A、409B及409C顯示,流經變壓器406之一次端以及二 次端以及流經電極間。(讀者須注意電子流動方向係與電流 5流動方向相反)。讀者也須注意於各脈衝之後述部分,電流 實際上反相,如第1B圖之軌跡4〇9D所示,故C2電壓升高至 約+4千伏特,然後升高至約零。 反極性 於先前技術緊密電漿聚焦裝置,中心電極典型係組配 10為陽極,周圍有電極環繞組配成為陰極。如此第2B圖所示 具體實施例之電極極性係符合本先前技術之電極極性。先 前技術已知反相電極極性;但結果導致效能實質上減低。 (例如參考G. Decker等人,「解決電漿聚焦極性謎題之實 驗」,物理學函件,第89a卷,第8期,1982年6月7曰)。 15 申請人已經於本發明之較佳具體實施例驗證經由反相 緊欲電漿聚焦裝置之電極極性可獲得卓越效能。為了達成 此項目的,申請人修改第1圖所示電路,獲得第1〇圖所示電 路。第1圖電路之基本設計讓此項任務相對容易。直流電源 供應器400之連結切換,開關si、S2、S3及S4反相,二極體 20 D1、D2、D3及D4反相。偏壓電源供應器〜丨的極性也反相。 結果各脈衝之初電流係於第1D圖之409A、409B及409C所示 方向。如此圖中(包括第2B2圖)所示中心電極8A最初充電為 陰性,本具體實施例之最初電流係由地電極8B流至中心電 極8 A。電子流為反向’亦即電子流由中心電極8 a流至周圍 22 200403905 電極8B。反相極性之另一項技術係修改脈衝變壓器設計, 消除變壓器之「映射成」方面。換言之將低電壓端連結至 地電位,而非連結至一次高電壓。如此單純經由改變脈衝 變壓器的二次引線即可逆轉極性。如此當然表示,此種情 5 況下電壓只有3因數增加而非4因數。但為了補償,可增加 另--次區段。 申請人實驗證實由此種極性變化可獲得若干出乎意外 的改良。主要改良為前置游離要求大減,甚至可完全消除。 申請人相信效能的改善係來自於電極8A頂中空部之中空陰 10 極型效應,如第2A圖所示。根據申請人的測量,於各種條 件下,撮取的品質優於以正中心電極極性產生的撮取品 質。申請人估計EUV輸出的增高可能高達約2之因數。 能量回收 為了改良整體效率,***緊密電漿聚焦裝置以逐一 15 脈衝基準,提供由電路放電部反射的電脈衝能之能量回 收。於後文將參照第1圖說明能量回收。 於放電C2被驅動為負之後。出現此種情況時,LS2對 C1流至C 2的電流已經飽和。如此替代有能量留在裝置(容易 造成電極的溶蝕),LS2的飽和態造成C2反向充電共振移轉 2〇 回C1。此種移轉係由流經LS2之連續前向電流達成。於電 荷由C2移轉至C1後,C1比C0(此時約為地電位)具有負電 位,(如同LS2之例),LSI因脈衝剛發生的大電流流動繼續 前向傳導。結果由C0至C1的電流造成C1的電位高於約地電 位,於C0產生負電位。 23 :者m種反向能量移料⑶唯有在全部可飽和 電感·™(LSI LS2及LS3)維持前向導通直到全部或實質上全 部能量皆回收於C0為止方屬可能。於廢能傳回c〇後,⑶相 對於其最初儲存的電荷為負。此時開關S4係由脈衝電源控 5制器開啟。反相電路包含電感如及固態二極細耦合至 也電位由於。白振自由飛輪結果,造成⑶極性的逆轉(亦即 L1-C〇電路循環科週_對於電«被二極細 逆轉所夾緊’淨結果為能量藉co的部分再充電而被回收 因此可能造成電極溶飯的能量被回收,而減少隨後脈衝的 10 充電需求。 輸出開關之重要性 15 20 如第1圖及第m圖所示,本發明所述脈衝電源系統有輸 出開關執行數項功能。此種開關(圖中之吻為可飽和電感 益,發明人將此種開關稱作為磁性開關。如前文說明,磁 性開關係藉偏壓電路408施加偏壓,因此於各脈衝起點最初 於各脈衝開始時停止電流流動,直到電感器飽和,此時電 流流動約刚奈秒,隨後偏壓電流再度對開關施加偏壓,然 後開始次-脈衝,該脈衝為5千赫兹(舉例)出現於約細微秒 後。此種開關對於讓光源以高重複率適當操作極為重要。 雖然某些EUV光源已經發展“含此種_,但其於高重 複率的操作在輸出能上可能出差錯。此種情況下能量儲存 電谷讀EUV來源負載間不存在有任何開關。問題在於最 末脈衝與脈衝施加至能量儲存電容器準備次一脈衝時間之 間驗時間,光源負載無法完全回收。於5千赫兹重複率, 減 24 200403905 輸出脈衝間只有200微秒。使用多種其它光源設計,此種脈 衝間時間之一大部分可能需要用來充電能量儲存電容器。 如此造成最末脈衝產生與跨電容器施加初電壓間(也跨負 載施加電壓,原因在於二者間並不存在有輸出開關來隔開 5 一者)之時間又更短。此種時間太短,造成來自最末脈衝的 電漿無法冷卻且被回收時也有問題(預期將停止次一脈衝 電壓的施加)。結果當回收不充分時,光源可能於低於正常 電壓過早崩潰。由於崩潰過程本質上為統計數字,崩潰電 壓也有寬廣變化,結果導致來源輸出EUV能量有重大改 10纟交。如此造成微影術應用上之顯著問題,原因在於能量穩 定性及劑量的控制乃製程控制上極為重要的參數。 本發明之輸出開關LS3之優點為可發揮數種功能,有助 於免除此項過早負載崩潰的問題。正常脈衝產生時,LS3 開關係作為磁開關及二極體,來防止電流逆轉流經負載。 15結果未被負載吸收的任何能量皆被反射回初儲存電容器 C0,於此處能量被回收且儲存供次一脈衝使用(如前文就能 量回收乙節之說明)。藉此方式,於主脈衝產生後,能量快 速由負載移開,因此不允許連續振盪至能量最終完全耗散 於負載電漿。如此有助於減少能量的沉積於負載電漿,於 20主脈衝產生且EUV輸出後儘可能快速開始回收過程。此 外’ LS3輸出開關提供最末能量儲存電容器與來源負載間的 隔離,允許來源負載有額外時間回收,隨後才產生次一脈 衝。此種開關允許最末能量儲存電容器C2(發明人稱作為放 電電容器)於能量回收過程完成後,一旦LS3開關逆轉偏壓 25 時即開始充電。偏壓電路(包括偏壓電感器L4及偏壓電源供 應為vi)之設計可發展出,俾允許LS3以足夠時間回收,供 以至少5千赫茲之重複率充電次一脈衝產生順序之。因此 LS3開關最初被反相偏壓(並非於前向方向傳導-朝向負 載)’直到飽和(當C2電壓達到最大值)。然後開關讓能量由 C2傳輸入負載’維持前向傳導,至能量回收週期完成,反 射能被回收一路返回至c〇為止。此段時間後,來自偏壓電 路=月b里轭加至主脈衝壓縮電路,再度經由逆轉[幻開關的 偏壓而完成循環。-旦完成循環,〇充電可再度進行,而 10無負載過早崩潰的問題(因LS3開關現在可將c2電壓與負載 隔離)。 由於EUV光源的重複率最終必須_路延伸至千赫 $,俾滿足EUV的光源功率需求。由於脈衝間的時間變成 遠更縮短,故此項議題變得愈來愈重要。 15 f1B^C圖顯示***電製撮取原型袭置之試驗結 果。第1B圖顯示電容器C2以及跨電極之脈衝形狀,第糊 顯示使用氣作為活性氣體,測量得之光二極體信號。 而溫電放電EUV X-光裝置 20 /前文說明之高重複率、可靠、使用壽命長之脈衝電源 糸統可用來產生高電壓脈衝給多種極端紫外光或χ光裝 置。此等系統包括第2A圖所示緊密電漿聚焦裝置、第26圖 所=習知X撮取裝置、第2C圖所示中空陰松·撮取裝置以 及弟2D圖所示毛細放電裝置。各種情況下,光源以稱作% 方向之轴線為中讀略呈對稱。因此理由故,此等光源特It is rolled in a shape of 8.875 in the external mandrel. The Matt Grace with ο "mil thick miller (M coffee) is wound between the layers to the outside diameter. The magnetic switch ⑶ is also a single coil inductor. The magnet core uses a width of 20 mm and a thickness of 20 mils. It is obtained from Hanning Weir Co., Ltd. It is wound around 9 $ leaves only ~ shaft, δ Helmet with 〇1 mil thick Miller is wound between layers to an outer diameter of 10.94 inches. The pulse transformer is shown in Fig. 1 and 06 ', but it is not shown in Fig. 8 and there are three transformer cores. The three pressure transformers were used when the iron cores were each 1 inch wide and 0.7 mil wide. 20 200403905 2605-S3A Matt Glace was obtained from Hanning Weir Company and wound around the i2 tree outer diameter mandrel 422. Zaite Grace with a 0. 1 mil thickness Miller wound between the layers to an outer diameter of 14.65 pairs. Each of the three iron cores 418 has a ring shape, an inner diameter η ′ pair, an outer diameter of about 14 pairs, and a height of 1 leaf. Figure 1A is a sketch of the axial section, showing the physical configuration of three or five cores and primary and secondary "winding". The primary winding is actually composed of two ring 420A and 420B bolts to the mandrel 422 and the rod-shaped spacer 424, respectively. The secondary "winding" consists of 48 circularly spaced bolts 426. The operation principle of a transformer is similar to a linear accelerator as described in U.S. Patent No. 5,142,166. The three high-voltage current pulses of the "winding" at one time induce a voltage rise of the "winding" at about one time. As a result, the voltage generated by the secondary winding (in other words, the rod 426) is equal to three times the primary voltage pulse. However, since the low-voltage end of the secondary winding is tied to the primary winding, this “automatic transformer” configuration can provide four times the voltage. The bias inductors L3 and L4 are spiral inductors wound around a Moripa code 15 Roy core. The specific core size is 0.8 inches high, 3.094 inches inside diameter and 5.218 inches outside diameter. The core component number is & -430026-2 from the Arnold group. Inductor 13 has 90 turns of 12 AWG wire wound on a spiral tube to obtain an inductance of about 7.3 mH, L4 has 140 turns of 12 AWG wire wound on it, and the inductance is about 18 mH. The bias inductor L6 has only 16 turns of 12 AWG wire wound into a 6-inch diameter. Bias inductor 20 L4 is a 30-inch 12 AWG wire wound into a 6-inch diameter. The bias inductor L2 is an 8-inch 12 AWG wire wound into a 6-inch diameter. The resistor R1 is an array of 20 parallel resistors, and each resistor is a 27 ohm, 2 watt carbon composition resistor. Polarity In a preferred embodiment of the present invention, the current shown in Figure 1 provides a positive 21 200403905 high voltage pulse to the center electrode 8A, as shown in Figures 2, 2B1, and 2B2. The direction of current flow in each part of each initial pulse is shown by arrows 409A, 409B, and 409C, respectively, through the primary and secondary ends of the transformer 406, and between the electrodes. (The reader should note that the direction of electron flow is opposite to the direction of current 5). The reader should also pay attention to the following part of each pulse, the current is actually reversed, as shown by trace 409D in Figure 1B, so the C2 voltage increases to about +4 kV, and then to about zero. Reverse Polarity In the prior art compact plasma focusing device, the center electrode is typically assembled with 10 as the anode, and the surrounding electrodes are assembled around to become the cathode. Thus, the electrode polarity of the embodiment shown in FIG. 2B conforms to the electrode polarity of the prior art. The polarity of the reverse electrode is known in the prior art; however, this results in a substantial reduction in efficiency. (See, for example, G. Decker et al., "Experiments for Solving Plasma Focused Polarity Puzzles", Physics Letters, Volume 89a, Number 8, June 7, 1982). 15 The applicant has verified in a preferred embodiment of the present invention that excellent performance can be obtained through the electrode polarity of the inverse tight plasma focusing device. In order to achieve this project, the applicant modified the circuit shown in Figure 1 to obtain the circuit shown in Figure 10. The basic design of the circuit in Figure 1 makes this task relatively easy. The connection of the DC power supply 400 is switched, the switches si, S2, S3, and S4 are inverted, and the diodes 20 D1, D2, D3, and D4 are inverted. The polarity of the bias power supply is also inverted. As a result, the initial current of each pulse is in the directions shown in Figures 409A, 409B, and 409C. As shown in this figure (including Figure 2B2), the center electrode 8A is initially charged negatively. The initial current in this embodiment is from the ground electrode 8B to the center electrode 8 A. The electron flow is reversed ', that is, the electron flow flows from the center electrode 8 a to the surroundings 22 200403905 electrode 8B. Another technique for reverse polarity is to modify the design of the pulse transformer to eliminate the "mapped into" aspect of the transformer. In other words, connect the low voltage terminal to the ground potential instead of the high voltage. In this way, the polarity can be reversed simply by changing the secondary lead of the pulse transformer. This, of course, means that in this case, the voltage only increases by 3 factors instead of 4 factors. But to compensate, another section can be added. The applicant's experiments have confirmed that a number of unexpected improvements can be obtained from this polarity change. The main improvement is that the pre-freedom requirement is greatly reduced, and it can even be completely eliminated. The applicant believes that the improvement in efficiency comes from the hollow cathode 10 pole type effect in the hollow portion of the top of the electrode 8A, as shown in FIG. 2A. According to the applicant's measurement, under various conditions, the quality of the extraction is better than that obtained with the positive center electrode polarity. The applicant estimates that the increase in EUV output may be as high as a factor of about two. Energy Recovery In order to improve the overall efficiency, the fourth generation compact plasma focusing device provides energy recovery of the electrical pulse energy reflected by the circuit discharge section on a 15-pulse basis. The energy recovery will be described later with reference to FIG. 1. After the discharge C2 is driven negative. When this happens, the current from LS2 to C1 to C2 is saturated. In this way, instead of leaving energy in the device (easy to cause the electrode to dissolve), the saturation state of LS2 causes the reverse charge resonance transfer of C2 to return to C1. This transfer is achieved by a continuous forward current through LS2. After the charge is transferred from C2 to C1, C1 has a negative potential than C0 (approximately ground potential at this time), (as in the case of LS2), and the LSI continues to conduct forward due to the large current flowing just after the pulse. As a result, the current of C0 to C1 causes the potential of C1 to be higher than the ground potential, and a negative potential is generated at C0. 23: For m kinds of reverse energy transfer, it is only possible to switch on all the saturable inductors ™ (LSI LS2 and LS3) until all or substantially all of the energy is recovered in C0. After the waste energy is returned to c0, CD is negative with respect to its initial stored charge. At this time, the switch S4 is turned on by the pulse power controller. The inverting circuit contains an inductor such as a solid-state diode and a fine coupling to the potential due to this. As a result of the white fly freewheel, the polarity of ⑶ is reversed (that is, the L1-C0 circuit cycle section _ for electricity «clamped by the two-pole fine reversal '. The net result is that the energy is recharged and partially recovered by co, so it may be caused. The energy of the electrode melted rice is recovered, which reduces the charging requirement for subsequent pulses. 10 Importance of the output switch 15 20 As shown in Figures 1 and m, the pulse power system according to the present invention has an output switch to perform several functions. This type of switch (the kiss in the figure is a saturable inductor, and the inventors call this switch a magnetic switch. As explained earlier, the magnetic open relationship is biased by the bias circuit 408, so at the beginning of each pulse, At the beginning of the pulse, stop the current flow until the inductor is saturated. At this time, the current flows for about nanoseconds, and then the bias current biases the switch again, and then starts a sub-pulse. The pulse appears at about 5 kHz (for example). After a few seconds, this switch is extremely important for proper operation of the light source at a high repetition rate. Although some EUV light sources have been developed to include "this type", its operation at high repetition rate is ineffective. There may be an error in this case. In this case, there is no switch between the energy storage power valley reading EUV source load. The problem is the time between the last pulse and the time when the pulse is applied to the energy storage capacitor to prepare for the next pulse, the light source load cannot Fully recovered. At a repetition rate of 5 kHz, minus 24 200403905 There are only 200 microseconds between output pulses. Using a variety of other light source designs, most of this time between pulses may need to be used to charge the energy storage capacitor. This results in the final pulse The time between generating and applying the initial voltage across the capacitor (also applying the voltage across the load, because there is no output switch between them to separate 5 of them) is shorter. This time is too short, resulting in the last pulse The plasma cannot be cooled and has problems when it is recycled (the application of the next pulse voltage is expected to stop). As a result, when the recovery is not sufficient, the light source may prematurely collapse below the normal voltage. Since the collapse process is statistical in nature, The collapse voltage also varies widely, with the result that the source's output EUV energy is significantly changed. The significant problem in shadow application is that energy stability and dose control are extremely important parameters in process control. The advantage of the output switch LS3 of the present invention is that it can perform several functions and help to avoid this premature load The problem of collapse. When a normal pulse is generated, the LS3 opens as a magnetic switch and a diode to prevent the current from flowing backward through the load. 15 As a result, any energy not absorbed by the load is reflected back to the primary storage capacitor C0, where the energy It is recovered and stored for the next pulse (as described in the section on energy recovery section B). In this way, after the main pulse is generated, the energy is quickly removed from the load, so continuous oscillation is not allowed until the energy is completely dissipated in the end Load Plasma. This helps reduce energy deposition in the load plasma, starting the recovery process as quickly as possible after the 20 main pulses are generated and the EUV is output. In addition, the LS3 output switch provides isolation between the final energy storage capacitor and the source load, allowing the source load to have additional time to recover before a next pulse is generated. This switch allows the final energy storage capacitor C2 (called the discharge capacitor by the inventor) to start charging once the LS3 switch reverses the bias voltage 25 after the energy recovery process is completed. The design of the bias circuit (including the bias inductor L4 and the bias power supply as vi) can be developed to allow the LS3 to be recovered in sufficient time for charging at a repetition rate of at least 5 kHz. . Therefore, the LS3 switch is initially biased in reverse (not conducted in the forward direction-towards the load) 'until it is saturated (when the C2 voltage reaches its maximum value). Then the switch allows energy to be transmitted from C2 to the load ’to maintain forward conduction until the energy recovery cycle is completed, and the reflected energy is recovered all the way back to c0. After this period of time, the yoke from the bias circuit = month b is added to the main pulse compression circuit, and the cycle is completed by reversing the [magic switch bias]. -Once the cycle is completed, 0 charging can be performed again, and there is no problem of premature load breakdown (because the LS3 switch can now isolate the c2 voltage from the load). Since the repetition rate of the EUV light source must eventually be extended to kilohertz, it can meet the power requirements of the EUV light source. As the time between pulses becomes much shorter, this issue becomes more and more important. 15 f1B ^ C chart shows the test results of the fourth-generation electrical system sampling prototype. Figure 1B shows the pulse shape of capacitor C2 and the transelectrode. Figure 2B shows the photodiode signal measured using gas as the active gas. The thermoelectric discharge EUV X-ray device 20 / The pulse power system with high repetition rate, reliable and long service life described above can be used to generate high voltage pulses to a variety of extreme ultraviolet or x-ray devices. These systems include the compact plasma focusing device shown in Fig. 2A, the conventional X-picking device shown in Fig. 26, the hollow yoke · picking device shown in Fig. 2C, and the capillary discharge device shown in Fig. 2D. In each case, the light source is slightly symmetrical about the axis called the% direction. For this reason, these light sources are special

26 200403905 別為前三種光源俗稱作「z」撮取光源。 緊密電漿聚焦 緊密電漿聚焦EUV裝置的主要特色顯示於第23圖。包 括陽極8A、陰極8B及絕緣體8C以及高電壓脈衝電源。此 5種情況下當施加高電壓時,沿絕緣體8C外表面,陰極與陽 極間開始放電。高電漿電流產生的聚焦,迫使電襞向上, 然後向内,而形成極熱的電漿撮,恰高於陽極中心上方。 前文對第1圖所示脈衝電源系統討論之參數係特別對 此種光源選用,來產生約5,000伏特之12焦耳脈衝,脈衝時 10間約為100奈秒至約500奈秒。較佳設置前置游離器(可為火 星間隙游離器),細節如美國專利申請案第〇9/690,〇84號說 明,該案以引用方式併入此處。 第2A(1)圖顯示申請人實際建立且測試的***電漿 撮取EUV光源部分剖面圖,該光源結合第丨圖所示脈衝電源 15系統。前文說明之多個電力元件標示於第2A(1)圖。第2A(2) 圖為裝置之電極區之分解視圖,顯示陽極8A、陰極8B以及 火星間隙前置游離器138之進一步細節。第2A(3)圖為第四 代裝置之略圖,顯示第2A(1)圖所示多種電力元件,也顯示 真空3。 20習知Z-撮取 習知Z-撮取光源顯示於第3圖。此種情況下,放電係始 於陽極與陰極間順著絕緣體9C之内側面。高電流產生的力 迫使電漿朝向絕緣體9C形成的圓柱體容積中心,且造成電 装的撮取,接近該容積上端溫度極高。 200403905 第1圖所示脈衝電源電路(帶有前文說明之各個元件)也 適用於習知z-撮取設計具體實施例,但熟諸技藝人士可做 出變化來協調脈衝電源電力元件參數終撮取之特定設計 參數。例如若5,000脈衝為較佳,容易單純使用第i圖所示 5基本電路達成,但於脈衝變壓器406帶有額外一阻的一次繞 線。採用此項設計,前置游離器通常係供於各脈衝起點輔 助引發電漿。此種前置游離器可為火星間隙前置游離器或 其它前置游離器來源,且通常係由分開的電源(圖中未顯示) 供電。 10 中空陰極Z-撮取 第2C圖所示中空陰極Z-撮取極為類似習知z_撮取。差 異在於陰極被組配成可產生低於圓柱形絕緣體下方的中 空。此項設計可免除前置游離器的需求,原因在於當高電 壓升高至夠高位準時,於各個脈衝起點於中空區9E頂部自 15 然產生極為大量的離子和電子。因此理由故,此種設計無 需高電壓開關來引發放電。此種放電稱作為自我引發。 當使用第1圖所示電源供應器來提供此種設計之脈衝 功率時,最末可飽和電感器L53可免除,或其值可實質減 低,原因在於陰極中空區的電漿發展可用作為飽和電感器 20 L53的相同用途,停止放電直到尖峰電容器C2充分被充電為 止,隨後允許電流實質上無障礙地流動。 中空陰極Z-撮取可設計成比前兩種設計顯著更高脈衝 電壓。此點對第1圖所示電源供應器不成問題。單純經由將 變壓器406的一匝一次繞線數目由3增加至9,容易提供 28 200403905 10,000伏特的放電脈衝。 毛細放電 習知毛細放電EUV光源之略圖顯示於第2D圖。此項設 计中’由陰極與陽極間高電壓放電形成電漿壓縮係經由迫 5使電漿流經在毛細管達成,毛細管直徑典型為約0.5毫米至 4毫米。此種情況下,脈衝時間約為〇·5微秒至4微秒,比較 第2、3及4圖所示具體實施例之约100奈秒至約500奈秒。此 外,脈衝電壓典型實質較低,例如約1500伏特。但該脈衝 電源系統提供絕佳電力電源而極少需要修改。單純修改係 10 去除磁力壓縮的最末步驟,該步驟係藉留下C2電容器排組 及LS3可飽和電感器達成。經由脈衡變壓器406之繞線由一 減至三,尖峰脈衝電壓可降至2,00〇伏特;或可去除變壓 器,初充電電壓增高而提供數微秒之電脈衝以及約1500伏 特之尖峰電壓。 15 雷射產生電漿 如本說明書之先前技術節所述,先前技術產生極端紫 外光或軟X光之技術係使用短脈衝雷射來產生極熱電漿,類 似前文於電漿撮取產生的電漿。先前技術典型利用固態雷 射例如Q-切換Nd-YAG雷射使用二極體雷射(或閃光燈)泵 20 送,而產生極高功率之奈秒或微微秒雷射脈衝,該雷射脈 衝聚焦於目標材料,該目標材料可與前文作為活性材料之 目標材料相同,例如鋰及錫其產生碎屑、或氙其不產生碎 屑。若干先前技術光源述於美國專利第5,668,848、5,539,764 及5,434,875號,各案皆以引用方式併入此處。先前技術專 轉. 29 200403905 利案教示使用Nd-YAG雷射用來產生電漿以及使用Nd-YAG 播種XeCl準分子前置放大器或XeC1準分子放大器,用來產 生高功率(例如ΙχΙΟ11瓦特)極短脈衝雷射束,供於目標材料 產生電漿。也曾經提議其它雷射系統(包括準分子雷射系統) 5用來產生X光(例如參考M.Chaker等人,應用物理期刊,63, 892 ’(1988) ; R· Popil等人,物理綜論,a 35,3874(1987); 以及F· O’Neill等人,SPIE議事錄,83卜230(1987))。申請 人判定申請人發展電漿聚焦裝置時所發展出的多種新穎特 色應用於雷射產生電漿,恰如同第2A-D圖所示由各種撮取 1〇 裝置產生電漿同等良好。 申清人的雇主是全美以及國際積體電路微影術的準分 子雷射光源之大供應商。雷射為於248奈米操作的KrF準分 子雷射以及於193奈米操作的ArF雷射。此等雷射極為可 罪,典型可每曰操作24小時,每年操作365曰,開機時間平 15均间於99/〇。過去數年間,雷射的脈衝重複率已經由199〇 年之約觸赫兹增加至2003年之4〇〇〇赫兹。#射的平均功率 已k由1990年的1瓦增加至2〇〇3年之約12〇瓦。脈衝時間約 為2〇奈秒,目前脈衝能約為3〇毫焦耳。提高雷射重複率至 6,_赫兹至10,_赫兹之技術述於美國專利髓87,別,該 20 案也併入此處以供參考。 申請人相同目前用作為248奈米及193奈米的領先微影 術光源之準分子雷射系統適合產生糾心奈米範圍之極 端紫外光。此等雷射系統例如述於下列美國專利案及專利 申請案(以引用方式併入此處):美國專利案:6,即23; 30 200403905 6,330,261、6,442,181、6,477,193以及美國專利中請案: 09/854,097、09/943,343、10/〇12,〇〇2、10/036,676 及 10/384,967。 於雷射產生電漿光源,雷射能係由反相布倫斯左龍 5 (Brenisstrahlung)機構吸收。因準分子雷射之波長較短,準 分子雷射比來自(頻率加倍的)固態雷射之近紅外線雷射或 可見光雷射,可更有效耦合能量至目標電漿。(電漿頻率以 及臨界密度於泵送雷射之較短波長為較高)。因準分子雷射 之波長較短,準分子雷射比較長波長的雷射(例如固態雷 1〇射)(若有所需)可更緊密聚焦於(繞線限制之)點大小。如此提 咼光源的功率密度。準分子雷射須為西莫(Cymer)雷射,原 因在於西莫雷射為全球最可靠的雷射。若有所需,若干準 分子雷射可組合於一點。如此允許功率規模的放大。 一種或數種準分子雷射束緊密聚焦於真空室内側的 15 (乳態、液態或固態)目標來產生熱雷射產生的電漿。當使用 文當目標材料,且電漿到達正確平均電子溫度時可有效產 生於13.5奈米之EUV射線。適當目標材料為氙、錫及鋰。 氙就碎屑產生較少而言為其優點。不幸,氙並非於13·5奈 米的取有效目標,特別並非雷射產生電漿的最有效目標。 2〇机於約U奈米產生射線遠較為有效。最佳目標組態之一為 液體噴射氤,電漿可於距離噴嘴的相當大距離產生。锡就 轉換效率而言有其優點,由於多種游離階段可同時促成於 約13.5奈米的4d-4f發光。若干使用對應^奈米及14奈米以 上射線頻帶時銦為較佳。(製造之多層鏡只有略低尖峰反射 200403905 比,但有較大頻寬。因此可獲得較高整合一體之合規頻帶 強度)。當需要有較窄發光頻率的光源時,料較佳,原因 在於裡於13.5奈米的轉可有效發光。若使用金屬作為雷 射目標,則較佳使用小空腔來約束。液體金屬目標(炫融 錫、銦或幻於光_錄定麵率操料可提供高目標密 度以及再現性目標條件之可能。(將形成凹坑,但於各雷射 脈衝間將以特定重複率動態出現某種相當恆定的形狀)。 ίο 準分子聚送雷射較佳係以氟化氪於⑽奈米操作此乃 最有效的準分子雷射,且㈣聚焦光學上相關光學問題較 不嚴重。由於需要極高輸出功率,故準分子雷射較佳係以 寬頻以Μ⑽組態操作。由於雷射必須匹配電漿擴充時間, 故雷射脈衝時間必須極短(數奈秒,例如_奈秒)。尖峰功 =至較⑽體實施财,雷射係㈣千赫茲或更高的重 15 :率以㈣5千魏之重複率操作。為了提高有效重複 率’可於遠間隔以數種不同時間 曰价诚a〇數種雷射。大部分也 疋依以及目標材料的補充速率 過調整的雷射脈衝人射於目標 W有、- 20 出進八工十孕又乜具體貫施例中,例如 :丰刀子咖盘器產生的前置脈衝部分(可能繞 =器’來縮短至目標的行進時間)含 、 百分點,首先到達目標而形成前置電 == 更有效吸收主雷射脈衝。前置 : 小的功率”達成。 使用不_或料父 後方佳鱗聚減轉私《於真《前方或 後方“焦。目_㈣成⑽__的焦點。26 200403905 Don't call the first three light sources commonly known as "z" light sources. Compact Plasma Focus The main features of the Compact Plasma Focus EUV device are shown in Figure 23. It includes an anode 8A, a cathode 8B and an insulator 8C, and a high-voltage pulse power supply. In these 5 cases, when a high voltage is applied, discharge starts between the cathode and the anode along the outer surface of the insulator 8C. The focus generated by the high plasma current forces the electrode to go up and then inwards, forming an extremely hot plasma pinch just above the center of the anode. The parameters discussed in the pulse power system shown in Figure 1 above are specifically selected for this type of light source to generate a pulse of 12 Joules of about 5,000 volts, with a pulse duration of about 100 nanoseconds to about 500 nanoseconds. It is preferred to provide a front dissociator (which may be a Martian gap dissociator), the details of which are described in U.S. Patent Application No. 09 / 690,008, which is incorporated herein by reference. Figure 2A (1) shows a sectional view of a fourth-generation plasma plasma EUV light source that was actually built and tested by the applicant. This light source is combined with the pulse power 15 system shown in Figure 丨. The multiple power components described above are shown in Figure 2A (1). Figure 2A (2) is an exploded view of the electrode area of the device, showing further details of anode 8A, cathode 8B, and Martian gap front disengager 138. Figure 2A (3) is a sketch of a fourth-generation device, showing various power components shown in Figure 2A (1), and vacuum 3 is also shown. 20 Known Z-Snap The learned Z-Snap light source is shown in Figure 3. In this case, the discharge starts from the anode and the cathode along the inner surface of the insulator 9C. The force generated by the high current forces the plasma toward the center of the volume of the cylinder formed by the insulator 9C, and causes the pickup of the device, which is extremely high near the upper end of the volume. 200403905 The pulsed power circuit (with the components described above) shown in Figure 1 is also suitable for the specific embodiment of the conventional z-take design, but skilled artisans can make changes to coordinate the final parameters of the pulsed power components Take specific design parameters. For example, if 5,000 pulses is better, it is easy to achieve by simply using the 5 basic circuit shown in Figure i, but the primary winding of the pulse transformer 406 has an additional resistance. With this design, the pre-dissociator is usually provided at the beginning of each pulse to assist initiation of the plasma. This pre-dissociator can be a Martian gap pre-dissociator or other source of pre-dissociator, and is usually powered by a separate power source (not shown). 10 Hollow cathode Z-drawing The hollow cathode Z-drawing shown in Figure 2C is very similar to the conventional z-drawing. The difference is that the cathode is assembled to produce a hollow below the cylindrical insulator. This design can eliminate the need for a pre-ionizer, because when the high voltage rises to a sufficiently high level, an extremely large number of ions and electrons are naturally generated at the beginning of each pulse at the top of the hollow region 9E. For this reason, this design does not require a high-voltage switch to initiate discharge. This discharge is called self-initiated. When using the power supply shown in Figure 1 to provide pulse power of this design, the last saturable inductor L53 can be eliminated or its value can be substantially reduced, because the development of the plasma in the cathode hollow area can be used as a saturated inductor For the same purpose of the device 20 L53, the discharge is stopped until the spike capacitor C2 is fully charged, and then the current is allowed to flow substantially unobstructed. Hollow cathode Z-stripping can be designed with significantly higher pulse voltages than the first two designs. This is not a problem for the power supply shown in Figure 1. Simply by increasing the number of windings per turn of the transformer 406 from 3 to 9, it is easy to provide a discharge pulse of 28 200403905 10,000 volts. Capillary discharge The outline of the conventional capillary discharge EUV light source is shown in Figure 2D. In this design, the formation of plasma compression by high-voltage discharge between the cathode and anode is achieved by forcing the plasma to flow through a capillary tube. The capillary tube diameter is typically about 0.5 mm to 4 mm. In this case, the pulse time is about 0.5 microseconds to 4 microseconds, compared with about 100 nanoseconds to about 500 nanoseconds for the specific embodiments shown in Figs. 2, 3, and 4. In addition, the pulse voltage is typically substantially lower, such as about 1500 volts. However, this pulsed power system provides excellent electrical power and rarely requires modification. A simple modification is the last step to remove the magnetic compression. This step is achieved by leaving the C2 capacitor bank and the LS3 saturable inductor. The winding of the pulse balance transformer 406 is reduced from one to three, and the peak pulse voltage can be reduced to 2,000 volts; or the transformer can be removed and the initial charging voltage can be increased to provide electrical pulses of several microseconds and a peak voltage of about 1500 volts . 15 Laser-Generated Plasma As described in the previous section of this specification, the prior-art technology that generates extreme ultraviolet or soft X-rays uses short-pulse lasers to generate extremely hot plasma, similar to the electricity generated by plasma extraction Pulp. The prior art typically uses solid-state lasers, such as Q-switched Nd-YAG lasers, which are pumped using a diode laser (or flash) pump 20 to generate extremely high-power nanosecond or picosecond laser pulses that are focused. In the target material, the target material may be the same as the target material used as the active material in the foregoing, such as lithium and tin which generate debris, or xenon which does not produce debris. Several prior art light sources are described in US Patent Nos. 5,668,848, 5,539,764, and 5,434,875, each of which is incorporated herein by reference. Prior technology transfer. 29 200403905 The case teaches the use of Nd-YAG lasers to generate plasma and the use of Nd-YAG seed XeCl excimer preamplifiers or XeC1 excimer amplifiers to generate high power (eg ΙχΙΟ11 watt) poles. A short pulse laser beam is used to generate plasma for the target material. Other laser systems (including excimer laser systems) 5 have also been proposed to generate X-rays (see, for example, M. Chaker et al., Journal of Applied Physics, 63, 892 '(1988); R. Popil et al., Physical Synthesis On, a 35, 3874 (1987); and F. O'Neill et al., SPIE proceedings, 83 bu 230 (1987)). The applicant determined that the various novel features developed by the applicant when developing the plasma focusing device were applied to the laser to generate the plasma, as shown in Figure 2A-D. The plasma generated by the various extraction 10 devices was equally good. Shen Qingren's employer is a major supplier of quasi-component laser light sources for integrated circuit lithography in the United States and internationally. The lasers are KrF excimer lasers operating at 248 nm and ArF lasers operating at 193 nm. These lasers are extremely guilty. They can be operated for 24 hours per day, 365 days per year, and the average startup time is 99 / 〇. In the past few years, the pulse repetition rate of lasers has increased from about 1900 Hz to 4,000 Hz in 2003. The average power of the radio has increased from 1 watt in 1990 to about 120 watts in 2003. The pulse time is about 20 nanoseconds, and the current pulse energy is about 30 millijoules. The technique for increasing the laser repetition rate to 6, _Hz to 10, _Hz is described in U.S. Patent No. 87, in addition, the 20 case is also incorporated herein for reference. Applicants are also currently using excimer laser systems as the leading lithography light source for 248nm and 193nm, which are suitable for generating extreme ultraviolet light in the correction nanometer range. Such laser systems are described, for example, in the following U.S. patents and patent applications (hereby incorporated by reference): U.S. Patent: 6, ie, 23; 30 200403905 6,330,261, 6,442,181, 6,477,193, and U.S. Patents Filings: 09 / 854,097, 09 / 943,343, 10 / 〇12, 002, 10 / 036,676 and 10 / 384,967. A plasma light source is generated by the laser, and the laser energy is absorbed by the inverse Brenisstrahlung 5 mechanism. Due to the short wavelength of the excimer laser, the excimer laser can more effectively couple energy to the target plasma than the near-infrared laser or visible laser from the solid-state laser (double the frequency). (Plasma frequency and critical density are higher at shorter wavelengths of the pumped laser). Due to the shorter wavelength of excimer lasers, excimer lasers can focus more closely on the point size (if limited by the winding) than long-wavelength lasers (such as solid-state lasers 10) (if required). This increases the power density of the light source. Excimer lasers must be Cymer lasers because they are the most reliable lasers in the world. If desired, several excimer lasers can be combined at one point. This allows amplification of the power scale. One or more excimer laser beams are tightly focused on 15 (milky, liquid or solid) targets inside the vacuum chamber to generate the plasma generated by the thermal laser. When using the Wendang target material, and the plasma reaches the correct average electron temperature, it can effectively generate EUV rays at 13.5 nm. Suitable target materials are xenon, tin, and lithium. Xenon is an advantage in terms of less debris generation. Unfortunately, xenon is not an effective target at 13.5 nm, especially not the most effective target for laser-generated plasma. The 20 machine is far more effective in generating rays at about U nanometers. One of the best target configurations is a liquid jet, and plasma can be generated at a considerable distance from the nozzle. Tin has its advantages in terms of conversion efficiency, since multiple free phases can simultaneously promote 4d-4f emission at about 13.5 nm. Indium is preferred when several bands corresponding to ^ nm and 14nm are used. (The manufactured multilayer mirror only has a slightly lower peak reflection than 200403905, but has a larger bandwidth. Therefore, it can obtain a higher integrated compliance band intensity). When a light source with a narrower luminous frequency is required, the material is better, because a rotation of 13.5 nm can effectively emit light. If metal is used as the laser target, a small cavity is preferred to constrain it. Liquid metal targets (dazzling molten tin, indium, or magic light) Recording surface rate control provides the possibility of high target density and reproducible target conditions. (Dent will form, but specific repetitions will be made between laser pulses Rate dynamics appear in some fairly constant shape). Ίο Excimer-focused lasers are preferably operated with fluorinated rhenium on nanometers. This is the most effective excimer laser, and the optical problems associated with chirped focusing are less Serious. Due to the need for extremely high output power, excimer lasers are preferably operated in a wideband configuration with MW. Since the laser must match the plasma expansion time, the laser pulse time must be extremely short (a few nanoseconds, such as _ Nanoseconds). Spike work = up to 15 kilohertz or more for laser systems with a weight of 15: The rate operates at a repetition rate of 5,000 kWei. In order to increase the effective repetition rate, several types can be used at long intervals There are several types of lasers at different times. Most of the laser pulses that also convert and the target material's supplement rate are adjusted are shot at the target. There are -20 in and out of eight workers. Medium, such as: Set the pulse part (possibly to reduce the travel time to the target), including percentage points, first reach the target to form the pre-charge == more effectively absorb the main laser pulse. The pre-: small power "is achieved. Not used _ Or the father behind the scales gathers to reduce the focus of "Yu Zhen" Front or Back "focus. Head _㈣ 成 ⑽__ focus.

MS 32 200403905 焦.、沾大小至某種程度而言係依據雷射脈衝時間(1〇至刈奈 秒)決定,因電漿的膨脹速率也須列入考慮。簡言之,雷射 脈衝時間須夠短,且焦點大小須夠巧、,俾維持主加熱期間, 大^刀電聚緊密結合在一起。典型膨脹時間約為每奈秒 5 10-100 微米。 /月ϋ述準分子雷射專利案及專利申請案詳細說明之雷射 系統產生極窄線脈衝雷射束,線狹窄至約0.5微微米或以 下。如此可聚焦至四分之一微米焦點。但此等雷射系統可 於見頻刼作,其中輸出脈衝雷射束頻寬對雷射而言約為 10 35奈米,線中心位於約248奈米。寬頻操作可實質提高輸出 射束此。例如專利申請案10/384,967所述該型反斤厘〇1>入系 統可產生330毫焦耳脈衝(比較3〇毫焦耳線窄化脈衝)。2〇奈 秒脈衝之瞬間脈衝功率約為165χ1〇6瓦。勞倫斯立福莫 (Lawrence Livermore)實驗室所作實驗(應用物理期刊 15 79(5) · 1996年3月),使用Nd/YAG雷射,最大EUV輸出出現 於雷射強度2x1ο11瓦/平方厘米。最大轉換效率(EUV能輸出 /雷射能輸出)出現於約2x1ο11瓦/平方厘米。實驗指出相對於 波長變化結果的改變並不大。實驗的脈衝時間與申請人雇 主之準分子雷射的20奈秒脈衝並無重大差異。因此對 20 165x10瓦脈衝而言,申請人偏好焦點大小約為平方毫 米,提供強度約1.6x1ο·11瓦/平方厘米,該強度係介於最大 效率與最大輸出間。 雷射脈衝能約為330毫焦耳,故於轉換效率約為〇〇〇6 時,EUV脈衝能約為2毫焦耳/脈衝。於6〇〇〇赫茲,如此對 33 應於產生約12瓦EUV。可收集約20%此種光線且輸送至中 間焦點,例如第19圖之位置π,且係採用此處所述技術。 故由準分子雷射產生的電漿輸送至焦點之平均合規頻帶 EUV功率約為2.4瓦。兩項系統的組合可產生約5瓦。就某 5 些應用用途而言如此即足。 申請人曾經被告知未來的EUV微影術機器希望EUV光 源約為45瓦至約100瓦於中間焦點,例如第19圖位置u。但 此項要求約在未來數年(至少5年),且該項要求係依據對應 微影術系統的發展決定,須發展出可處理1〇〇瓦範圍的EUV 1〇功率。由於預期準分子雷射比固態雷射驅動器於1.06微米 可更有效耦合能量至電漿(較短波長,較高臨界密度),故比 車父先前技術NdYAG雷射,準分子雷射產生之電漿轉化效率 較高。 約須10千瓦雷射功率來產生於微影術工具中間焦點約 15 100瓦之EUV功率。使用預期轉換效率的改良,各個KrF模 組(於248奈米寬頻操作)預期可提供約1至丨.2千瓦雷射功率 (例如6千赫茲重複率於2〇〇毫焦耳/脈衝操作)。共九個模組 將輸送所需雷射功率。特別於來源將產生超過2〇〇瓦合規頻 帶EUV射線(2%頻寬成為2π),可收集約100瓦合規頻帶EUV 20 且輸送至中間焦點。 有不同方式來組合雷射束(多工化)。雷射束可藉鏡作光 學重疊(接近光學重疊),雷射束可經由相同透鏡但方向略有 不同而聚焦於同一個焦點。雷射束也可以晃動方式觸發, 讓有效重複率增高,但目標之補充夠快速,故可維持高重 34 200403905 複率。例如使用三個雷射系統讓重複率增加三倍至約18千 赫茲似乎可行。 第4圖顯示一具體實施例,此處來自若干雷射模組之雷 射束可針對聚焦透鏡的不同部分,於空間上重疊於共通焦 5點,該焦點係對應於雷射產生電漿的所在位置。發射之EUV 射線於寬廣角度範圍係藉多層塗覆之第一收集鏡收集,且 被導向至中間焦點。 第4A圖顯示另一具體實施例,此處來自數個雷射模組 之雷射束重疊於一共通雷射焦點,對數個雷射束使用分開 1〇的聚焦光學裝置。雷射射線可經由第一收集鏡的數個開口 聚集。本具體實施例使用下述事實:雷射電漿產生的euv 射線有角度分佈,該角度分佈至某種程度尖峰係位於入射 之雷射束方向(於雷射束方向之正交角度較為微弱)。本具體 貫施例中,最強發光區未被雷射束輸送裝置要求的空間所 15 遮斷。 目標輪送 雷射電漿的較佳目標是所謂的質量限制目標(只提供 雷射產生電漿需要的正確用量,不提供更大量,以免不必 要碎屑產量過多)。對氙而言較佳目標技術為細小液體喷 20射。使用耐溶蝕喷嘴,也可採用叢集射束目標及噴霧目標。 對金屬(錫及銦)而言,液態金屬滴浸沒於氦射束内為適當。 安裝於頂端的噴嘴,以及安裝於下方之目標射束構成適當 系統。參考第4Β圖。面對電漿表面類似碳或鑽石塗層可藉 導熱薄膜塗覆來減少溶蝕,原因在於如此可減少離子賤鑛。 35 200403905 雷射電漿支援之EUV撮取 雷射電漿狀優高光源亮度(朗容積小)、^容 姓、碎屑產生少。缺點為擁有成本高以及總能量轉換平衡 無效。放電㈣之優點為電能直_合至撮取電襞且簡 5單。缺點為電極溶蚀以及碎屬產量高,以及熱管理問題。 雷射束及t射電㈣來敎電料何、放電路鼓冑 漿撮取位置。此項配置讓電極至電漿焦點的距離比純放電 電源的距離更大。如此降低電極表面的功率密度(因電極表 v 面面積大),如此也減少電極溶勉、碎屬產生以及熱管㈣ · 1〇險。它方面,主功率輪入係由低電感放電提供。如此比較 純雷射電漿源,有遠更有效之能量輕合至電聚。電極的配 置比習知撮取更加呈球形。此種電極配置以及雷射電聚 的引發提高光源穩定度。前置游離、雷射電衆產生以及主 撮取電漿產生之時序對EUV射線之最佳化產生提供額外控 15 制。 該裝置主要為放電產生Euv光源,其具有雷射電漿支 援放電引發的額外效果。電極可連結至目前用於(以及未來 · 用於卿機器的相同脈衝電源系統。(1〇焦耳至2〇焦耳輸送 , 脈衝能,30-刚奈秒脈衝長度,重複率若干千赫茲,尖蜂 ’ * 2〇電壓若干千伏特,尖峰電流數十千安培)。内部電極可充t * . 為正或充電為負。外電極位於地電位。如第4c圖所示,電 極排列與DPF排列略有不同。(水冷式)電極較大涉及放電 的電極表面較大。約為30至50平方厘米。電極間有絕緣體 盤來防止沿直接視線放電。 36 200403905 有一種前置游離手段,例如透過RF線圈之脈衝化!^前 置游離於軸線上傳播之脈衝化雷射束(準分子雷射或固態 田射)由來焦光學裝置聚焦於排列中心,至直徑約100微米 的焦點。雷射可為KrF寬頻準分子雷射,帶有100毫焦耳至 5 200毫焦耳脈衝能,約10至I5奈秒脈衝長度以及若干千赫兹 重複率。也有數個雷射束聚焦於排列中心的一個共通點。 目標氣體氙或氙與氦之混合物係由内部電極内側***,藉 真空幫浦泵送去除。典型操作壓力為1至0.01托耳。放電可 於巴斯肯曲線(Paschen curve)左端操作。若内部電極係藉負 10高電壓脈衝化充電,則可組配成為中空陰極。 首先RF前置游離經過觸發讓低密度氣體容易分解。其 次雷射束到達且產生一個有明確界限的電漿點於排列中 心。氣體由於經過前置游離故於接近雷射焦點分解。然後 施加來自脈衝壓縮電路的主放電。撮取將於軸線上於雷射 15電漿點發展。藉磁自行壓縮發生撮取。雷射電漿點界限撮 取位置,增加其位置的穩定性。(若中心的電感過高,則雷 射束必須為圈餅形俾提供放電通道。必須經過實驗測試)。 雷射電漿擴大的電擊鋒將遭遇來自較強的主撮取電槳之徑 向壓縮鋒。經過撮取的電漿通道發展出,該經撮取電漿通 20道將加熱氣體至高游離位準,將發出EUV射線。二電漿電 擊鋒之反向傳播將可有效延長撮取時間,因而延長Euv發 射持續時間。EUV射線係於各方向發射。經由外電極大型 開口發射的射線可藉補餘入射收集光學裝置收集。雷射電 水之此篁、焦點大小及雷射電漿時序將決定主撮取電漿的 37 200403905 大小。 射線集極 材料 於射線點產生的射線均勻發射成完整4兀球面角度。需 5要某些類型的收集光學裝置來捕捉此種射線,且導引射線 朝向微影術工具。有數種材料可供利用,對13 5奈米紫外 光於小補餘角有咼反射率。若干線圖顯示於第UA圖。良好 選擇包括0至約20度範圍之鉬及铑,以及用於極小補餘入射 角的鎢。集極可由此等材料製成,但較佳材料係施用於基 10體結構材料如鎳上之塗層。圓錐形截面可藉電鍍鎳於活動 心軸上製備。 圓錐形巢套集極 為了製造可接收大錐角的集極,若干錐形截面可彼此 巢套。各個錐形截面採用射線的多於一次反射,來將其射 15線錐形剖面重新導向於預定方向。設計收集操作最接近補 餘入射,將獲得一種集極,其最能忍受溶蝕電極材料的沉 積。鏡例如此種鏡之補餘入射反射率與鏡之表面粗度有強 力關聯。對表面粗度的相依性隨著入射角之趨近於補餘入 射而減低。申請人估計申請人之裝置可收集且導引發射之 20丨3奈米射線至少經25度之立體角度。 另-具體實施例中,集極-導向器係藉碎屬集極而保護 表面不受氣化電極材料的污染,碎屬集極係於鶴蒸氣到達 集極-導向器4之前收集全㈣蒸氣。第9圖顯示圓錐形巢套 碎屑集極5供收集來自電漿撮取的碎屑。碎屑集極5包人巢 38 200403905 套之錐A截面,其表面係與由撮取位置中心延伸出且被導 向朝向集極-導向器4之光線校準。 4屑木極收集來自鎢電極的氣化鎢及氣化鋰。碎屑集 著於射線__導向器4或構成射線集極_導向器4的一 5邓刀。兩種集極皆包含鍍鎳基體。射線集極-導向器部分4 被塗覆以鉬或鍺來獲得極高反射率。較佳兩種集極被加熱 至、、勺400 C,該溫度實質上高於鋰的熔點,且實質上低於鎢 溶砧鋰及鶴二者之蒸氣將收集於碎屑集極5表面,但鋰將 被氣化去除且被氣化至鋰收集於集極-導向器4的程度,不 1〇久也氣化去除。鎢一旦收集於碎屑集極5上,則將永久留在 其上。 拋物面集極 第8C圖顯示申請人設計之集極的光學特性。第8A圖所 示集極包含巢套補餘入射拋物面反射鏡,但圖中只顯示五 15個反射鏡之三。圖中未顯示兩個内部反射鏡。於此種設計, 收集角約為0.4球面角度。如後文討論,集極表面經過塗層 且經加熱以防鋰沉積。此項設計可產生平行光束。其它較 佳設計將聚焦光束。集極較佳被塗覆以如前文說明之材 料,於第11圖繪圖,該材料於13·5奈米波長範圍具有高補餘 2〇 入射反射率。 橢球面鏡 另一設計成聚焦光束之集極-導向器顯示於第8Β圖。此 種集極-導向器利用橢球面鏡30來聚焦EUV光源。此型鏡可 得自供應商例如立富萊斯(Reflex)S.V.O.,工廠設於捷克共 39 200403905 和國,由拜德(Bede)科學儀器公司分銷至美國,辦公室設於 英國及科羅拉多州鷹木郡。讀者須注意此種鏡只能收集第 8B圖顯示於32之角度之射線。 但鏡30内側及鏡30外側可含括其它鏡元件來收集及聚 5 焦領外射線。讀者也須注意其它鏡元件可位在鏡3 0下游來 收集窄角射線,或位在鏡30上游來收集廣角射線。 銜接擴球面鏡 第19圖顯示較佳集極-導向器設計用來大為改良guv 光束側繪。此乃銜接橢球面鏡單元,其收集且導引於電聚 10 撮取產生的EUV射線。 於大部分微影術用途,目標區必需均一曝光。第2A圖 所示該型單一或巢套橢球面鏡用來收集且重新聚焦EUV射 線’產生第2A圖所示焦點11上游及下游的極為均勻的射線 環圈°此乃橢球面集極幾何自然產生的效應。鏡正面收集 15的每單位鏡表面積來源射線立體角度比鏡背面收集的角度 更大。此種效應可使用第19圖所示第二橢球面鏡44銜接第 一鏡42逆轉。(本具體實施例中,使用單一橢球面鏡,而未 使用第二巢套橢球面鏡)。第二橢球面鏡44為第一橢球面鏡 42以第—鏡的第二焦點為中心「反映的」鏡像。如此將第 2〇 一橢球面鏡置於第一橢球面鏡的同一軸上,讓其第一焦點 係位在第一鏡的第二焦點。於此種銜接橢球面鏡案例,離 開第二鏡第二焦點之射線為環形,但該環内部的射線均 句°現在’曝光均勻度變成橢球面鏡表面數據之函數,而 #橢球面鏡特有收集幾何之函數。 40 銜接橢球面鏡之光學特性係由申請人使用射線追蹤碼 崔司普羅(TracePro)(麻省里多頓λ研究公司供應)分析。得 自DPF來源之EUV射線為非相干性。結果射線追縱碼可用 來決定收集的射線性質以及離開銜接鏡的射線性質。Ευν 射線要求特殊反射面如鉬或釕製成的反射面。此種分析進 行時係基於假設鏡面有完美的橢球反射鏡,射線於反射期 間未被偏極化。鏡面假設為於13.5奈米反射的純釕。光源 假没為50微米直徑圓盤,射線係由光源表面的各點各向同 性發射。此種假設不妨礙銜接鏡產生均勻環形曝光區的基 本性質。 銜接橢球面鏡的幾何舉例說明於第19圖。二鏡有相同 參數。短半徑為40毫米,焦距於150毫米。鏡長度各別為1〇〇 毫米,且貫穿短直徑切開。該圖也顯示藉第一鏡收集的少 數隨機射線。於第一鏡的第一焦點,離開電漿撮取源46之 射線部分被收集,且再度聚焦於第一鏡的第二焦點η。離 開焦點11的射線於距離光源46 300毫米處藉第二橢球面鏡 收集,於距離焦點11 300毫米處,再度聚焦於第二鏡之第二 焦點48。於焦點48產生光源的^影像。當射線離開焦點48 時,射線發散而於距離焦點48 9毫米位置的偵測器5〇,產 生環形曝光。此種環形區強度為均勻,如第19圖之崔司普 羅技術所示。主環形區的均勻度係在平均值之士2 5%範圍 内。申請人於偵測器50進行光束側繪圖的模擬顯示於第19 圖,該模擬比較於焦點11下游9毫米處對光束截面所做的類 200403905 似模擬。二側繪圖之截面於第19圖比較,偵測器50之截面 顯不於52以及第19圖光束側繪之截面顯示於54。 製造 過去數十年來橢球面鏡的製造技術已有改良。橢球面 5鏡表面品質已經可滿足用於EUV區的表面數據、表面粗 度、以及反射面材料等要求。已經識別四種材料可作為EUV 擴球面鏡表面材料的候選者:鉬、釕、姥及鈀。此等材料 於13·5示米有相當咼補餘入射反射率。補餘入射反射率於 相對高角度須維持高反射率,俾允許鏡收集由光源向内的 1〇合理立體角。理論上四種列舉的材料中以釕有最高收集效 率。 鏡係經由一系列方法製造。首先製造心軸,其具有預 定鏡之外側數據。典型地,心軸使用銘製造成較小大小, 然後使用含15%磷之鎳無電鍍鎳,來讓心軸尺寸變過大。 15無電鑛鎳厚約〇·5毫米,全體表面藉鑽石镟削至供應商期望 的鏡面數據,供應商例如康寧網光公司(c〇ming Netoptic),辦公室位於麻省馬波羅。如此典型留下約〇1毫 米鎳於心軸表面上。雖然此種鑽石镟削技術為極佳,但於 目前階段表面仍然不足以用作為EUV鏡。鑽石鏃削對數據 20要求而言夠準確,包括橢球面的前後偏差及表面圓度夠準 確,但微粗度過咼。鑽石鱗削表面係經過拋光來降低微粗 度至小於0.5奈米RMS。無電鍍鎳高磷含量造成鎳表面硬度 故須高度拋光。於無電鍍鎳表面經過適當拋光且表面的數 據皆符合規格之後,反射面材料塗覆於心軸表面上。確切 42 200403905 塗覆表面程序係依據欲添加至表面的反射材料性質決定。 反射塗膜設置於心軸上之後,鎳於此種表面上電成形至約 0.5¾米厚度。沿著心軸介於心軸與電成形鎳之間施力,讓 電成形鎳由心軸移開。反射面留在電成形鎳殼體上當滑動 5離開心軸鎳表面時形成鏡。帶高磷含量且經過高度拋光之 無電鍍鎳表面係作為反射面的天然離型劑。鏡已經由心軸 移開且心軸經過再度拋光之後,心軸可用來製造更多鏡而 該等鏡為確切第一鏡的拷貝翻版。 校準 10 鏡相對於光源定位以及鏡相對於彼此定位對銜接橢球 面鏡正確發揮功能而言相當重要。校準可於光學工作臺上 完成,光源係設置於DPF EUV光源的相同位置。必須利用 此等橢球面鏡的光學性質。若偵測器平面設置垂直接近第 二焦點的光軸,則小型光源(直徑50微米(舉例))可設置接近 15 橢球的第一焦點。若偵測器係位在第二焦點,則影像將對 中且對稱。於決定第二焦點的軸向位置之後,偵測器陣列 可由焦點移開。現在若光源係於鏡轴之上,影像才對稱。 如此要求將光源定位於兩種空間尺寸。第一焦點的轴向位 置係經由將偵測器移動至第二焦點,然後順著鏡軸移動光 20 源,至偵測器於影像中心獲得最大信號為止而予測定。 此種程序對第二鏡重複施行。二鏡已經校準之後,整 個總成必須移至DPF。固定具須適當栓緊來設置EUV光源 於第一鏡的第一焦點。定位準確度至少為DPF EUV光源有 效直徑之25%。DPF光源直徑的目前估值為80微米(須順機 43 。轴方向估計)。如此預期校準準確度於垂直機器軸平面為 、米銜接鏡之軸向校準並無特殊限制,預期約為〇·5毫 米。 微影術投射光學裝置 車又佳具體實施例之Euv投射係設計成可將光源點映射 处二射光學裝置之入射光圈,以及將光源之遠野強度(亦即 月匕*相對於角度)映射至標線片上。 需要此種設計,原因在 /入射光圈的均勻度雖然重要但不關鍵,標線片平面的均 =X才具有關鍵性。此項設計構想探討下述事實,發光為 i U 各向里卜 ’因此發光強度相對於角度為均勻。雙鏡構想可 #均勻強度相對於角度性質(至少於鏡捕捉角的圓 隹知^圍内係為如此)。EUV照明器呈現強度相對於角度之 厂譬 ί 衣」’打破成為片段或弧,且將弧重疊於標線片上。如此 V改良均勻度且可於EUV系統施行,原因在於EUV系 、先為掃描器,因此只需要於縫隙區照明。 碎屑的緩和 —鏡間的中間焦點11以及最末焦點48讓DPF光源區與 ^影術曝光區隔離。於此點,EUV射線可透過針孔,針孔 遮斷任何來源碎屑或活性氣體(滲透入第一橢球鏡單元該 區的’舌性氣體)到達曝光室,但不會限制EUV射線到達曝光 至。此外,小針孔允許曝光室具有比DPF操作所需遠較低 的壓力。 ~ 混成收集 基於目前可取得的反射鏡技術,只有兩類型反射鏡, 44 200403905 對此種12-14奈米EUV光提供0.7或〇·7以上的反射值。如第 11Α圖所不,少數材料提供良好補餘角反射鏡。例如光滑鉬 表面之反射為約90%補餘角小於1〇度,但鉬的反射於補餘 角15度快速滴落至25度的低於1 〇%。另一方面,特殊多層 5反射鏡设什成可提供於法線入射角之反射率於60%至70% 範圍,但多層反射鏡之反射率仍維持高反射率,由法線只 有5-8度,降至入射角大於約丨〇至15度時小於約1〇%。其它 多層鏡可設計成於法線附近高達約2〇度的寬廣範圍具有約 30%反射率。使用此等目前可利用的鏡技術,申請人發展 10出多種集極設計來獲得最大收集光。其中三種設計顯示於 第11Β、11D及11Ε圖。申請人將此種集極稱作為混成集極, 原因在於此種集極利用多種收集技術。例如先前技術藉由 包括雙反彈拋物面鏡之拋物面鏡而含有巢套橢球面鏡及巢 套補餘角,大部分多層反射鏡設計為單一反彈接近法線拋 15物面設計。第11Β圖為混成集極之部分剖面圖,混成集極利 用二塗覆釕之橢球面鏡80及81以及兩面雙反彈塗覆釕之拋 物面鏡82及83來提供1500毫米焦距。第11C圖顯示鏡於光入 射角約10度至55度間之反射效率。此項設計比先前技術之 橢球設計或先前技術之拋物面設計收集顯然更多光。申請 20 人估計約25%發射的光被收集,79%收集的光被輸送至15〇〇 毫米中間焦點。如此等於20%收集效率估值。 第11D圖顯示第11Β圖集極的修改版本,其中額外拋物 面雙反射鏡84及拋物面三反射鏡85用來提高收集淨能至約 28%。 45 200403905 第11E圖顯禾第三種混成版本,也是第11B圖集極的修 改版本,其除了二橢球反射鏡以及二反彈抛物面鏡之外, 申請人增加第三二反彈拋物面鏡86以及一補餘角曲線射線 追蹤鏡87、以及一多層拋物面鏡88可反射與法線夾角約9 5度,來將收集效率由約20°/°提高至約25%。 另一具體實施例中,複數雷射束可經由電極的對應開 口聚焦至一個共通中心焦點。主放電係順著雷射通道,且 會聚於中心電漿。 碎屑屏蔽件 10碎屑屏蔽件的製造技術 如前文說明,碎屑屏蔽件對於目前考慮的實質全部 EUV光源而言都是重要的元件。完美的碎屑屏蔽件不會捕 捉全部碎屑,且可透射全部合規頻帶射線。因碎屑屏蔽件 可能使用壽命有限,因此也較佳為不難製造。三種碎屑屏 15蔽件的較佳製造技術顯示於第28A-B、29A-C及3〇A_C圖。 至於第26A及B圖所述技術,製造活動皮狀角錐形,如 第26A圖所示,該型的小端***袼栅形結構,例如第圖 所示結構。帶有翅片匹配各角錐形大端一孔的隔板置於角 錐形的較大端上方來隔開各角錐形達格柵厚度,格拇厚产 幸父佳為約0.01至0.1毫米或以下。格栅隔件提供角錐形間的 狹窄空間,該空間係以液態金屬或液態陶兗填補。A金屬 或陶瓷硬化時,模型被去除而形成碎屑屏蔽件。 至於第5A-C圖之技術,中空圓錐例如第5B圖顯示於76 的中空圓錐係由第5A圖顯示於77之箱片切削的極薄厚約MS 32 200403905 Joule. The size of the stain is determined to some extent based on the laser pulse time (10 to nanoseconds). The expansion rate of the plasma must also be considered. In short, the laser pulse time must be short enough, and the size of the focus must be small enough to maintain the main heating period, and the knives are tightly combined. Typical expansion time is about 5-10-100 microns per nanosecond. / The laser system described in the excimer laser patent and patent application detailed description produces extremely narrow line pulsed laser beams with lines as narrow as about 0.5 micron or less. This allows focusing to a quarter micron focus. However, these laser systems can be used in frequency operation. The output pulse laser beam bandwidth is about 10 35 nm for the laser, and the center of the line is about 248 nm. Wideband operation can substantially increase the output beam. For example, this type of anti-kickoff 01 > entry system described in patent application 10 / 384,967 can generate 330 millijoule pulses (compared to a 30 millijoule line narrowing pulse). The instantaneous pulse power of a 20 nanosecond pulse is approximately 165 × 106 watts. The experiments performed by Lawrence Livermore's laboratory (Journal of Applied Physics 15 79 (5) · March 1996), using Nd / YAG lasers, the maximum EUV output appeared at a laser intensity of 2x1ο11 W / cm2. The maximum conversion efficiency (EUV energy output / laser energy output) appears at about 2x1ο11 watts / cm2. Experiments have shown that the change relative to the change in wavelength is not significant. The pulse duration of the experiment was not significantly different from the 20 nanosecond pulse of the applicant's excimer laser. Therefore, for a 20 165x10 watt pulse, the applicant prefers a focal spot size of approximately square millimeters, providing an intensity of approximately 1.6x1o · 11 watts per square centimeter, which is between maximum efficiency and maximum output. The laser pulse energy is about 330 millijoules, so when the conversion efficiency is about 006, the EUV pulse energy is about 2 millijoules / pulse. At 600 Hz, this corresponds to generating about 12 watts of EUV. About 20% of this light can be collected and delivered to an intermediate focal point, such as position π in Figure 19, using the techniques described here. Therefore, the average compliance band EUV power delivered by the plasma generated by the excimer laser to the focal point is about 2.4 watts. The combination of the two systems produces approximately 5 watts. This is sufficient for some applications. Applicants have been told that future EUV lithography machines want an EUV light source of about 45 watts to about 100 watts at an intermediate focus, such as position u in Figure 19. However, this requirement will be in the next few years (at least 5 years), and this requirement is based on the development of the corresponding lithography system. It must develop an EUV 10 power that can handle a range of 100 watts. Because it is expected that the excimer laser can more efficiently couple energy to the plasma (shorter wavelength, higher critical density) than the solid-state laser driver at 1.06 microns, the electric power generated by excimer lasers is higher than that of NdYAG lasers, which are the previous technology of the car driver. High pulp conversion efficiency. Approximately 10 kilowatts of laser power is required to generate approximately 15 100 watts of EUV power at the focal point of the lithography tool. Using improvements in expected conversion efficiency, each KrF module (operating at 248 nm wideband) is expected to provide approximately 1 to 1.2 kilowatts of laser power (eg, 6 kHz repetition rate at 2000 mJ / pulse operation). A total of nine modules will deliver the required laser power. In particular, the source will generate more than 200 watts of compliance band EUV rays (2% bandwidth becomes 2π), can collect about 100 watts of compliance band EUV 20 and deliver to the intermediate focus. There are different ways to combine laser beams (multiplexing). Laser beams can be optically overlapped (close to optical overlap) by mirrors. Laser beams can be focused on the same focal point through the same lens but with slightly different directions. The laser beam can also be triggered in a shaking manner to increase the effective repetition rate, but the target is replenished quickly enough to maintain a high weight 34 200403905 recovery rate. For example, using three laser systems to triple the repetition rate to about 18 kHz seems feasible. Figure 4 shows a specific embodiment, where the laser beams from several laser modules can be aimed at different parts of the focusing lens and spatially overlap at a common focal point of 5 points. This focal point corresponds to the plasma generated by the laser. location. The emitted EUV rays are collected over a wide range of angles by a multi-layer coated first collection mirror and directed to the intermediate focus. FIG. 4A shows another specific embodiment, in which laser beams from several laser modules are superimposed on a common laser focus, and focusing optics separated by 10 are used for several laser beams. Laser rays can be focused through several openings of the first collection mirror. This specific embodiment uses the fact that the euv rays generated by the laser plasma have an angular distribution, and the angular distribution to a certain extent is located in the direction of the incident laser beam (the orthogonal angle to the direction of the laser beam is relatively weak). In this specific embodiment, the strongest light emitting area is not blocked by the space required by the laser beam delivery device. Target rotation The better target of the laser plasma is the so-called quality limit target (only the correct amount of laser plasma is needed to provide, not a larger amount, so as not to have to produce too much debris). The preferred target technology for xenon is a small liquid spray. With erosion-resistant nozzles, cluster beam targets and spray targets can also be used. For metals (tin and indium), it is appropriate that liquid metal droplets are immersed in the helium beam. Nozzles mounted at the top and target beams mounted below constitute a suitable system. Refer to Figure 4B. Facing the surface of the plasma, similar carbon or diamond coatings can be used to reduce dissolution by thermal film coating, because this can reduce ionic base ore. 35 200403905 EUV Extraction Supported by Laser Plasma Laser plasma has excellent light source brightness (Long volume is small), small surname, and less debris. The disadvantages are high cost of ownership and ineffective total energy conversion balance. The advantage of the discharge coil is that the electrical energy can be combined to the extraction coil and is simple. Disadvantages are high electrode dissolution and high yields, and thermal management issues. The laser beam and the t-ray are used to charge the battery, put the circuit drum, and pick up the plasma. This configuration allows the distance between the electrode and the focus of the plasma to be greater than the distance from a pure discharge power source. In this way, the power density of the electrode surface is reduced (due to the large surface area of the electrode surface). This also reduces electrode dissolution, generation of broken metals, and heat pipe risk. For its part, the main power wheel system is provided by a low-inductance discharge. Comparing pure laser plasma sources in this way, there is far more effective energy to close to electricity. The electrode configuration is more spherical than conventional extraction. This electrode configuration and the initiation of laser convergence improve the stability of the light source. The timing of pre-dissociation, generation of laser power, and generation of the main extraction plasma provide additional control over the optimal generation of EUV rays. This device mainly generates Euv light source for discharge, which has the additional effect caused by laser plasma support discharge. The electrodes can be connected to the same pulsed power supply system currently used (and in the future, for Qing machines.) (10 Joule to 20 Joule delivery, pulse energy, 30-nanometer pulse length, repetition rate of several kilohertz, sharp bee '* 20 voltage of several kilovolts, peak current of tens of thousands of amperes). The internal electrode can be charged t *. Positive or negative charge. The external electrode is at ground potential. As shown in Figure 4c, the electrode arrangement is slightly different from the DPF arrangement. There are differences. (Water-cooled) larger electrodes have larger electrode surfaces. About 30 to 50 square centimeters. There is an insulator disc between the electrodes to prevent direct line of sight. 36 200403905 There is a pre-dissociation means, such as through RF The pulse of the coil! ^ The pulsed laser beam (excimer laser or solid-state field laser) propagating freely on the axis is focused by the focus optical device to the center of the array to a focal point of about 100 microns in diameter. The laser can be KrF wideband excimer laser with pulse energy from 100 mJ to 5 200 mJ, pulse length of about 10 to I5 nanoseconds and several KHz repetition rates. There are also several laser beams focused on one Common point. The target gas xenon or a mixture of xenon and helium is inserted from the inside of the internal electrode and removed by vacuum pumping. Typical operating pressure is 1 to 0.01 Torr. Discharge can be operated at the left end of the Paschen curve If the internal electrode is charged by a negative 10 high voltage pulse, it can be assembled into a hollow cathode. First, the RF front ionization is triggered to easily decompose the low-density gas. Second, the laser beam arrives and generates a plasma with a clear boundary. The point is at the center of the array. The gas is decomposed close to the focus of the laser due to the pre-dissociation. Then the main discharge from the pulse compression circuit is applied. The extraction will develop on the axis at the laser 15 plasma point. Take. The position of the laser plasma point is taken to increase the stability of the position. (If the inductance at the center is too high, the laser beam must provide a discharge channel for the doughnut-shaped cone. It must be tested experimentally.) The electric shock front will encounter the radial compression front from the stronger main extraction electric paddle. After the development of the extraction plasma channel, the 20 through the extraction plasma will heat up When the body reaches a high free level, EUV rays will be emitted. The back propagation of the two plasma electric strikes will effectively extend the extraction time, thus extending the duration of the EUV emission. EUV rays are emitted in all directions. Rays can be collected by supplementary incident collection optics. The size of the laser water, the focal point size, and the laser plasma timing will determine the size of the main extraction plasma 37 200403905. The ray collector material emits evenly the rays generated at the ray points. Complete 4 plywood angles. 5 Some type of collection optics are required to capture such rays, and the rays are directed towards the lithography tool. There are several materials available, with a small complement of 13 5 nm UV light The corners have a radon reflectance. Several line graphs are shown in Figure UA. Good choices include molybdenum and rhodium in the range of 0 to about 20 degrees, and tungsten for very small complementary incident angles. The collector can be made of such materials, but a preferred material is a coating applied to a substrate structural material such as nickel. The conical section can be prepared on a movable mandrel by electroplating nickel. Conical nested sleeve collectors In order to produce collectors that can accept large cone angles, several tapered cross sections can be nested within each other. Each cone section uses more than one reflection of the ray to redirect its 15-line cone section to a predetermined direction. The collection operation is designed to be closest to the complementary incident, and a collector will be obtained, which can tolerate the deposition of the eroded electrode material most. The complementary incident reflectance of a mirror such as this mirror is strongly related to the surface roughness of the mirror. The dependence on the surface roughness decreases as the angle of incidence approaches the complementary incident. The applicant estimates that the applicant's device can collect and guide the emitted 20 丨 3 nm rays through a solid angle of at least 25 degrees. In another specific embodiment, the collector-director protects the surface from contamination of the vaporized electrode material by using a fragmented collector, and the fragmented collector collects the entire radon vapor before the crane vapor reaches the collector-guide 4. Figure 9 shows a conical nested sleeve collector 5 for collecting debris from the plasma extraction. Debris collector 5 packs of human nest 38 200403905 Set of cone A cross section, whose surface is aligned with the light that extends from the center of the picking position and is directed towards the collector-guide 4. 4-chip wood electrode collects tungsten gas and lithium gas from tungsten electrode. The debris is focused on the ray_guide 4 or a 5 dagger that forms the ray_guide 4. Both collectors include a nickel-plated substrate. The radiation collector-guide portion 4 is coated with molybdenum or germanium to obtain extremely high reflectance. It is preferred that the two collectors are heated to 400 ° C, the temperature is substantially higher than the melting point of lithium, and the vapors which are substantially lower than those of tungsten-dissolved anvil lithium and crane will be collected on the surface of the debris collector 5. However, lithium will be removed by gasification and will be gasified to the extent that lithium is collected in the collector-director 4 and will be removed by gasification within 10 minutes. Once the tungsten is collected on the debris collector 5, it will remain there permanently. Parabolic collector Figure 8C shows the optical characteristics of the collector designed by the applicant. The collector shown in Figure 8A includes a nested complementary incident parabolic mirror, but only three of five or 15 mirrors are shown in the figure. The two internal mirrors are not shown. In this design, the collection angle is about 0.4 spherical angle. As discussed later, the collector surface is coated and heated to prevent lithium deposition. This design produces a parallel beam. Other better designs will focus the beam. The collector is preferably coated with a material as described above, which is plotted in Fig. 11. This material has a high supplementary 20 incident reflectance in the 13.5 nm wavelength range. Ellipsoidal mirror Another collector-guide designed to focus the beam is shown in Figure 8B. This collector-guide uses an ellipsoidal mirror 30 to focus the EUV light source. This type of mirror is available from suppliers such as Reflex SVO. The factory is located in the Czech Republic with a total of 39 200403905, and is distributed by Bede Scientific Instruments to the United States. The office is located in the United Kingdom and Eaglewood County, Colorado. . The reader should note that this mirror can only collect rays shown in Figure 8B at an angle of 32. However, the inner side of the mirror 30 and the outer side of the mirror 30 may include other mirror elements to collect and focus the external focus rays. The reader should also note that other mirror elements can be positioned downstream of the mirror 30 to collect narrow-angle rays, or positioned upstream of the mirror 30 to collect wide-angle rays. Interfacing with a Diffuser Mirror Figure 19 shows a better collector-guide design to greatly improve the guv beam profile. This is a connected ellipsoidal mirror unit, which collects and guides the EUV rays generated by the electrocondensation. For most lithography applications, the target area must be uniformly exposed. The single or nested ellipsoidal mirror shown in Figure 2A is used to collect and refocus EUV rays' to produce an extremely uniform ray circle upstream and downstream of the focus 11 shown in Figure 2A. This is a natural result of the ellipsoidal collector geometry Effect. The stereoscopic angle of the source ray per unit surface area of the mirror collection 15 is greater than the angle collected on the back of the mirror. This effect can be reversed by using the second ellipsoidal mirror 44 shown in Fig. 19 to engage the first mirror 42. (In this embodiment, a single ellipsoidal mirror is used instead of the second nested ellipsoidal mirror). The second ellipsoidal mirror 44 is a mirror image of the first ellipsoidal mirror 42 with the second focal point of the first mirror as the center. In this way, the 21st ellipsoidal mirror is placed on the same axis of the first ellipsoidal mirror, and its first focus is positioned at the second focus of the first mirror. In this case of ellipsoidal mirror, the ray leaving the second focus of the second mirror is a ring, but the ray inside the ring is uniform. Now the exposure uniformity becomes a function of the surface data of the ellipsoidal mirror, and the #ellipsoidal mirror uniquely function. 40 The optical characteristics of the connecting ellipsoidal mirror were analyzed by the applicant using the ray tracing code TracePro (supplied by Riddenton, Mass.). EUV rays from DPF sources are non-coherent. The resulting ray tracing code can be used to determine the nature of the rays collected and the nature of the rays leaving the adapter. Ευν rays require special reflective surfaces such as those made of molybdenum or ruthenium. This analysis is based on the assumption that the mirror has a perfect ellipsoidal mirror, and the rays are not polarized during reflection. The specular surface is assumed to be pure ruthenium reflecting at 13.5 nm. The light source is a 50 micron diameter disk, and the rays are emitted isotropically from each point on the surface of the light source. This assumption does not hinder the basic nature of the adapter to produce a uniform circular exposure area. The geometry of the connecting ellipsoidal mirror is illustrated in Figure 19. Both mirrors have the same parameters. The short radius is 40 mm and the focal length is 150 mm. The lengths of the mirrors are each 100 mm and are cut through the short diameter. The figure also shows a few random rays collected by the first mirror. At the first focus of the first mirror, the portion of the ray leaving the plasma extraction source 46 is collected and again focused on the second focus η of the first mirror. Rays away from the focal point 11 are collected by a second ellipsoidal mirror at a distance of 46 300 mm from the light source, and at a distance of 300 mm from the focal point, focus again on the second focal point 48 of the second mirror. A light source image is generated at the focal point 48. When the ray leaves the focal point 48, the ray diverges to a detector 50 located 9 9 mm from the focal point, resulting in a circular exposure. The strength of this annular region is uniform, as shown in the Trispolo technique of Figure 19. The uniformity of the main ring zone is in the range of 25% of the average person. The simulation of the beam side mapping performed by the applicant at the detector 50 is shown in Fig. 19, which is compared with the similar 200403905-like simulation of the beam cross section made 9 mm downstream of the focus 11. The cross section of the two-side drawing is compared in FIG. 19, the cross section of the detector 50 is not shown at 52 and the cross-section of the beam side shown in FIG. Manufacturing Manufacturing techniques for ellipsoidal mirrors have improved over the past decades. The surface quality of the ellipsoidal 5 mirror can meet the requirements for surface data, surface roughness, and reflective surface materials used in the EUV area. Four materials have been identified as candidates for EUV spherical mirror surface materials: molybdenum, ruthenium, osmium, and palladium. These materials have considerable complementary incident reflectance at 13.5 pm. The supplemental incident reflectance must be maintained at a relatively high angle, allowing the mirror to collect a reasonable solid angle of 10 from the light source inward. Theoretically, ruthenium has the highest collection efficiency among the four listed materials. The mirror system is manufactured through a series of methods. First, a mandrel is manufactured, which has data on the outside of a predetermined mirror. Typically, the mandrel is made smaller using an inscription, and then nickel electroless nickel with 15% phosphorus is used to make the mandrel too large. 15 Nickel-free ore is about 0.5 mm thick. The entire surface is cut by diamond to the mirror data expected by the supplier. The supplier, such as Corning Netoptic, has an office in Mapolo, Mass. This typically left about 0.01 mm of nickel on the surface of the mandrel. Although this diamond cutting technology is excellent, the surface is still insufficient for EUV mirrors at this stage. Diamond honing is accurate enough for data 20 requirements, including ellipsoidal front-to-back deviation and surface roundness are accurate, but slightly rough. Diamond scaled surfaces are polished to reduce micro-roughness to less than 0.5 nm RMS. The high phosphorus content of electroless nickel results in nickel surface hardness, which requires high polishing. After the electroless nickel surface is properly polished and the surface data meets the specifications, the reflective surface material is coated on the surface of the mandrel. Exact 42 200403905 The coating process is determined by the nature of the reflective material to be added to the surface. After the reflective coating was placed on the mandrel, nickel was electroformed on this surface to a thickness of about 0.5¾ m. Apply force along the mandrel between the mandrel and the electroformed nickel to remove the electroformed nickel from the mandrel. The reflecting surface is left on the electroformed nickel housing to form a mirror when the sliding 5 leaves the nickel surface of the mandrel. A highly polished, electroless nickel surface with a high phosphorus content is a natural release agent for reflective surfaces. After the mirror has been removed from the mandrel and the mandrel has been re-polished, the mandrel can be used to make more mirrors that are exact copies of the first mirror. Calibration 10 The positioning of the mirror relative to the light source and the positioning of the mirrors relative to each other are important for the proper functioning of the ellipsoidal mirror. Calibration can be done on the optical table, and the light source is set at the same position as the DPF EUV light source. The optical properties of these ellipsoidal mirrors must be used. If the detector plane is set perpendicular to the optical axis of the second focal point, a small light source (50 μm diameter (example)) can be set to a first focal point close to 15 ellipsoids. If the detector is in the second focus, the image will be centered and symmetrical. After determining the axial position of the second focus, the detector array can be moved away from the focus. The image is now symmetrical if the light source is above the mirror axis. This requires positioning the light source in two space sizes. The axial position of the first focus is determined by moving the detector to the second focus, and then moving the light source along the mirror axis until the detector obtains the maximum signal at the center of the image. This procedure is repeated for the second mirror. After the second mirror has been calibrated, the entire assembly must be moved to the DPF. The fixture must be properly bolted to set the EUV light source at the first focus of the first mirror. The positioning accuracy is at least 25% of the effective diameter of the DPF EUV light source. The current estimate of the diameter of the DPF light source is 80 microns (must be followed by 43. Axial direction estimates). In this way, it is expected that the calibration accuracy in the vertical machine axis plane is. The axial calibration of the meter adapter is not particularly limited, and it is expected to be about 0.5 mm. The lithography projection optical device is a preferred embodiment of the Euv projection system, which is designed to map the incident aperture of the two-shot optical device at the point where the light source is mapped, and map the far field intensity of the light source (that is, the moon dagger * relative to the angle) to Graticules. This kind of design is needed because the uniformity of the incident diaphragm is important but not critical, and the average of the reticle plane = X is critical. This design concept explores the fact that the luminescence is i U isotropic ′ and therefore the luminous intensity is uniform with respect to the angle. The two-mirror concept can be # uniform intensity with respect to the angle property (at least less than the circle of the mirror capture angle). The EUV illuminator presents a factory whose intensity is relative to the angle, such as a garment, which is broken into fragments or arcs, and the arc is superimposed on the reticle. In this way, V improves the uniformity and can be implemented in the EUV system, because the EUV system is a scanner first, so it only needs to be illuminated in the gap area. Debris Relief-The intermediate focal point 11 and the final focal point 48 between the mirrors isolate the DPF light source area from the shadow exposure area. At this point, EUV rays can pass through the pinholes, pinholes blocking any source debris or active gas (the 'tongue gas' penetrating into the area of the first ellipsoid mirror unit) from reaching the exposure chamber, but will not restrict the EUV rays from reaching Exposure to. In addition, small pinholes allow the exposure chamber to have a much lower pressure than required for DPF operation. ~ Hybrid collection Based on currently available mirror technology, there are only two types of mirrors. 44 200403905 provides reflection values above 0.7 or 0.7 for such 12-14 nm EUV light. As shown in Figure 11A, a few materials provide good complementary corner mirrors. For example, the reflection of smooth molybdenum surface is about 90% complement angle less than 10 degrees, but the reflection of molybdenum drops rapidly at 15 degrees complement angle to 25 degrees below 10%. On the other hand, the special multilayer 5 reflector is designed to provide a reflectance in the normal incidence angle range of 60% to 70%, but the reflectivity of the multilayer reflector still maintains a high reflectance, which is only 5-8 Degrees to less than about 10% when the angle of incidence is greater than about 10 to 15 degrees. Other multilayer mirrors can be designed to have a reflectance of about 30% over a wide range up to about 20 degrees near the normal. Using these currently available mirror technologies, the applicant has developed a variety of collector designs to obtain maximum collected light. Three of these designs are shown in Figures 11B, 11D and 11E. The applicant refers to such a collector as a hybrid collector because it uses multiple collection techniques. For example, the prior art includes a nested ellipsoidal mirror and nested complementary angle through a parabolic mirror including a double rebound parabolic mirror. Most multilayer mirrors are designed with a single rebound close to the normal parabolic 15-parallel design. Figure 11B is a partial cross-sectional view of the hybrid collector. The hybrid collector utilizes two ruthenium-coated ellipsoidal mirrors 80 and 81 and two double-rebound ruthenium-coated parabolic mirrors 82 and 83 to provide a focal length of 1500 mm. Fig. 11C shows the reflection efficiency of the mirror at a light incident angle of about 10 to 55 degrees. This design collects significantly more light than the ellipsoidal design of the prior art or the parabolic design of the prior art. Approximately 25% of the emitted light from the 20 applicants was collected, and 79% of the collected light was delivered to a 15,000 mm intermediate focus. This is equivalent to a 20% collection efficiency estimate. Fig. 11D shows a modified version of the collector of Fig. 11B, in which an extra parabolic double mirror 84 and a parabolic triple mirror 85 are used to increase the net energy collected to about 28%. 45 200403905 The third hybrid version of Figure 11E is also a modified version of the collector of Figure 11B. In addition to the two ellipsoidal mirrors and two rebound parabolic mirrors, the applicant adds a third second rebound parabolic mirror 86 and a The complementary angle curve ray tracing mirror 87 and a multilayer parabolic mirror 88 can reflect the angle between the normal and the normal to about 95 degrees to improve the collection efficiency from about 20 ° / ° to about 25%. In another specific embodiment, the plurality of laser beams can be focused to a common center focus through corresponding openings of the electrodes. The main discharge is along the laser channel and converges on the central plasma. Debris Shield 10 Manufacturing Techniques for Debris Shields As explained earlier, the detritus shield is an important element for virtually all EUV light sources currently considered. A perfect debris shield does not capture all debris and transmits all compliant band rays. Debris shields may also have a limited useful life and are therefore not difficult to manufacture. The preferred manufacturing techniques for the three debris shields 15 are shown in Figures 28A-B, 29A-C, and 30A_C. As for the technique shown in Figs. 26A and B, a movable skin-shaped pyramid is manufactured. As shown in Fig. 26A, the small end of this type is inserted into a grid structure, such as the structure shown in Fig. 26A. A baffle with fins matching one hole at the large end of each pyramid is placed above the larger end of the pyramid to separate each pyramid to the thickness of the grille. The thickness of the thumb is about 0.01 to 0.1 mm or less. . The grid partitions provide a narrow space between the pyramids, which is filled with liquid metal or liquid ceramic. When the metal or ceramic is hardened, the mold is removed to form a debris shield. As for the technique of Figs. 5A-C, a hollow cone such as Fig. 5B is shown in Fig. 76, and the hollow cone is shown in Fig. 5A.

46 ο.1毫米的金屬n炫接製成。空中圓錐 5c圖顯示於78)而形成碎屬屏蔽件。 金屬模型(第 如第7A_⑽卿,較佳碎料蔽件可 成。各薄片有其本身的徑向格栅金工,格柵溥片製 外愈長愈大,故多片薄片堆疊時,產生如第麵:工的圖案向 預定形狀。 座生女弟7、C圖所示的 10 層合辦法之優點為通道之非均勻表 蜒路徑’有多個旋渴會讓粒狀物被捕捉於^^物的婉 ^為屏蔽件總成可由多種㈣製成。證實 2 =熟光源’或〜―銅之材料: 磁性抑制 、另一項提高此種卿絲之碎料蔽件效果技術係施 加磁場於碎屑屏蔽區以及撮取於屏蔽中間區域。磁場較佳 15垂直EUV光束軸導向,故#磁場趨近於且進人碎屑屏蔽件 時’磁場強迫帶電粒子形成彎曲彈道。為了提升碎屑屏蔽 件的效果,碎屬可於脈衝後進一步游離。脈衝後游離可使 用前置游離的相同元件進行,或類似游離元件可用於撮取 後游離。 2〇 另一具體實施例中,大直徑線圈(直徑大於集極鏡直徑) 可於鏡及電漿源同軸架設。通常可施加高電流至線圈來於 軸向誘生高磁場。較佳電流可加脈衝(脈衝寬度約數十微秒) 來達成咼感應場強度(約為10特斯拉(Tesla))。丨亙定磁場且較 佳為高傳導線圈也可用來產生高磁場。如此足夠反射大部 47 200403905 分激活離子至彎曲路徑,讓激活離子錯開集極鏡。高磁場 將導致電漿源容積略為伸長,但此種伸長可忍受。線圈必 須安裝在某種承載結構上。可將線圈安裝於真空室内側或 外側。 5 帶電粒子於磁場之曲率半徑係由移動方程式主宰· F=q(vxB) 由此導出質量Μ加速至電壓v的離子之磁剛性印*幻表 示為: B*R=144(M*V)° 5 1〇 應用此種情況,想要偏轉帶單一電荷Xe離子(質量132) 加速至1000伏特,獲得剛性: B*R=i44(132*l〇〇〇)° 5(G-cm)=52,318 G-cm 因此若欲讓離子於半徑1〇厘米的電路軌道上移動,則 要求磁場為52,318 G-厘米/10厘米,等於約5232高斯。 通木為了偏轉有不同質量及能量的離子,需要較強或 較弱的磁場。磁場的組態可經調整來對EUV之各種選項獲 得最佳屏蔽能力,調整方式係將線圈繞線成為各種組態、 或使用線圈與永久磁鐵的組合來達成預定的磁場情況。用 於此等磁場,線圈可置於真空容器外部或内部。產生指定 磁%所需驅動線圈的電流容易求出。 蜂巢式碎屑屏蔽件 第9A、9B及9C圖顯示特殊較佳具體實施例範例,其利 用錐形由粉末成形的蜂巢體作為碎屑集極,帶有橢圓體射 ’木極。碎屑集極較佳係使用美國專利第6,299,958號所述 48 200403905 5 1546 ο.1mm metal n dazzle. The air cone 5c is shown in Figure 78) to form a broken shield. Metal model (Such as 7A_⑽Qing, the preferred shredder can be formed. Each slice has its own radial grid metalwork, the grid cymbals grow longer and larger, so when multiple sheets are stacked, the The first surface: the pattern of the work is directed to the predetermined shape. The advantage of the 10-layer method shown in Figure 7 and Figure C is the non-uniform surface path of the channel. The material of the shield assembly can be made of a variety of materials. It is confirmed that 2 = cooked light source or copper material: magnetic suppression, another technique to improve the effect of this kind of shredded shroud is to apply a magnetic field. In the debris shielding area and in the middle area of the shielding. The magnetic field is preferably oriented 15 perpendicular to the EUV beam axis, so when the magnetic field approaches and enters the debris shield, the magnetic field forces the charged particles to form a curved trajectory. In order to enhance the debris The effect of the shield can be further dissociated after the pulse. The dissociation after the pulse can be performed using the same element as the dissociation before the pulse, or a similar dissociation element can be used to dissociate after the extraction. 2 In another embodiment, the large diameter coil (The diameter is larger than the diameter of the collector lens Can be coaxially mounted on the mirror and plasma source. Usually high current can be applied to the coil to induce a high magnetic field in the axial direction. The preferred current can be pulsed (pulse width is about tens of microseconds) to achieve the intensity of the induced field (about 10 Tesla). The fixed magnetic field and preferably a high-conducting coil can also be used to generate a high magnetic field. This is enough to reflect most of the 2004 200403905 points of the active ions into a curved path, allowing the active ions to stagger the collector lens. High The magnetic field will cause the volume of the plasma source to slightly expand, but this extension can be tolerated. The coil must be installed on a certain load-bearing structure. The coil can be installed inside or outside the vacuum chamber. 5 The radius of curvature of the charged particles in the magnetic field is determined by the equation of movement Dominate · F = q (vxB) From this, derive the magnetic rigidity of the ions whose mass M accelerates to voltage v. The magic expression is: B * R = 144 (M * V) ° 5 1〇 In this case, you want to deflect Xe ion with a single charge (mass 132) is accelerated to 1000 volts to obtain rigidity: B * R = i44 (132 * 100) ° 5 (G-cm) = 52,318 G-cm Therefore, if you want to make the ions within a radius of 1 〇cm circuit track, requires a magnetic field of 52,318 G-cm / 10 The centimeter is equal to about 5232 Gauss. In order to deflect ions with different mass and energy, Tongmu needs a stronger or weaker magnetic field. The configuration of the magnetic field can be adjusted to obtain the best shielding ability for various options of EUV. The adjustment method is The coil is wound into various configurations, or a combination of coil and permanent magnet is used to achieve a predetermined magnetic field condition. For these magnetic fields, the coil can be placed outside or inside a vacuum container. The current required to drive the coil to generate a specified magnetic% Figures 9A, 9B, and 9C of the honeycomb chip shield show examples of a particularly preferred embodiment, which uses a honeycomb body formed by a cone as a chip collector with an ellipsoidal beam . Debris collection is most preferably described in US Patent No. 6,299,958 48 200403905 5 15

•之-製造’該案以引用方式併人此處。碎屬屏蔽件係 序製造’其中由塑化粉末分批材料成形的前驅 蜂巢體被填動目容性歸填婦料,_將已經經過填 =的蜂巢體通過錐形模型成形。此項處理強迫填充材料與 半巢體結構收縮。現在變成錐形的結構體由模型移出,藉 例如炼化等處理而去除填充材料。_現在變成錐形的^ 巢體例如藉燒結而硬化。第9A圖為三度空間切除略圖顯 示撮取區100、蜂巢碎屬屏蔽件102以及橢球形射線集極-導 向器HM部分。第_顯示第9A圖的元件連同來自撮取區 00之四射線射線執跡1〇6Α、B、匚及〇之剖面圖。第9C圖 顯示額外橢球元件如何被巢套來聚焦更多光。較佳9或1〇個 元件被巢套於外側的橢球元件内侧。粉末、黏結劑材料以 及填充材料係選自專利案第6,299,958號列舉的材料。材料 的選擇須了解碎屑屏蔽件必須可忍受極端紫外光射線條 件。較佳選擇為粉末以及其它選用來製造菫青石的材料包 含矽、錳及鋁。• 之-制造 ’The case is hereby incorporated by reference. Sequential manufacturing of shields is a method in which the precursor honeycomb body formed from plasticized powder batch materials is filled with capacitively filled materials, and the honeycomb body that has been filled is formed by a cone model. This treatment forces the shrinkage of the filling material and the half-nested structure. The structure that is now tapered is removed from the model and the filler material is removed by processing such as refining. _ Nests that are now tapered are hardened, for example, by sintering. Fig. 9A is a three-dimensional spatial cut-away view showing the extraction area 100, the honeycomb broken shield 102, and the ellipsoid ray collector-director HM portion. Figure _ shows the element of Figure 9A along with a cross-sectional view of the four-ray ray traces 106A, B, 匚, and 0 from the extraction area 00. Figure 9C shows how additional ellipsoidal elements are nested to focus more light. Preferably 9 or 10 elements are nested inside the outer ellipsoidal element. The powder, the binder material, and the filler are selected from the materials listed in Patent No. 6,299,958. The choice of material must be understood that the debris shield must be able to withstand extreme UV-ray conditions. The preferred choice is powder and other materials selected for the production of ocherite include silicon, manganese and aluminum.

活性材料與緩衝氣體 活性材料與緩衝氣體的選擇 若干活性材料及緩衝氣體可用於產生波長約13.2奈米 20至13.8奈米範圍之EUV光。較佳活性材料為氙、錫或鋰。 二種活性材料於前文討論於標題名稱「12-14奈米EUV光 源」乙節。銦、編及銀也是可能的候選者。或使用前述材 料之一作為活性材料,而非使用貴氣,則例如氦、氖或氬 可用作為緩衝氣體。氮氣或氫氣可添加至可能的緩衝氣體 49 選早當中,特別若使用氤作為活性材料時可使用氮氣或氳 氣作為緩衝氣體。大部分具體實施例中,活性材料(其為金 屬)係呈蒸氣添加至放電室,但也可呈液體或㈣添加,可 呈溶液或粉末形式添加。 5 由於活性材料可提供於13.2至13.8奈米期望範圍的發 光光線,故全部此等活性材料皆可選用,如前文說明,原 因在於可取得對此種範圍的紫外光有相對良好性質的反射 光學裝置。若當良好光學元件可利用於比此種範圍更低或 : 更高的其它波長範圍時,可搜尋週期表以及對應發射光線 φ 10參考文獻尋找其它替代材料。此外緩衝氣體不僅限於前述 、 氣體。 經由陽極注入 第18A圖顯示本發明之較佳具體實施例之特色,其中本 例之活性氣體為氣(混合1份及M份氦)經陽極注入。緩衝氣 15體(本例為1〇〇%氧)注入於集極-導向器8下游區_。碎屬 集極6包括巢套錐形區段,提供窄通道與由撮取區中心至集 極_導向器8延伸之射線排齊。此種通道允許約85%被導㈣ # 向集極-導向器8之光子通過,但實質延遲於撮取區產生的 碎屑通過,光子遵循的路徑遠t_v光更隨機。氣體^ ·. 空室腿由埠口 Μ藉每秒40升的真空幫浦排氣。因此來自 氣體饋送源I2之緩衝氣流通過碎屑集極6的狹窄通道因此 延遲來自撮取的碎屑通過,也延遲來自撮取區的氣活性氣 體流入真空室10區。因此,實質全部來自撮取區的碎屑以 及經由埠口24注入的活性氣體皆經由埠口U排氣,或塗覆 50 4屑π極表面、或碎屑集極上游的容器内側壁。如此避 域極-導向器8被來自撮取的碎屑污染,且減少光束被氣 氣所衰減’原因在於緩衝氣體流經碎屑集極6的狹窄通道, 避免有大量氙進入碎屑集極6下游區。 雙向氣流 第18Β圖顯示本發明具體實施例之特色,其中使用雙向 氧流來允許經控制濃度之活性氣體接近撮取區,而於卿 光束路徑下游部的活性氣體濃度極小。此種情況下,活性 氣體被導引通過陽極18Α中心,第圖顯示於^。本較佳 ’、體貝施例中’被導人的氣體為氤與氦之丨化至剛5混合 物。如河述具體實施例氦也是於12被導入。由二來源導入 的氣體於14使用前述類型的真空幫浦排氣。氣體的流動係 控制為可於撮取區產生約〇75托耳壓力,於集極-導向器區 ^生、力1托耳壓力,故來自集極_導向器區的氣流遠比來自 掇取區的氣流更大。 活性氣體之上游注入 20 第18C圖顯示控制碎屬及活性氣體,將膽被活性氣體 =吸收減至最低之另—種較佳技術。撮取區之氣體壓力約 ^托耳本八體只知例中,於真空室10内流動的氣流係 配置成輔助防止來自撮取區的碎制達集極導向器單元8 ^域,且減少超出環繞撮取區中間容積區域之活性氣體 置。活性氣體量例如為_由噴嘴2以約5 SCCM之速率注 4取區上游約3厘米位置’幾乎全部H送速度50升/秒 沿其軸通過沿著電極18A之排氣σ3而排放。排氣流係由直 51 200403905 空幫浦提供,真空幫浦例如鼓風機背後接著阿奈特伊哇塔 (Anect Iwata)ISP_5〇〇捲動幫浦,得自加拿大協同真空公 司。如此提供每秒40升的泵送速度。氙係經由沿碎屑捕集 器6中區的氣體管4而饋至喷嘴2。碎屑捕集器6包含巢套錐 5形截面於6A,其表面與由撮取位置中心伸出且朝向集極_ 導向器8導向的光線校準。巢套錐形截面提供撮取產生之 EUV光子被導引朝向集極_導向器8之無障礙通路。通路狹 窄,長約10厘米。 碎屑集極6(藉冷凝)收集由鎢電極1 § a氣化的嫣(若活 10性氣體為鋰蒸氣,則鋰蒸氣將冷凝於碎屑集極6表面)。 緩衝氣體(本具體實施例緩衝氣體為氦)注入集極_導向 器8下游,如圖顯示於12位置,大部分緩衝氣體係藉前文說 明之該型真空幫浦(圖中未顯示)而經排氣口 14由真空室⑺ 排放。約90%氦氣流於朝向撮取區方向流經集極_導向器8, 15全部緩衝氣體皆通過巢套錐形截面區6A。如前例所述,此 種氣體流有助於防止撮取區產生的碎屑到達集極_導向器 8,也可減少光徑上由集極_導向器8收集且導向而產生輸出 EUV光束之活性氣體量。此等特點相當重要,原因在於任 何累積於碎屑集極6上的碎屑皆降低反射率,於EUV光束路 2〇 徑上的活性氣體將會衰減光束。 經埠口 3排放的氣體較佳經過濾波且排放至大氣。經埠 口 14排放的氣體也排放至大氣,而不會造成過量氣體成 本,此種系統的總乱氣流置只約每小時1 6克。另外,氦及/ 或活性氣體可被分離及再循環。 52 5 鍾作為活性氣體 10 '札uw關取能轉成於預定波長範圍的有 =光。鐘於室溫為固體,於靴至mrc之溫度間為液 體。有多種方法可供將轉氣導人放電區及撮取區。鐘可 破加熱至其蒸氣溫度,且呈蒸氣而導人。链可呈固體或液 體導入’错放電或撮取而氣化,或鐘可以其它能量形式氣 例如高功率雷射脈衝氣化,或藉某種其它的加熱形式例 如電阻加熱元件、放電或射頻加熱而氣化。鋰也可呈化合 物例如Li2〇、LiH、Li〇H、Lie卜 Li2C〇3、LiF、CH3或其 於水或其它液體之溶液形式而導入。 15 20 鋰也可利用雷射誘生氣化或消钱而被輸送至撮取區。 鋰金屬目標30如第18D圖所示,附著於安裝於碎層集極中盤 的固定架。—較佳實施例中,W準分子雷射32產生波長 奈米之脈衝雷射束以及每脈衝1〇〇毫焦耳至2⑼毫焦耳能 量’有效_長度5G奈秒通過安裝於陽極上游端之窗 光通過中空陽極,安裝於真^室外部的透鏡36而聚焦 成直徑約1宅米的焦點。此種雷射強度及焦點大小足夠加熱 鋰金屬’溫度的升高係由氣化潛齡控。所需臨限值功率 密度約為5xlG7瓦/平方厘米。於較低功率時,财於指定溫 度由蒸氣壓掌控的速率而氣化。 另具體貫施例中,第18A圖所示中心電極的中區填裝 裡金屬i 口第I7圖之38所示,雷射束通過碎屑屏蔽件8中 心,如第17圖於40所示。 另一項輸送鋰至撮取區的技術,為附著鋰金屬至鎢 53 A /、又安袭於含有永久磁鐵之殼體。此種配置係安裝於 Λ肖:極之、、e緣軸。鋰金屬進—步覆蓋嫣光罩來只有曝光 J m射頻產生的電漿係於鋰目標前方利用於⑽ ,·[至2·45 GHz頻率操作的射頻產生器產生。放電可以脈 衝拉式或CW核式知作。於脈衝模式,放電將與電漿撮取同 步化。5000瓦的射頻功率通常即足。 ^產生的電漿係由緩衝氣體通常為氦氣組成。氛離子係 藉&加負偏壓至鐘目標而由電漿提取。5〇〇伏特至2〇〇〇伏特 之偏壓即足。He+離子碰撞鋰,由表面麵縣子。舰產 率超過所述偏壓能對正常入射而言為約〇·2至〇·3。對補餘入 射以及高溫鋰預期可獲得顯著較高產率。 前置游離的改良 DPF可使用多項不同技術前置游離,各技術對£11¥的 輸出有有利效果。原先用於西莫DPF的技術係基於驅動一 15組安裝於裝置外電極的火星塞型接腳138,如第2Α(2)圖所 不。接腳係藉高電壓脈衝例WRF模擬器或藉6〇〇〇系列整流 裔的單極性輸出驅動。使用!^模擬器或整流器引發崩潰所 需電壓為+/-20千伏特。申請人也證實前置游離來源可位在 陰極遠端,但未在主真空容器内部。此乃線圈天線。申請 20 人也成功地使用筆直天線來進行前置游離。 此型天線可為線型或成形為螺旋線圈型。天線可藉射 頻模擬器輸送於13千赫茲的高電壓脈衝經歷2微秒而驅 動,整流器輸送正或負極性脈衝而驅動,或藉射頻放大器 而驅動。發明人證實可支援10千赫茲脈衝重複率。外部前 54 置游離(位在陽極/陰極區外側天線)為負極性深電漿焦I占前 置游離所需模式。使用正極性DPF,使用前文第丨圖所禾「内 4」天線可達成略為較佳的前置游離。 第32圖顯示前置游離脈衝須相對於dpf主脈衝調整來 達成最理想效果。若前置游離為過早(如92所示)或過遲(例 如顯示於93),則深電漿焦點的效率受不利影響。 前置游離注入氣體 申請人發現於介穩態的氣體比穩定氣體更容易前置游 離。氣體可經由於注入放電腔室前游離而進入介穩態。第 2A(4)圖及18A-E圖顯示氣體注入技術。各例中,注入的氣 體可藉高電壓放電(例如15千伏特脈衝,持續時間數奈秒) 或藉射頻前置游離而進入介穩態。介穩態持續約5〇毫秒時 間,因此使用氣體流速約丨米/秒,若游離放電距離撮取放 電原點上游約5厘米,則將會形成大量介穩原子。 ▲氣為活性氣體時’另一項有用技術係安裝射頻線圈 套住氙進入放電區的進氣口。申請人提示2百萬赫茲至2.5 百萬赫茲之射頻頻率可造成氙氣體於進氣管分解。另外, 可使用於氙進氣管之高電壓脈衝放電。較佳具體實施例 中,靶加磁場導引如此產生的氙離子至引發撮取放電的特 定位置。 噴嘴輔助前置游離 申睛人***裝置產生EUV光的最佳壓力約為1〇〇毫 托耳或以下。此種放電壓力位在巴斯肯崩潰曲線 左端’而要極高電壓來崩潰而產生游離。較高壓時游離遠 200403905 較容易。符合前節所述技術之解決之道係於用來將緩衝氣 體或活性氣體注入放電室的喷嘴產生前置游離。於注入管 產生離子之技術討論如前。另一項技術係由腔室内側導引 游離射線至注入喷嘴,如第31圖所示。此種射線較佳放電 5 而產生紫外光或X光。 氫氣作為緩衝氣體 申凊人發現原型機的EUV光學裝置受到碳沉積污染。 厚度1奈米的碳可造成多層光學裝置之約丨%相對反射比損 失及以上(補餘入射光學裝置損失高達約1〇%)。一項已知技 10 術係添加氧至緩衝氣體,與碳反應而產生一氧化碳及二氧 化碳。但氧也可與產生氧化物的光學裝置反應,造成光學 裴置的劣化。 申請人提示添加氫氣至緩衝氣體較佳約2〇%至5〇%。氫 氣不會於13.5奈米吸收,氫氣姓刻碳,氯氣也與氧反應。 15此外氫可只有短時間定期添加作為維修計劃的一部分來清 潔光學裝置,而在光學裝置清潔之後去除氩。 最佳化技術 最佳化電容 申請人發現電漿撮取事件與來自軸電容器排組的電 20流流動尖峰同時發生時,存在有最高電聚溫度。對指定陽 極組怨及缓衝氣體密度而言,電漿鋒將對指定量充電電壓 ^指定時間前進通過陽極長度。經由調整電容值及充電電 壓,讓尖峰電容器電流存在於電聚撮取事件期,可獲得最 大發光效率。 200403905 若需要較高輸入能量位準,如此需要較高充電電壓, 則須降低驅動電容,讓驅動波形的時序沿陽極長度匹配電 漿向下前進時間。因儲存於電容器的能量隨電壓平方比例 變大而Ik電谷成線性比例增大,故當隨電壓的增高成比例 5 地下降電容時’儲存能量將隨著電壓而線性增高。 第13圖為略圖顯示較佳具體實施例之測量得之驅動電 容電壓、測量得之陽極電壓及EUV強度相對於時間,電容 經過適當選擇而於撮取期間產生最大電容器電流。此種情 況下,對長2厘米之陽極而言,氦氣緩衝氣體壓力為2·5托 10耳及Q電容為3微法拉第。 中心電極之最佳形狀 發明人使用中空陽極配置發現一旦形成撮取,電漿的 撮取沿軸線方向快速增長,且將延伸至中空陽極開口。隨 著撮取長度的延長,最終延其長度有過多電壓降,跨陽極 15表面發生飛孤。防止飛弧之解決辦法係使用發射屏,對由 陽極延伸的撮取長度的延長提供實體阻隔壁,說明如前。 另項解決辦法係減低撮取長度生長入中空陽極的速率, 增加陽極窄區開口直徑,如第14C及圖所示。顯示撮 取長度的生長以及防止飛弧。所有先前參考文獻皆顯示中 二陽極有悝定尺寸中空部。第14A、14B、14C及14D圖顯示 各種中空陽極形狀之撮取形狀範例。第14D圖所示組態顯示 最短撮取形狀。 中心電極之暴露長度 因電聚耗盡時間決定驅動電壓波形出現撮取位置,故 57 200403905 申5青人可纟工由改㈣辆極量以及電漿耗盡時間*調整電 聚聚焦裝置撮取部的持續時間。緩衝氣體密度係藉預定電 聚撮取直徑決定,實際上驅動電容係限於某種範圍。兩種 參數組合驅動電壓來決定預定耗盡時間。然後耗盡時間可 5藉增減曝光陽極量來調整。較佳耗盡時間的選擇,讓電聚 撮取事件係出現於驅動電流波形尖峰。若需要較長的電漿 撮取時間,則可縮短陽極曝光長度,因此縮短耗盡時間, 造成電漿撮取出現於驅動波形的較為早期。 射頻功率蒸氣之產生 0 Θ文#明之金屬蒸氣輸送架構係依據充分提高陽極溫 度,讓金屬蒸氣壓力達到預定程度決定。此種溫度對經而 言係於1000tM30(TC之範圍,對錫而言為2,26〇<t。 替代之迢係由一種材料製造射頻天線,例如多孔鎢使 1 ^鋰浸潤的材料。此種多孔的轉充嫣天線5()置於陽極内 邛,如第15圖所示。射頻電源52形成電漿層於天線上且接 近天線,將驅離藉氣流54流經中空陽極中心而被掃除的離 子’鋰離子被攜帶至陽極末端。金屬離子製造速率容易藉 射頻源功率位準控制。此外多孔鎢陽極可使用此種射頻驅 動維持於足夠讓液體金屬由置於陽極底部的貯器56向上芯 20吸的溫度。 電極冷卻 中心電極的冷卻 本發明之較佳具體實施例中’中心陽極之外徑約為〇5 厘米至1.25厘米。中心電極由於放電時的電聚下降以及由 58 200403905 ,:電漿撮取的射線吸收,故中心電極吸收相當能量。 ? 或以上的冷部。因氣體壓力極低,故無法經 β =衝=體的·而有大量冷卻。射線冷卻只有在極高陽 。凰度才才有政。順著陽極長度的傳導需要極大的溫度下 5 降。 熱管 右鋰…、氣用作為活性氣體,且經陽極中心注入,則陽 y:度必^、、隹持於i,000。。至uoot:或以上之範圍。此種高 ^木作κ貝要求去除熱、封包考量以及高電壓限制的冷 =技術的4擇’有—項技術亦即料或其它驗金屬換管可 、才分簡單且強而有力的解決之道。裡熱管於約l〇〇〇°C 酿度有效開始操作。此種裝置之特定設計典型係使用耐火 金屬亦即1目及㈣為外殼以及内芯,S此可於極高溫操作。 最簡單具體實施例係呈管形或環形熱管,該熱管與 15 DPF陽極整合_體而獲得最佳的熱搞合。類似具體實施例 為環形,讓液態鋰或氣化鋰輸送至DpF電漿。舉例言之, 〇·5吋直徑貫心熱管去除15千瓦,具有功率密度乃千瓦/平方 吋(11·8千瓦/平方厘米)。具有外徑1〇吋及内徑〇·5吋之環形 熱官,去除15千瓦熱,具有功率密度25.4千瓦/平方吋(3.9 20千瓦/平方厘米此等實施例證實此項技術的展望,原因在 於使用鋰熱管驗證遠超過15千瓦/平方厘米之功率密度。操 作時,熱官沿其長度只有極小的溫度梯度,可被視為供實 際應用時沿縱向方向為恆溫。故熱管的「冷端」(冷凝器端) 也於或咼於1000°C溫度。為了由熱管之冷凝器端除熱,較 59 t具體實施例利用射線冷部至液體冷媒(例如水)夾套。輕射 傳熱係隨著溫度的第四次羃而成比例擴大,因此於提議之 操作溫度將可達成高傳熱速率。熱管可由環狀水熱交換器 包圍,熱交換器可於15千瓦穩定操作。其它具體實施例可 使用其它材料例如不鏽鋼絕緣熱管的冷凝器端,以及使用 液體冷媒冷卻材料外表面。無論採用何種技術,重要地熱 管不會以冷凝器的冷媒「衝擊」,換言之強制冷卻至遠比氣 化器端更冷。如此將嚴重影響效能。此外若熱管溫度於沿 縱向方向之任一點降至低於工作流體的冰點(對鋰而言約 為180°C),則將絲毫也無法發揮功能。 接近中心電極(陽極)底部的元件操作溫度限制要求傳 熱至此區的熱量最小化。此項條件例如可經由使用低發射 性材料塗覆熱管外表面接近較低溫容忍區而達成。然後可 介於熱管與預定較低溫元件間製成真空間隙。由於真空的 導熱率極低,且因熱管被塗覆以低發射性材料,故介於熱 吕與冷卻元件間出現的傳熱將最小化。將經過控制的陽 極溫度維持於各種功率負載水平乃另一項考量。此項目的 可經由將工作缸置於熱管與水冷式外夾套間達成。工作缸 經過塗覆或經過光整來於内部直徑獲得高反射率,以及外 部直徑獲得低發射性。若卫作缸完全插人輕射熱管與水冷 式夾套間,則射線將被朝向熱管反射回,如此降低由熱管 至失套之功率流。當「限制ϋ」工作缸被拔出時,較大比 例的熱管冷凝器之熱可直接㈣至水夾套熱交換器。調整 限制為」位置,控制功率流,設定熱管的穩態操作溫度, 最終設定陽極穩態操作溫度。 使用熱管冷卻之較佳具體實施例顯示於第16圖,第16 圖顯示陽極8A、陰極8B及絕緣體元件9。本例使用鋰蒸氣 作為活性氣體,且經陽極8A中心(顯示於440)輸送至放電 室。陽極8A使用包含鋰熱管444之鋰熱管系統442冷卻。熱 管444之傳熱區446内部之鋰於接近電極8a的熱端氣化,蒸 氣朝向熱管的冷卻器端流動,於該處熱由熱管藉輻射冷卻 傳熱至熱阱單元446,熱阱單元具有藉水盤管450冷卻的熱 阱表面448。鋰蒸氣的冷卻造成其狀態改成液體,液體根據 眾所周知的熱管技術芯吸返回熱端。該具體實施例中,限 制|§工作缸452基於驅動器,於熱阱表面448内部上下滑動 (顯示於454),驅動器構成溫度回授控制單元(圖中未顯示) 的一部分。陽極熱管單元較佳也包含輔助加熱系統,當電 漿撮取裝置未產生足量熱時,辅助加熱系統可維持鋰於超 過其冰點的溫度。 中心電極的水冷卻 另一種較佳中心電極冷卻方法顯示於第2〇、2〇a、21 及22圖。本例中,水被加壓循環通過中心電極。第2〇c圖顯 示的中心電極8A包含二部分,亦即由單晶鹤(得自馬泰克 (Mateck)公司,德國富立許)組成的放電部8A1,以及燒結鎢 組成的下部8B1。外電極8B係由二部分組成亦即一蓋8扪以 及-底8B2’二者皆由氧化物硬化銅材料製成,該材料係以 商品名葛麗可(Glides)出售。氧化物材料為銘氧。外電極 製作成兩部分來提供外電極冷卻的水通道_。電極係藉包 200403905 含氮化硼或碳化矽之主絕緣體462,鋁氧沉積於不鏽鋼底部 8A3之層464以及聚醯亞胺466(較佳以商品名開普頓 (Kapton)得自杜邦公司)彼此絕緣。通過中心電極的水路徑 以箭頭468顯示於第20C圖。圓柱形不鏽鋼隔件47〇隔開電極 5的供電流及返回流。零組件8A卜8A2及8A3使用金/鎳或金 /銅銅焊材料例如尼歐德(Niord)或5〇 An_50c銅焊在一起。 徑向耗盡的電漿撮取 本發明之較佳具體實施例利用前文說明之脈衝功率特 色、射線收集特色以及碎屑控制特色,採用如第2Α、2β、 1〇 2<:及21)圖所示之電極配置。此種電極配置比第21圖所示之 電極組怨各有優劣點。電極表面積較大,故可減少熱問題。 也有較少放電的纖絲化,或許可獲得較佳電漿約束,且可 能獲得較佳徑向穩定性。申請人認為其可設計電極來產生 沿電極軸線的撮取,如第21圖所示。 15 多重EUV光源的使用 如丽文說明,本發明之較佳應用係應用於未來機器的 微影術光源,至少該種機器的製造版本尚未設計與確立。 可能發光功率超過利用此處所述技術藉單一EUV光源所方 便產生的發光功率。此種情況下,可組合兩個或兩個以上 2〇的EUV光源來提供所需發光。較佳來自各光源的光使用類 似此處所述技術控制,且投射至單一縫隙,該縫隙將構成 微影術設備的光源。 整合微影術機器 於較佳具體實施例,部分EUV光源單元直接整合微影 62 術單元,例如第2A(21)圖所示之步進機。整合一體的零組 件包括整流器及固態脈衝功率單元的壓縮頭,及真空容 裔’其包括電極集合、碎屑屏蔽件及射線集極及渦輪分子 真空幫浦,全部皆顯示於第2A(21)圖的120。承載設備(包括 電子控制裝置、高電壓電源、諳振充電器、電源配送系統 以及冷卻水及氣體控制的流體管理)皆位於承載設備之篋 内部’該篋係與微影術單元(若有所需可位在分開室)分開, 微影術單元全部皆顯示於丨22。粗真空幫浦及高壓水幫浦置 於第三篋124内,第三篋可位於分開室内,微影術單元126 包含發光光學裝置、標線片、縮小光學裝置及晶圓夾持設 備。 電極溶蝕 減少溶姓 申請人使用早期EUV裝置原型機實驗顯示,電極溶蝕 構成嚴重問題’申請人發展出數項技術來處理此項問題。 申明人發現透過使用申請人的***電漿撮取裝置進行實 驗,放電電路之電感於撮取發生時劇增,大為降低電流, 且”於屯極間產生電場增高。結果陽極與陰極間發生的第 一一人崩/貝通常是接近陽極梢端,如第2A(2)圖所示。如此於 崩’貝位置產生溶餘。申請人提示經由設置裝置促使於溶蝕 不成問題的位置促進撮取後放電,來減少此項問題。一種 技術係將含電漿氣體注人電極間下區,來於此下方位置, 於遠離陽極梢端,產生後撮取。 由陽極溶蝕材料之濺鍍置換 申請人使用其***裝置進行實驗顯示長期操作時出 現貫質陽極溶#。如前述’此種電漿撮取裝置主要預期用 途係用於積體電路的製造。如此表示電漿撮取裝置必須可 貫質連續操作數日或數週然後才停機維修。因此必須找出 可延長電極壽命的技術。一項可能技術係提供賤艘源,用 以濺鍍電極材料至一或二電極上。第25圖為草圖顯示提供 賤鍍鎢來補充電極溶蝕之二鎢濺鍍源。申請人發現用於前 置游離之短脈衝高電壓驅動電極產生濺鍍離子,濺錢離子 收集於陽極側及陰極側上。陽極側也是大部分電極溶鍅位 置。因此申請人提示設置與陽極及陰極相同材料製成之犧 牲電極,特別設計供藉濺鍍溶蝕。犧牲電極的位置必須讓 被錢錢的電極材料可導向至陽極及/或陰極遭受惡化溶姓 區。較佳犧牲電極係設計成,犧牲電極容易補充或可隨著 溶蝕而定期伸長入放電室内。若干被濺鍍材料將收集於絕 緣體表面上,但申請人了解濺鍍鎢沉積於此等裝置之絕緣 體表面上不成問題。 絕緣體覆蓋電極 申請人於實際試驗發現經由以絕緣體材料覆蓋中心電 極側壁,可大減中心電極的溶蝕。經由使用絕緣體材料覆 盍電極之可能面對高電流密度部分,撮取後放電電流被強 迫展開於電極不同區的較寬廣面積。此項技術可用來降低 電子或離子衝擊陽極或陰極區域之電流密度。降低溶蝕速 率,結果導致碎屑產生減少,電極壽命延長,仍然有些來 自滑動放電之溶蝕及碎屑跨越絕緣體,但不如電極溶蝕的 200403905 嚴重。所謂之「閃火發弧」結果導致高溶蝕率只發生於傳 導表面。因此於電極被絕緣體覆蓋區域可免除溶蝕及碎屑。 如此較佳具體實施例為緊密電漿聚焦,帶有尋常陽極 及陰極組態,但不含順著内電極外徑(耗盡長度)之滑動放 5電。取而代之,内電極被長絕緣體管所覆蓋管凸起,換言 之去除内電極直徑。即使有效電感略增,軸線上仍然出現 強力撮取,結果導致EUV的產生。與習知緊密電漿聚焦裝 置相反’順著内電極並未出現耗盡。内電極内表面也被絕Active Materials and Buffer Gas Selection of Active Materials and Buffer Gases Several active materials and buffer gases can be used to generate EUV light with a wavelength of about 13.2 nm and 20 to 13.8 nm. Preferred active materials are xenon, tin or lithium. Two types of active materials were discussed in the previous section under section “12-14 nm EUV light source”. Indium, braid and silver are also possible candidates. Or, instead of using noble gas, one of the foregoing materials is used as an active material, and for example, helium, neon, or argon can be used as a buffer gas. Nitrogen or hydrogen can be added as a possible buffer gas. In particular, if nitrogen is used as the active material, nitrogen or tritium can be used as the buffer gas. In most embodiments, the active material (which is a metal) is added to the discharge chamber as a vapor, but it can also be added as a liquid or rhenium, and can be added as a solution or a powder. 5 Since the active material can provide luminous light in the desired range of 13.2 to 13.8 nanometers, all of these active materials can be used. As explained above, the reason is that reflective optics with relatively good properties in this range of ultraviolet light can be obtained. Device. If a good optical element can be used in other wavelength ranges lower or higher than this range, you can search the periodic table and the corresponding emission light φ 10 reference for other alternative materials. In addition, the buffer gas is not limited to the aforementioned gas. Injecting via anode Fig. 18A shows the characteristics of a preferred embodiment of the present invention, in which the active gas of this example is gas (mixed with 1 part and M parts of helium) and injected through the anode. 15 volumes of buffer gas (100% oxygen in this example) were injected into the downstream region of the collector-guide 8. The broken genus collector 6 includes nested cone-shaped sections, providing narrow channels aligned with rays extending from the center of the extraction zone to the collector_guide 8. This channel allows about 85% of the guided photons to pass through #, but it is substantially delayed by the debris generated in the pick-up area, and the photon follows a path far more random than t_v light. Gas ^ ·. The empty chamber leg is exhausted by the port port M by a vacuum pump of 40 liters per second. Therefore, the buffer gas flow from the gas feed source I2 passes through the narrow channel of the debris collector 6, thereby delaying the passage of the debris from the extraction and also delaying the flow of the gas-active gas from the extraction region into the vacuum chamber 10. Therefore, substantially all the debris from the extraction area and the active gas injected through the port 24 are exhausted through the port U, or coated with the surface of the π chip or the inner wall of the container upstream of the chip collector. In this way, the region-guide 8 is contaminated by debris from the extraction, and the light beam is attenuated by the gas. The reason is that the buffer gas flows through the narrow channel of the debris collector 6 to prevent a large amount of xenon from entering the debris collector. 6 Downstream area. Bidirectional airflow Figure 18B shows the features of a specific embodiment of the present invention, in which a bidirectional oxygen flow is used to allow a controlled concentration of active gas to approach the extraction zone, while the concentration of the active gas downstream of Yu Qing's beam path is extremely small. In this case, the active gas is directed through the center of the anode 18A, as shown in Figure ^. In the preferred embodiment, the gas to be introduced is a mixture of krypton and helium to just 5. As described in the specific embodiment, helium was also introduced at 12. The gas introduced from the two sources was vented at 14 using the aforementioned type of vacuum pump. The gas flow system is controlled to produce a pressure of about 075 Torr in the extraction area, and a pressure of 1 Torr in the collector-guide area, so the airflow from the collector_guide area is much more than that from the extraction Zones have greater airflow. Upstream injection of active gas 20 Figure 18C shows another preferred technique for controlling broken genus and active gas, and minimizing absorption of active gas = active gas. The pressure of the gas in the extraction area is about 3.8 Torr. In the known example, the air flow flowing in the vacuum chamber 10 is configured to help prevent the fragmented collector guide unit 8 from the extraction area and reduce the area. Place the active gas beyond the middle volume area surrounding the extraction area. The amount of active gas is, for example, from the nozzle 2 at a rate of about 5 SCCM. The position is about 3 cm upstream of the pick-up area. Almost all of the H feed speed is 50 liters / second along its axis through the exhaust gas σ3 along the electrode 18A. Exhaust flow is provided by Straight 51 200403905 air pump. Vacuum pumps such as blowers followed by Anect Iwata ISP_500 scroll pumps are available from Canadian Cooperative Vacuum. This provides a pumping speed of 40 liters per second. The xenon series is fed to the nozzle 2 via a gas pipe 4 along the middle region of the debris trap 6. The debris trap 6 includes a nested cone-shaped 5-shaped cross section at 6A, and its surface is aligned with the light protruding from the center of the picking position and directed toward the collector_guide 8. The nested cone-shaped cross section provides an unobstructed path for the EUV photons generated by the extraction to be directed towards the collector_guide 8. The passage is narrow and about 10 cm long. Debris collector 6 (by condensing) collects the gas evaporated by tungsten electrode 1 § a (if the active gas is lithium vapor, lithium vapor will condense on the surface of debris collector 6). Buffer gas (the helium buffer gas in this embodiment) is injected downstream of the collector_guide 8 as shown in the 12 position. Most of the buffer gas system passes the vacuum pump (not shown in the figure) described above. The exhaust port 14 is discharged from the vacuum chamber ⑺. About 90% of the helium gas flows through the collector-guides 8 and 15 in the direction of the extraction zone, and all the buffer gas passes through the nested cone section 6A. As described in the previous example, this gas flow helps prevent debris from the pick-up area from reaching the collector_guide 8 and also reduces the amount of light that is collected and guided by the collector_guide 8 to produce an output EUV beam. Amount of reactive gas. These characteristics are very important because any debris accumulated on the debris collector 6 will reduce the reflectivity, and the active gas on the 20th path of the EUV beam path will attenuate the beam. The gas discharged through the port 3 is preferably filtered and discharged to the atmosphere. The gas discharged through port 14 is also discharged to the atmosphere without causing excessive gas costs. The total turbulence of such a system is only about 16 grams per hour. In addition, helium and / or active gas can be separated and recycled. 52 5 minutes as active gas 10 'Za uw off take light that can be converted into a predetermined wavelength range = light. The clock is solid at room temperature and liquid at the temperature between the boot and mrc. There are various methods available for directing gas into the discharge area and the extraction area. The bell can be heated to its vapor temperature, and it is conducted in a vapor. The chain can be solidified or liquid-introduced, and can be gasified by mis-discharge or extraction, or the bell can be gasified by other energy forms such as high-power laser pulses, or by some other heating form such as resistance heating element, discharge or radio frequency heating And gasification. Lithium may also be introduced in the form of a compound such as Li20, LiH, LiOH, LieCo2, LiF, CH3 or a solution thereof in water or other liquid. 15 20 Lithium can also be transported to the pick-up area using lasers to induce anger or eliminate money. As shown in Fig. 18D, the lithium metal target 30 is attached to a holder mounted on the fragment collector center plate. —In a preferred embodiment, W excimer laser 32 generates a pulsed laser beam with a wavelength of nanometers and an energy of 100 millijoules to 2 millijoules per pulse 'effective_length 5G nanoseconds through a window installed at the anode upstream end Light passes through a hollow anode and is mounted on a lens 36 outside the real chamber to focus into a focal point with a diameter of about 1 meter. This laser intensity and focus size are sufficient to heat the temperature rise of lithium metal 'is controlled by the gasification latent age. The required threshold power density is approximately 5xlG7 W / cm2. At lower power, gasification occurs at a rate controlled by vapor pressure at a given temperature. In another specific embodiment, as shown in FIG. 18A, the middle area of the center electrode of the center electrode is shown in FIG. 38 of FIG. I7, and the laser beam passes through the center of the debris shield 8 as shown in FIG. 17 and 40. . Another technology that transports lithium to the extraction area is attaching lithium metal to tungsten 53 A / and attaching it to a housing containing a permanent magnet. This configuration is installed on Λ Xiao: pole, e-axis. The lithium metal is further covered with a photomask to expose only the plasma generated by the J m radio frequency is generated in front of the lithium target using a radio frequency generator operating at a frequency of ⑽, 2 to 45 GHz. Discharge can be known as pulse pull or CW nuclear. In pulse mode, the discharge is synchronized with the plasma extraction. 5000 watts of RF power is usually sufficient. ^ The generated plasma system consists of a buffer gas, usually helium. Atom ions are extracted from the plasma by applying & a negative bias to the clock target. A bias of 500 volts to 2000 volts is sufficient. He + ions collide with lithium, and the surface is at a high level. Ship yields exceeding the bias energy can be about 0.2 to 0.3 for normal incidence. Significantly higher yields are expected for supplemental injection and high-temperature lithium. Improved pre-dissociation DPF can use a number of different techniques for pre-dissociation, each of which has a beneficial effect on the output of £ 11 ¥. The technology originally used in the Simo DPF was based on driving a set of 15 Mars-type pins 138 mounted on the outer electrodes of the device, as shown in Figure 2A (2). The pin is driven by a high-voltage pulse example WRF simulator or by a unipolar output of a 6000 series rectifier. The voltage required to initiate a crash using the! ^ Simulator or rectifier is +/- 20 kV. The applicant also confirmed that the pre-free source could be located at the far end of the cathode, but not inside the main vacuum vessel. This is a coil antenna. Twenty applicants also successfully used a straight antenna for front disengagement. This type of antenna can be linear or shaped as a spiral coil. The antenna can be driven by a high voltage pulse transmitted by a radio frequency simulator at 13 kHz for 2 microseconds, the rectifier can be driven by positive or negative polarity pulses, or it can be driven by a radio frequency amplifier. The inventors confirmed that it can support 10 kHz pulse repetition rate. The external front 54 set free (located on the antenna outside the anode / cathode area) is the mode required for the negative deep plasma coke I to occupy the front free. With a positive polarity DPF, using the "Inner 4" antenna shown in Figure 丨 above, a slightly better front release can be achieved. Figure 32 shows that the pre-free pulse must be adjusted relative to the dpf main pulse to achieve the best results. If the premature release is too early (as shown at 92) or too late (as shown at 93), the efficiency of deep plasma focus is adversely affected. Pre-free injection gas Applicants have found that gas in the metastable state is easier to pre-release than stable gas. The gas can enter the metastable state by being released before being injected into the discharge chamber. Figures 2A (4) and 18A-E show the gas injection technique. In each case, the injected gas can enter a metastable state by high-voltage discharge (for example, a 15-kilovolt pulse with a duration of a few nanoseconds) or by RF front dissociation. The metastable state lasts about 50 milliseconds, so using a gas flow rate of about 丨 m / s, if the free discharge distance is about 5 cm upstream of the discharge origin, a large number of metastable atoms will be formed. ▲ When gas is the active gas ’Another useful technique is to install an RF coil to enclose the air inlet of xenon into the discharge zone. The applicant has suggested that RF frequencies between 2 and 2.5 megahertz can cause the decomposition of xenon gas in the intake pipe. In addition, high voltage pulse discharge for xenon intake pipes can be made. In a preferred embodiment, the target plus a magnetic field guides the xenon ions thus generated to a specific location that triggers a pick-up discharge. Nozzle-assisted front disengagement The fourth-generation device of Shen Yanren's optimal pressure for generating EUV light is about 100 millitorr or less. This discharge pressure is located at the left end of the Basken collapse curve and requires a very high voltage to collapse and generate dissociation. Freeing at higher pressures 200403905 is easier. The solution in accordance with the technique described in the previous section is that the nozzles used to inject buffer gas or reactive gas into the discharge chamber produce pre-freedom. The technique of generating ions in the injection tube is discussed previously. Another technique is to direct free rays from the inside of the chamber to the injection nozzle, as shown in Figure 31. Such rays are preferably discharged 5 to produce ultraviolet or X-rays. Hydrogen as a buffer gas Shen Jianren found that the prototype's EUV optics were contaminated by carbon deposition. Carbon with a thickness of 1 nanometer can cause a relative optical reflectance loss of about 1% or more in a multilayer optical device (up to about 10% of the residual incident optical device loss). A known technique involves adding oxygen to a buffer gas and reacting with carbon to produce carbon monoxide and carbon dioxide. However, oxygen can also react with optical devices that generate oxides, causing deterioration of optical devices. The applicant has suggested that the addition of hydrogen to the buffer gas is preferably about 20% to 50%. Hydrogen gas is not absorbed at 13.5 nm, hydrogen gas is engraved with carbon, and chlorine gas also reacts with oxygen. 15 In addition, hydrogen can only be added periodically for a short period of time as part of a maintenance plan to clean the optics, and argon can be removed after the optics are cleaned. Optimization Techniques Optimizing Capacitors The applicant found that when the plasma extraction event occurred simultaneously with the 20-current flow spikes from the shaft capacitor bank, there was a maximum electropolymerization temperature. For the specified anode group and buffer gas density, the plasma front will charge the specified amount of voltage ^ specified time to advance through the anode length. By adjusting the capacitance value and charging voltage, the peak capacitor current is allowed to exist during the electro-capturing extraction event period to obtain the maximum luminous efficiency. 200403905 If a higher input energy level is required, and a higher charging voltage is required, the driving capacitance must be reduced so that the timing of the driving waveforms along the length of the anode matches the downward advance time of the plasma. Since the energy stored in the capacitor increases linearly with the square of the voltage, the Ik valley increases linearly. Therefore, when the capacitance is decreased proportionally with increasing voltage, the stored energy will increase linearly with the voltage. Figure 13 is a schematic diagram showing the measured driving capacitor voltage, measured anode voltage, and EUV intensity with respect to time in a preferred embodiment. The capacitor is appropriately selected to generate the maximum capacitor current during the extraction period. In this case, for a 2 cm long anode, the pressure of the helium buffer gas is 2.5 Torr and 10 ears, and the Q capacitance is 3 microFaraday. Optimal shape of the center electrode The inventors used a hollow anode configuration and found that once a pinch is formed, the pinch of the plasma increases rapidly along the axis and will extend to the hollow anode opening. With the extension of the extraction length, there is an excessive voltage drop over the length of the extraction length, and flying isolation occurs across the surface of the anode 15. The solution to prevent arcing is to use a transmitting screen to provide a physical barrier to the extension of the extraction length extended by the anode, as described above. Another solution is to reduce the growth rate of the extraction length into the hollow anode and increase the opening diameter of the anode in the narrow region, as shown in Figure 14C and the figure. Shows the growth of the pick-up length and prevents flashover. All previous references have shown that the second anode has a sized hollow portion. Figures 14A, 14B, 14C and 14D show examples of various shapes of hollow anodes. The configuration shown in Figure 14D shows the shortest pick-up shape. The exposed length of the center electrode is determined by the depletion time of the driving electrode. The position of the driving voltage waveform appears. Department duration. The density of the buffer gas is determined by a predetermined diameter of the battery, but the driving capacitance is actually limited to a certain range. The two parameters combine the driving voltage to determine the predetermined depletion time. The depletion time can then be adjusted by increasing or decreasing the amount of exposed anode. The choice of better depletion time allows the electro-capture extraction event to occur at the peak of the drive current waveform. If a longer plasma extraction time is required, the anode exposure length can be shortened, so the depletion time is shortened, causing plasma extraction to occur earlier in the driving waveform. Generation of RF Power Vapor 0 Θ 文 # Ming's metal vapor delivery architecture is determined based on sufficiently increasing the anode temperature to allow the metal vapor pressure to reach a predetermined level. This temperature is in the range of 1000 tM30 (TC for the warp, 2,26 ° < t for the tin. Instead, the radiofrequency antenna is made of a material, such as a porous tungsten infiltrated by 1 ^ lithium. This porous transponder antenna 5 () is placed inside the anode, as shown in Figure 15. The RF power source 52 forms a plasma layer on the antenna and is close to the antenna, which will drive away the borrowed airflow 54 through the center of the hollow anode. The swept ions' lithium ions are carried to the anode end. The rate of metal ion manufacturing is easily controlled by the power level of the RF source. In addition, porous tungsten anodes can use this RF drive to maintain sufficient liquid metal from a receptacle placed at the bottom of the anode The temperature at which the core is attracted to 56. Electrode cooling The cooling of the center electrode In the preferred embodiment of the present invention, the 'outer diameter of the center anode is about 0.05 cm to 1.25 cm. 200403905 ,: Absorption of rays by plasma, so the center electrode absorbs considerable energy.? Or above cold part. Because the gas pressure is extremely low, it cannot be cooled by β = impulse = body. In the extremely high sun. Only the degree of phoenix is political. Conduction along the length of the anode requires a great temperature drop. 5 The heat pipe right lithium ..., gas is used as the active gas, and injected through the center of the anode, then the y: degree must be ^, , Hold to i, 000 ... to uoot: or above. This kind of high-wood κ shell requires the removal of heat, package considerations, and high-voltage-restricted cold = 4 choices of technology-there is a technology that is expected Or other metal inspection tubes can be changed, which is a simple and powerful solution. The heat pipe effectively starts operation at a temperature of about 1000 ° C. The specific design of this device is typically made of refractory metal, which is 1 The target and outer shell are the outer shell and the inner core, which can be operated at extremely high temperatures. The simplest and specific embodiment is a tube-shaped or annular heat pipe, which is integrated with a 15 DPF anode to obtain the best thermal fit. Similar specific The embodiment is circular, allowing liquid lithium or vaporized lithium to be delivered to the DpF plasma. For example, a 0.5-inch diameter through-heart heat pipe removes 15 kilowatts and has a power density of kilowatts per square inch (11.8 kilowatts per square centimeter). ). Ring with 10 inch outer diameter and 0.5 inch inner diameter Heat officer, removes 15 kW of heat, and has a power density of 25.4 kW / cm2 (3.9 20 kW / cm2). These examples demonstrate the prospects of this technology because the use of lithium heat pipes to verify power densities far exceeding 15 kW / cm2 During operation, the heat official has only a small temperature gradient along its length, which can be considered as a constant temperature in the longitudinal direction for practical applications. Therefore, the "cold end" (condenser end) of the heat pipe is also at or below 1000 ° C. In order to remove heat from the condenser end of the heat pipe, a 59 t specific embodiment uses a ray cold section to a liquid refrigerant (such as water) jacket. The light-radiation heat transfer system is proportionally enlarged with the fourth temperature of the temperature, so High heat transfer rates will be achieved at the proposed operating temperature. The heat pipe can be surrounded by a ring-shaped water heat exchanger, which can operate stably at 15 kW. Other embodiments may use other materials such as the condenser end of a stainless steel insulated heat pipe, and use a liquid refrigerant to cool the outer surface of the material. Regardless of the technology used, important geothermal pipes do not "shock" with the condenser's refrigerant, in other words forced cooling is much colder than the gasifier end. This will seriously affect performance. In addition, if the temperature of the heat pipe drops below the freezing point of the working fluid at any point in the longitudinal direction (approximately 180 ° C for lithium), it will not function at all. Component operating temperature limitations near the bottom of the center electrode (anode) require minimal heat transfer to this zone. This condition can be achieved, for example, by coating the outer surface of the heat pipe with a low-emissivity material close to the lower temperature tolerance zone. A vacuum gap can then be made between the heat pipe and a predetermined lower temperature element. Due to the extremely low thermal conductivity of the vacuum, and because the heat pipe is coated with a low-emissivity material, the heat transfer between the heat sink and the cooling element is minimized. Maintaining a controlled anode temperature at various power load levels is another consideration. This project can be achieved by placing the working cylinder between the heat pipe and the water-cooled outer jacket. The working cylinder is coated or tempered to obtain high reflectivity on the inside diameter and low emissivity on the outside diameter. If the satellite cylinder is fully inserted between the light-radiating heat pipe and the water-cooled jacket, the rays will be reflected back towards the heat pipe, thus reducing the power flow from the heat pipe to the lost jacket. When the “Limited Relief” working cylinder is pulled out, the heat from a larger proportion of the heat pipe condenser can be directly extracted to the water jacketed heat exchanger. Adjust the limit to "" position, control the power flow, set the steady-state operating temperature of the heat pipe, and finally set the anode steady-state operating temperature. A preferred embodiment using a heat pipe for cooling is shown in Fig. 16, which shows an anode 8A, a cathode 8B, and an insulator element 9. This example uses lithium vapor as the active gas and is delivered to the discharge cell via the anode 8A center (shown at 440). The anode 8A is cooled using a lithium heat pipe system 442 including a lithium heat pipe 444. The lithium in the heat transfer area 446 of the heat pipe 444 is vaporized near the hot end of the electrode 8a, and the vapor flows toward the cooler end of the heat pipe, where the heat is transferred by the heat pipe to the heat sink unit 446 through radiation cooling. The heat sink unit has Heat trap surface 448 cooled by water coil 450. The cooling of the lithium vapor causes its state to change to a liquid, which is wicked back to the hot end according to the well-known heat pipe technology. In this specific embodiment, the restriction | § working cylinder 452 is based on a driver, which slides up and down inside the heat sink surface 448 (shown at 454). The driver forms part of a temperature feedback control unit (not shown). The anode heat pipe unit preferably also includes an auxiliary heating system. When the plasma extraction device does not generate sufficient heat, the auxiliary heating system can maintain lithium at a temperature exceeding its freezing point. Water cooling of the center electrode Another preferred method of cooling the center electrode is shown in Figures 20, 20a, 21, and 22. In this example, water is circulated through the center electrode under pressure. The center electrode 8A shown in Fig. 20c includes two parts, that is, a discharge part 8A1 composed of a single crystal crane (available from Mateck Company, Friedrich, Germany), and a lower part 8B1 composed of sintered tungsten. The external electrode 8B is composed of two parts, that is, a cover 8- and a bottom 8B2 ', both of which are made of an oxide hardened copper material, which is sold under the trade name Glides. The oxide material is Ming oxygen. External electrode is made in two parts to provide a water channel for external electrode cooling. The electrode system includes the main insulator 462 containing boron nitride or silicon carbide. The aluminum oxide is deposited on the layer 8A3 of the stainless steel bottom 464 and the polyimide 466 (preferably available from DuPont under the trade name Kapton). Insulate each other. The water path through the center electrode is shown in Figure 20C by arrow 468. A cylindrical stainless steel separator 47o partitions the current supply and return current of the electrode 5. The components 8A, 8A2, and 8A3 are brazed together using gold / nickel or gold / copper brazing materials such as Niord or 50 An_50c. Radially depleted plasma extraction of the preferred embodiment of the present invention utilizes the pulse power features, ray collection features, and debris control features described above, using Figures 2A, 2β, 102, and 21). The electrode configuration shown. This electrode configuration has its own advantages and disadvantages over the electrode group shown in FIG. 21. The large electrode surface area reduces thermal issues. There is also less discharge fibrillation, which may result in better plasma confinement and better radial stability. The applicant believes that it can design the electrode to produce a pickup along the electrode axis, as shown in Figure 21. 15 Use of multiple EUV light sources As Li Wen explained, the preferred application of the present invention is lithography light sources for future machines. At least the manufacturing version of this machine has not been designed and established. It is possible that the luminous power exceeds the luminous power generated by a single EUV light source using the techniques described herein. In this case, two or more 20 EUV light sources can be combined to provide the required light emission. Preferably, the light from each light source is controlled using techniques similar to those described herein, and is projected onto a single slot, which will constitute the light source of the lithography device. Integrated lithography machine In the preferred embodiment, some EUV light source units are directly integrated with the lithography unit 62, such as the stepper shown in Figure 2A (21). The integrated components include the rectifier and the compression head of the solid-state pulsed power unit, and the vacuum container ', which includes the electrode set, debris shield and ray collector, and the turbo molecular vacuum pump, all of which are shown in 2A (21) Figure 120. Carrying equipment (including electronic control devices, high-voltage power supplies, vibrating chargers, power distribution systems, and fluid management for cooling water and gas control) are located inside the carrier equipment. 'This system and lithography unit (if available) Can be located in a separate room), all lithography units are shown at 22 The rough vacuum pump and the high-pressure water pump are placed in the third chamber 124, which can be located in a separate room. The lithography unit 126 includes a light emitting optical device, a reticle, a reduction optical device, and a wafer holding device. Electrode dissolution Reduced dissolution The applicant's experiments with early EUV device prototypes show that electrode dissolution poses a serious problem. The applicant has developed several technologies to deal with this problem. The claimant found that by using the applicant's fourth-generation plasma extraction device to conduct experiments, the inductance of the discharge circuit increased sharply when the extraction occurred, greatly reducing the current, and "the electric field between the poles increased. As a result, the anode and cathode The first person to collapse / shell often occurs near the anode tip, as shown in Figure 2A (2). Thus, a residue is generated at the location of the collapse. The applicant has suggested that the installation should be promoted to a location where the erosion is not a problem. Promote discharge after extraction to reduce this problem. One technique is to inject plasma-containing gas into the lower area between the electrodes, and place it below this electrode, away from the anode tip, and extract after extraction. The plating replacement applicant used his fourth-generation device to perform experiments showing that a consistent anode anolysis occurs during long-term operation. As mentioned above, the main intended use of this type of plasma extraction device is for the manufacture of integrated circuits. This means that the plasma extraction The extraction device must be capable of continuous operation for several days or weeks before it is shut down for maintenance. Therefore, it is necessary to find a technology that can prolong the life of the electrode. One possible technology is to provide a cheap ship source for sputtering The electrode material is applied to one or two electrodes. Figure 25 shows a sketch showing the two tungsten sputtering sources that provide a base tungsten plating to supplement the electrode erosion. The applicant found that short-pulse high-voltage driving electrodes for pre-dissociation generate sputter ions, Sputtered ions are collected on the anode side and the cathode side. The anode side is also the location where most electrodes dissolve. Therefore, the applicant has suggested that a sacrificial electrode made of the same material as the anode and cathode be specially designed for corrosion by sputtering. The location must be such that the electrode material that is being used can be guided to the anode and / or cathode where the molten area is subject to deterioration. The preferred sacrificial electrode system is designed so that the sacrificial electrode can be easily replenished or can be extended into the discharge chamber periodically as it dissolves. The plating material will be collected on the surface of the insulator, but the applicant understands that sputtered tungsten deposition on the surface of the insulator of these devices is not a problem. The insulator covers the electrode. The applicant found in actual tests that by covering the center electrode sidewall with the insulator material, the center can be greatly reduced. Dissolution of electrode. After covering the electrode with insulator material, the part with high current density may be exposed. The discharge current is forced to spread over a wide area in different areas of the electrode. This technology can be used to reduce the current density of electrons or ions hitting the anode or cathode area. Reducing the rate of dissolution, resulting in reduced debris generation and extended electrode life, still some from The corrosion and debris of the sliding discharge cross the insulator, but it is not as severe as that of the electrode, which is 40403905. The so-called "flash arcing" results in a high corrosion rate only on the conductive surface. Therefore, the electrode is covered by the insulator to avoid dissolution and debris. Such a preferred embodiment is a compact plasma focusing with a common anode and cathode configuration, but does not include a sliding discharge along the outer diameter (depletion length) of the inner electrode. Instead, the inner electrode is raised by a tube covered by a long insulator tube, in other words the inner electrode diameter is removed. Even with a slight increase in effective inductance, strong extraction still occurs on the axis, resulting in the generation of EUV. In contrast to the conventional compact plasma focusing device, there is no depletion along the internal electrode. The inner surface of the inner electrode is also insulated.

緣體材料覆蓋來免除此區的閃火發弧。此種絕緣體必須有 10適當内徑,俾不致於縮小撮取大小及降低EUV輸出。 較佳具體實施例參考第26八及266圖。第26圖中,絕緣 體60覆盖外表面,第26B圖中,除了外側的絕緣體⑹外,絕 緣體62覆蓋内表面。二圖之陽極標示於64以及陰極標示為 65 ° 15 熱解石墨電極 、較佳具體實_巾,第2a(2)_m^8A之陽極放電表Marginal body material is covered to avoid flash arcing in this area. Such an insulator must have a proper inner diameter of 10, so as not to reduce the pickup size and reduce the EUV output. Refer to Figures 26 and 266 for the preferred embodiment. In Fig. 26, the insulator 60 covers the outer surface, and in Fig. 26B, the insulator 62 covers the inner surface in addition to the outer insulator ⑹. The anode of the second picture is marked at 64 and the cathode is marked at 65 ° 15. Pyrolytic graphite electrode

I 乂’、、、解;5墨覆蓋。陽極本體為銅或鶴。此項設計之重大 為奴比餘15倍(鶴乃先前技術主要陽極材料)。故於碎 2〇料料中,碳碎屬較為容易處理。此外石墨不會炼化; 土 s札化。㈣石墨之施时式讓原子石墨層垂直表面 ^來改“ 及減少賴。較佳中間層倾用於熱解 :表面材料與基板電極㈣間來降低熱應力。 電極補充 V有封之開閉器 65 田電漿聚焦源元件及集極容 亜、1 ΓΤ 备、乃於冋一室内時,任何需 要通風的光源的維修將對集 良旦m 及對碎屑捕集器造成不 良衫響。兀件相對於真空分 ^ _ 極為有利。但先前技術 _心 置位置之設計,無法提供容 納閘閥於二室間需要的空間。 申请人發展出將來源室通風維修(例如電極補充), 同時 10 15 於此期間維持集極室處於真空下(接近真空)之技術。來源室 匕集極至70而要更頻繁通風。集極鏡%以及碎屑捕集器 68於來源進仃維修時須使用提議的開閉器作保護。因此集 極(且或許也包含碎屑捕集器)之使用壽命大增。由於本設計 :撮取來源容積71以及碎屬捕集器與收集光學裳置入口間 需要的距離極短,故通常沒有足夠空間來容納分開閉闊。 當導入提議的開閉器帶有對集極室之封時,只需要極小空 間即可容納。集極室可被維持於真空(接近真空),開閉器可 藉通風來源室周圍壓力朝向封合表面加壓。 本設計之優點顯示於第27A及27B圖。先前技術略圖第 27圖顯示一種配置,有一閘閥72分開來源室及集極室。但 本設計要求由電漿源容積至補餘入射集極光學裂置入口之 距離為100毫米或以下,如此通常未能提供足夠空間來容納 20閘閥。得自真空供應商例如VAT之UHV閘閥帶有8时(200毫 米)或10吋(250毫米)開口直徑,具有凸緣至凸緣距離為8〇 至1〇〇毫米。因此此種閘閥於本設計被刪除。如此有重大缺 點為每次需要通風維修電漿源時,集極室也被通風。各通 風週期對極為敏感的集極光學裝置造成不良影響。此外集 66 200403905 極室的真空要求較為苛刻, 源室更長。若當來源室通風時真空時間比電漿來 有數項優點:集極光學裝置::=不必每次通風,則 *。維修,束時無心室:二裝置壽命延 的維修停機時間。敏感的碎 可縮短系統 市态也獲得較佳保護。 弟27B圖顯示提議之機械開閉器%帶有由 亟至的真空封。恰類似閘閥板 ’、^ 極室之#而^ ]才〗杰有〇形環封於面對集 至之表面。谷納此種開閉器需要的 許甚至只須10毫米。血 員20毛未,或 ίο 15 20 供吉^ 1反’開閉器可只對集極室接 仏一卫封,而未對來源室提 ’、 在於大部分情況下只有來_:;封胃。但如此即足,原因 顯干而要通風(開閉器位於圖中 風 =_位置)。當集極室需通風時,來源 二 几而無任何缺點(開閉器於敞開位置)。 部近關閉位置時,開閉_形環封以-個凹 加昼。封合面可方便地位於例 之封。面 邊。於來源室通風起點,來源室器)的外周 推向其封人;, 將開閉器進一步 ,'亥壓力係隨著來源室壓力的升g而并古 ㈣風之時’健有些朝向集極室的小漏f h。 ^&處於高壓(大氣壓)時,將㈣器推向封合 立古目::’因開閉器面積相當大故該壓力相當大因而建 器)=1 空封。如此足夠保護集極光學裝置(及碎屬捕集 ^十^ 封合關器必須整合於餘室(或來源室) 〜十(偏好封合開閉器正位於連結真空凸緣旁)。伸盆主砰I 乂 ′ ,,, and solution; 5 ink coverage. The anode body is copper or crane. This design is 15 times larger than the slave ratio (Crane is the main anode material of the previous technology). Therefore, in the crushed 20 material, carbon crushing is relatively easy to handle. In addition, graphite will not be refined; ㈣The timing of graphite allows the vertical surface of the atomic graphite layer to be modified and reduced. The intermediate layer is preferably used for pyrolysis: the surface material and the substrate electrode are used to reduce thermal stress. The electrode is supplemented with a sealed shutter. 65 Tian Plasma Focusing Source Components and Collector Capacitors, 1 ΓΤ equipment, and when in a single room, any maintenance of the light source that requires ventilation will cause adverse effects on Jiliangdanm and the debris trap. Compared with vacuum separation, ^ _ is extremely advantageous. However, the design of the prior art _ heart position can not provide the space required to accommodate the gate valve between the two rooms. The applicant has developed ventilation maintenance (such as electrode supplementation) of the source room, while 10 15 During this period, the technology of maintaining the collector chamber under vacuum (close to vacuum). Source chamber must be collected to 70 and more frequent ventilation. The collector lens% and debris trap 68 must be used when the source is repaired. The opening and closing device is protected. Therefore, the service life of the collector (and may also include the debris trap) is greatly increased. Because of this design: the extraction source volume 71 and the required space between the debris trap and the collection optics inlet Distance pole Therefore, there is usually not enough space to accommodate the separate closure. When the proposed switch is sealed with a collector chamber, only a small space is needed to accommodate it. The collector chamber can be maintained in a vacuum (near vacuum), and it can be opened and closed. The device can be pressurized toward the sealing surface by the pressure around the ventilation source chamber. The advantages of this design are shown in Figures 27A and 27B. The prior art outline Figure 27 shows a configuration with a gate valve 72 to separate the source chamber and the collector chamber. The design requires that the distance from the volume of the plasma source to the entrance of the remnant incident collector optical split is 100 mm or less, so usually it does not provide enough space to accommodate the 20 gate valve. UHV gate valves from vacuum suppliers such as VAT with 8 (200 mm) or 10 inch (250 mm) opening diameter with flange-to-flange distance of 80 to 100 mm. Therefore this type of gate valve was deleted from this design. This has the major disadvantage of requiring ventilation every time During the maintenance of the plasma source, the collector chamber is also ventilated. Each ventilation cycle has an adverse effect on the extremely sensitive collector optics. In addition, the vacuum requirements of the collector chamber 2004 200403905 are more stringent. Longer. If the vacuum time is better than the plasma when the source room is ventilated, there are several advantages: Collector optics :: = No need to ventilate each time, then *. Maintenance, no ventricle at the time of the beam: Second, the device's service life is extended. Sensitive debris can shorten the system market and get better protection. Figure 27B shows that the proposed mechanical switch has a vacuum seal from the urgency. It is similar to the gate valve plate, ^ 极 室 之 # 而 ^] 才 〖杰There is a O-shaped ring sealed on the surface facing the set. The type of the shutter required by Gu Na even needs only 10 mm. The blood member is 20 hairs, or 15 20 donation ^ 1 anti 'shutter can only be set. The polar chamber was sealed next to the source chamber without raising the source chamber. In most cases, it only came to _ :; to seal the stomach. But this is enough, the reason is dry and ventilated (the shutter is in the wind = _ position) . When the collector chamber needs to be ventilated, the source is more or less without any disadvantages (the shutter is in the open position). When the part is near the closed position, the opening-closing ring is sealed with a recess and the day is closed. The sealing surface can be conveniently located on the seal of the case. Face side. At the beginning of the source room ventilation, the periphery of the source chamber is pushed towards its seal ;, the shutter is further advanced, and the pressure of the source chamber rises with the pressure of the source chamber g, and when the wind is ancient, the health is slightly toward the collector chamber. Small leak fh. ^ & At high pressure (atmospheric pressure), push the urn to the seal. Ligumu :: 'The pressure is so large because the area of the shutter is quite large, so the building is empty. This is enough to protect the collector optics (and the trapping trap ^ ten ^) The closing device must be integrated in the remaining room (or source room) ~ ten (preferred closing device is located next to the connecting vacuum flange). boom

67 200403905 2為可避免間閥之額外2凸緣以及閘閥之部分寬度需要的 空間。因此即使當要求來源至碎㈣集器/集極人口的間隔 極小時,仍然可容納此種開閉器。 ^ 更換式電極模組 5 另一項簡化電極更換的技術係設計EUV裝置來更換電 極碎屑集極及第一集極成為單一模組。例如參照第Μ圖 集極42為模組璋口,該模組包含陽極、陰極及碎屬集極及 集極42。系統允許此等組成元件呈一個單元在最短時間内 更換來縮短維修停機時間。如此允許快速更換因溶姓而劣 Η)化的電極’以及快速更換因受溶崎料污染而劣化的碎屑 集極及第一集極光學裝置。 最佳化緊密電漿聚焦裝置實施例 最佳化努力 申請人努力致力於研究將第2Α⑴圖以剖面圖顯示之 15***緊密電漿聚焦裝置之效能最佳化,俾便有效產生 讀射線。帶真^腔室系統之側視圖顯示於第2Α(3)圖。其 研究中含括之性能參數包括氦及氣壓力及流速、電極幾 何、前置游離特性以及有關效能方面之工作因數。此等研 究中’申請人發現氦(緩衝氣體)及氣(工作氣體)的氣體注入 20埠口所在位置以及氣體思合物成分壓力及流速對的發 射效率造成強力影響。氣體配方之額外限制也來自於EUV 射線之氣體吸收以及期望提供緩和碎屑性質。今曰使用轴 線對稱之緩衝氣體注人方案,加上《 w67 200403905 2 is the space required to avoid the extra 2 flanges of the intermediate valve and part of the width of the gate valve. Therefore, even when the distance from the source to the crusher / collector population is extremely small, such a shutter can still be accommodated. ^ Replaceable electrode module 5 Another technology to simplify electrode replacement is to design an EUV device to replace the electrode chip collector and the first collector into a single module. For example, referring to FIG. M, the collector 42 is a module port, and the module includes an anode, a cathode, and a broken collector and a collector 42. The system allows these components to be replaced as a unit in the shortest possible time to reduce maintenance downtime. This allows quick replacement of electrodes that are inferior due to dissolution of the surname, as well as rapid replacement of the debris collector and the first collector optics that have deteriorated due to contamination by the solvent. Optimize the embodiment of the compact plasma focusing device. The applicant has worked hard to optimize the performance of the fourth generation compact plasma focusing device shown in section 2A⑴15, so as to effectively generate read rays . A side view of the chambered system is shown in Figure 2A (3). The performance parameters included in the study include helium and gas pressures and flow rates, electrode geometry, pre-dissociation characteristics, and work factors related to performance. In these studies, the applicant found that the location where the helium (buffer gas) and gas (working gas) are injected into the port 20 and the pressure and flow rate of the gas composition have a strong influence on the emission efficiency. Additional restrictions on gas formulations also come from the gas absorption of EUV rays and the desire to provide detrimental debris properties. Nowadays, using axisymmetric buffer gas injection scheme, plus "w

Si而獲付取佳、乡口果。於124焦耳輸入能獲得最高轉換效率 200403905 為0.42%。能量穩定性之剛量顯示於接近最佳化顯輸出時 有腦標準差。藉電壓過衝波形阻尼,測定驅動電路與撮 取的匹配,發現於氦及养厭丄丄 蝥力有強力關聯。能分散X光(EDX) 刀析包水源^射的碎屑,顯示碎屑的主要來源是中心電極 5及絕緣體。未見任何陰極材料證據。除了朝向更有效操作 努力研毛之外已經進仃第_期的熱力工程研究努力,結 果‘致使用習知直接水冷卻可於2〇〇赫兹連續操作。系統可 以成比例車乂低的工作週期以較高重複率連續操作。資料顯 示熱功率分散遍佈整個系統。有關熱功率流動之進一步了 1〇解,允許申請人更能確定此種電漿源技術最終有高度量產 製造的潛力。 申》月人也K上獲得顯著增益,而轉換效率接近更 為成#的田射產生電衆源之轉換效率。光源必須符合的特 殊規定為光源必須密切輕合整個發光系統的設計。須測定 15的關鍵光源參數包括:操作波長、合規頻帶ew功率、非 合規頻帶功率、光源大小;最大收集角、高重複率擴充. 脈衝至脈衝重複率、以及面對電聚之組成元件之碎屬的產 生0 申凊人早期於DPF發展中努力朝向於發展導出此種光 2〇源所需要的基本脈衝功率技術。使用鋰蒸氣作為活性輻射 兀件於鬲儲存能(25焦耳)時驗證高轉換效率。儲存能過高不 適合貫際擴充至高重複率操作。發展***機器允許申請 人使用氙作為活性氣體物種。申請人晚近的努力集中於讓 使用a作為來源氣體之DPF之效能最佳化。為了辅助此項 69 200403905 努力,中請人研究脈衝功率發展、電漿初始化及特徵化、 EUV方法、碎糾緩和及特徵化、熱力卫如及集極光學 裝置的開發。 系統說明 申請人所發展的***緊密電漿聚焦系統利用類似西 莫準分子雷射使㈣電源系統,使㈣有固態開關以及若 干磁脈衝壓縮階段之電源系統(如第i圖所示且說明如前), 俾產生猎DPF生成EUV光時需要的高電麼高尖峰功率脈 衝。此等系統係始於1300伏特充電電壓,產生施加於哪 10 之輸出脈衝約4千伏特,升高時間短於5()奈秒。雖然目前尚 未進行電流測量,但基於典型實驗操作所得電壓波形作電 路模擬,預測DPF驅動電流尖峰約為5〇千伏特,沿/出為石乃 千安培/微秒。此種高尖峰電流與高沿/出的組合允許DpF更 有效發揮功能。 15 此種***裝置的最重要特色說明於第33圖,以及深 部電漿聚焦裝置優點之表列。如它處已經說明,申請人證 實轉換效率(於中間焦點之合規頻帶EUV受限對電力輸入 比)約為0.5%。於本案提出申請時,申請人已經證實下列系 統效能參數: 20 目前光源效能 50.45% ~55毫焦耳 〜〇·4χ2.5毫米 <0.05毫米,rms 使用氙之EUV效率(2%BW,2 7t sr) 母脈衝EUV能(2%BW,2 7rsr) 平均光源大小(F WHM) 光源位置安定性(質心) 70 200403905 連續重複率 1000赫茲 叢發重複率 4000赫茲 能量安定性 〜7%,rms 平均EUV輸出功率(2%BW,2 7Γ sr) 50瓦 EUV輸出功率,叢發(2%BW,2 7τ sr) 200瓦 收集效率約為20至30%,約半量收集得之合規頻帶輻 射之EUV可利用此處所述技術傳輸至中間焦點。如此證實 於中間焦點之EUV功率以連續基準目 前約為5瓦而以叢發 基準目前約為200瓦。申請人利用此處所述改良,預期可在 10不久的將來將於中間焦點之連續功率提升至至少45·4瓦, 最終提升至105.8瓦。叢發模式效率也粗略成正比增長。 已經建立六部***DPF機器,用於各種不同實驗, 研究有關系統之最佳化、前置游離、電源系統之發展、碎 屬的緩和、熱力的管理以及集極設計。用於無需高重複率 μ (m千赫兹及以上)之實驗,此等DPF機器之充電電力單純 係由-組直流電源供應器的電阻充電供應。需要高重複率 能力的DPF系統係使用譜振充電系統充電其於少於25〇微 秒時間將初能量儲存電容器c〇充電至13〇〇伏特電壓。此種 譜振充電系統也提供能量回收,儲存未被哪所利用或呈 2〇熱量形式而耗散的能量,且利用回收的能量供下-脈衝之 用。如此減少主電源供應器需要的電源量,也輔助其它例 如熱力管理方面之議題。 測量 本即中,申睛人將對申請人之於低於50赫兹操作的低 71 200403905 工作因數光源之一進行綜合測量。發明人顯示EUV輸出以 及轉換效率與氣體組成配方間的相依性,提供非合規頻帶 發射資料’且顯示光源大小以及位置穩定性的測量。 過去一年間對EUV輸出與電極幾何間的實驗相依性以 5 及氣體動力方面議題的了解有長足進展。比較先一代裝 置’裝置的顯著改變包括新的陰極設計,允許氣體以陽極 區為中心對稱性注入,以及經由陽極注入氦與氙混合物之 系統。氣體輸送系統修改成允許氦與氙之組合注入DPF系 統的不同區。此種系統示意顯示於第丨圖。氣體控制係透過 10兩部質量流量控制器以及高準確度電容壓力計進行控制。 系統係以穩壓模式操作。氙係以恆定流量模式注入,添加 氮補充氣體來達到目標操作壓力。此種模式中,氦流速係 依據系統的泵送速度決定。與氣體流速之相依性係經由測 試不同的泵送配置組態決定。 15 由撮取沿著軸線發射的射線,通過孔口而射入不同的 泵送偵錯室204。測量容器中之氣體吸收係經由將壓力維持 低於5毫托耳而最小化。用於此等測量,偵錯容器之入口位 置距離撮取區5厘米。沿著5厘米路徑,主DPF容器之氣體 衰減未做修正,於偵錯容器之氣體衰減也未做修正。來自 20撮取之射線由鉬/矽多層鏡反射,被導引通過1微米厚度鈹 猪,至未經塗覆的IRD AXUV-100光二極體。典型測定頻序 包含記錄脈衝電源系統、DPF陽極及光二極體之電壓波 形’呈各項實驗參數之函數。資料的獲得以及氣體系統的 控制係透過電腦介面進行。 72 200403905 合規頻帶EUV信號(於13·5奈米,2%頻寬,2;rsr)與氤 流速之代表性相依性,於350毫托耳恆定操作壓力、於脈衝 電源系統第一階段電容器之固定充電電壓,顯示於第2a(5) 圖。 5 當氦注入陽極而氤注入陰極,於20赫茲光源操作時來 自光源之EUV輸出比較氦注入主DPF容器之EUV輸出顯著 增高。經由透過提高泵送容量,增加氦氣的流速,可觀察 到額外改良。泵送速度較高之效果讓Euv的輸出對氙質量 流量設定點較不敏感,且提高測量得之EUV輸出。 10 &第1圖所示’於第—電容㈣段C2,於蚊氣流速以 及1·伏特電壓,呈氦氣壓力變化進行類似測定。第2A⑹ 圖顯示氦注入陽極周圍,最終階段電容器(C2)及合規頻帶 13.5奈米光二極體信號之電壓波形。EUV信號於氛壓力有 強力相依性。檢驗C2波形,顯示由於未經阻尼之反應,藉 15此種電容器回收之能量係依據氣體配方組成決定。隨氣流 速變化之函數也觀察得類似相依性。 於撮取區耗散的能量係由C2電容器儲存能量差求出。 於1500毫托耳氦氣壓力,約7〇%儲存能量於撮取區耗散(8·8 焦耳),而於2〇〇宅托耳,對應於撮取區耗散之儲存能量值 2〇為%0/。(11.9焦耳)。此種相依性顯示於第2Α⑺圖,此處將光 二極體信號 '補魏量、⑽耗餘量至氮氣 壓力之函數作圖。於此範圍,EUV信號增高達ι〇因數。氣 分壓進-步增高,結料致Euv產率的銳減(未顯示於此等 資料)。 73 與氣體壓力相依性之另一項令人感興趣的特性為藉光 一極體測得EUV發射起點的位移。於使用恆定氙流速條件 下,壓力由180毫托耳變化至15〇〇毫托耳,結果導致Euv發 射位移150奈秒。由DPF操作的傳統犁與散彈模式,申請人 5預測電漿震鋒之特徵性軸向及徑向變遷時間係隨著有效質 里岔度之方根而擴充。此種擴充須對此種配置組態證實, 比例常數係與震鋒將質塊掃出電極區之外的效果有關。基 於一度空間犁模式,計算此等效果提示,軸向及徑向有效 質量顯著小於由實際氣體壓力導出的有效質量。 1〇 於固定氣流條件下,平均合規頻帶EIJV能量及能量效 率對耗散能之相依性顯示於第2A(8)及2A(9)及4b圖。此等 資料係以光源條件最理想化於尖峰Euv輸出進行。經由降 低充電電壓,維持所有其它參數為固定,可獲得較低能輸 入。此處所示資料係指本實驗之最佳條件以及[1]所示配置 15組態採用不同氣體組成配方及不同陽極幾何所得資料。於 10焦耳’比較先前組態配置,獲得轉換效率(CE)增高70〇/〇。 雖然耗合於撮取之能量係依據氣體組成配方決定,但可知 第2A(6)圖及第2A(7)圖所示EUV能量之相依性主要係依據 氣體流速變化而非依據耦合變化決定。 20 進行兩類型於I3.5奈米周圍於頻寬外側之EUV射線 測定。測量實驗之設備設置顯示於第2A(8)及2A(9)圖。第 一型測量比較軸線上來自撮取之總射線,與於13〇奈米 -1300奈米頻帶透射,透射通過氟化鈣窗部分。結果顯示由 撮取發射之總射線約0.5%係在130奈米至13〇〇奈米間之氟 74 200403905 化鈣頻帶,類似先前申請人所得結果。第二實驗中,由鉬/ 矽多層鏡(ML鏡)反射之且藉AXUV-100光二極體偵測之撮 取反射射線部分,比較透射通過1微米鈹箔且藉ML鏡反射 之射線部分。由ML鏡反射後,於原位於不含濾波器之光二 5極體測得之信號,獲得合規頻帶以及非合規頻帶分量總 和。***鈹濾波器,限於只測量合規頻帶部分。因此由不 含濾波器的總信號中,扣除ML鏡透射修正之合規頻帶射線 部分,獲得結論,一面ML鏡反射之總射線中約15%係超出 13.5奈米周圍2%頻帶之外。 10 光源大小以及質心移動係將光源微調供尖峰輸出進行 測量。使用針孔攝影機,採用背光照明CCD陣列以及鈹濾 波器。光源影像顯示於第2A(10)圖。影像係將攝影機定位 於軸線上拍攝。以68度角進行測量。平均光源大小(對1〇〇 個脈衝求平均)測得為0.25毫米X 2毫米於半最大值之全 15 寬。脈衝至脈衝EUV光源質心位移經作圖於第2A(11)圖。 平均位移約為50微米。 此外針孔攝影機技術,發明人經由將各時框之強度積 分,且計算數量之標準差,獲得EUV能量穩定性估值。結 果顯示9·5%(1ί)強度起伏波動。本測量值比較使用鈹濾波 20器、ML鏡及AXUV-100光二極體以標準測量值進行能量穩 定性所得測量值比較相當匹配。使用此種偵錯方式進行的 額外實驗將包括EUV光源大小與合規頻帶能量之交互關 聯。 由軸線上影像,申請人也獲得結論,並無任何EUV之 200403905 產生係來自於撮取與陽極端壁的交互作用。於撮取中心觀 察得最大EUV強度於撮取中心氙氣體係經由陽極的孔口注 入。於振取周邊未觀察得EUV發射,於撮取周邊氣氣體接 觸陽極端壁。 5高重複率操作 於南重複率時光源穩定操作對高曝光劑量以及準確劑 量控制相當重要。***光源之叢發模式操作改良。使用 10焦尊輸入能之諧振充電方案(類似西莫準分子雷射採用 之方案)’於重複率2千赫茲,最大叢發發射期升高至高達 10 300脈衝。 E U V脈衝之時間積分合規頻帶能係使用前文說明之多 層鏡-鈹H·光二極體_方案測^合規頻帶能相對於脈衝 數目資料顯示於第2A(12)圖。t重複率由低增至高 而氣體 此合物^化4 ’叢發脈衝數的增高觀察得euv輸出能嚴 15 20 重Ρ牛低k由對氣體組成配方作適當調整,可微調輸出, 俾於2千赫餘重複率,對3⑼脈衝長叢發獲得相對穩定的 EUV脈衝此。如圖所示,於持續時間約他丨5脈衝之變遷期 ,後,其於叢發時間之輸出能維持於高值。此種模式能量 生之對應;^準差測量值為㈣。於目前發明人尚未到 達Γ7重複轉作的任何基本擴雜限,目此隨著脈衝功率 以及熱力S理方案的升級,獅可進-步升高效能。 碎屑的緩和 申月人將、目及纪塗覆之♦晶圓暴露於卿產生的 碎屑,試圖評估碎相主要來源以及碎屑沉積於集極光學 76 200403905 裝置之速率。試驗之來源配置包含鎢陽極、鋁氧絕緣體、 及銅陰極。樣本暴露於3〇赫茲屯1〇5脈衝,距撮取距離5厘 米(鉬樣本)及11厘米(鈀樣本)。配置尺寸及安置尺寸顯示於 第1〇圖。暴露後,樣本藉能量分散χ光(EDX)分析作分析。 5結果摘述於下表1,顯示陽極(鎢)及絕緣體(氧、鋁)材料出 現於兩種距離包括5厘米及u厘米距離。 未觀察得任何陰極材料證據。小部分氙出現於5厘米位 置之鉬樣本。可能表示DPF產生之激活氙離子,或氙單純 結合於薄膜塗層。藉EDX未觀察得存在有氦,於5厘米處出 10現微弱但可偵測的鉬信號,指示沉積碎屑厚〇·5微米至2〇 微米,此乃EDX分析的典型滲透深度。如此申請人得自於 軸線上距離撮取5厘米處於每脈衝1-41〇-3奈米之碎屑產生 速率估值。 簡單光學技術測試DPF產生碎屑沉積特性。金屬於光 15譜可見光區的吸收通常較高。對應光學厚度直到出現可察 覺之透射比,於此區通常係遠低於四分之一波長,故未觀 察得干涉邊帶。根據藍伯特比爾法則:Si was paid for the best, and the fruit. The highest conversion efficiency at the input of 124 Joules 200403905 is 0.42%. The amount of energy stability is shown to have a standard deviation of the brain as it approaches the optimal display output. Based on the voltage overshoot waveform damping, the match between the driving circuit and the extraction was measured, and it was found that there was a strong correlation between the helium and the nutrient pressure. It can disperse X-ray (EDX) knife and analyze the debris from the water-injected source, showing that the main source of debris is the center electrode 5 and the insulator. No evidence of cathode material was seen. In addition to working towards more efficient operations, research into wool has been carried out in the first phase of the thermal engineering research effort. As a result, the use of conventional direct water cooling can be continuously operated at 200 Hz. The system can operate continuously with a high duty cycle in proportion to a low duty cycle. The data show that thermal power is spread throughout the system. The further analysis of the thermal power flow allows applicants to be more sure that this plasma source technology has the potential for high-volume manufacturing. "Shen" Yueren also achieved significant gains on K, and the conversion efficiency is close to the conversion efficiency of the more powerful Tian She generating electricity. The special requirement that the light source must meet is that the light source must closely match the design of the entire lighting system. The key light source parameters to be measured include: operating wavelength, compliance band ew power, non-compliance band power, light source size; maximum collection angle, high repetition rate expansion. Pulse-to-pulse repetition rate, and constituent elements facing electrocondensation The generation of broken genus 0 In the early development of DPF, Shen Jianren's efforts were directed towards the development of the basic pulse power technology needed to derive such optical 20 sources. The use of lithium vapor as the active radiation element demonstrates high conversion efficiency when stored energy (25 Joules). The storage capacity is too high and is not suitable for continuous expansion to high repetition rate operations. The development of a fourth generation machine allows applicants to use xenon as an active gas species. Applicants' recent efforts have focused on optimizing the performance of DPF using a as the source gas. In order to assist this 69 200403905 effort, China has invited people to study the development of pulse power, plasma initialization and characterization, EUV method, fragmentation mitigation and characterization, thermal power and the development of collector optics. System Description The fourth-generation compact plasma focusing system developed by the applicant uses a similar SIMO excimer laser power supply system, which has a solid-state switch and several magnetic pulse compression power supply systems (as shown in Figure i The explanation is the same as above), 俾 generates the high electric and high peak power pulses required for hunting DPF to generate EUV light. These systems start with a charging voltage of 1300 volts and produce an output pulse of about 4 kilovolts applied to which 10, with rise times shorter than 5 (nanoseconds). Although current measurement has not yet been performed, a circuit simulation based on the voltage waveforms obtained from typical experimental operations is predicted. The peak current of the DPF driving current is approximately 50 kV, and the along / out is Shiinai Amp / microsecond. This combination of high peak current and high edge / out allows DpF to function more effectively. 15 The most important features of this fourth-generation device are illustrated in Figure 33 and a list of the advantages of the deep plasma focusing device. As stated elsewhere, the applicant has demonstrated that the conversion efficiency (ratio of EUV restricted to power input in the compliance band at the intermediate focus) is approximately 0.5%. At the time of filing the application, the applicant has confirmed the following system performance parameters: 20 Current light source efficiency 50.45% ~ 55 millijoules ~ 0.4 x 2.5 mm < 0.05 mm, rms EUV efficiency using xenon (2% BW, 2 7t sr) Mother pulse EUV energy (2% BW, 2 7rsr) Average light source size (F WHM) Light source position stability (centroid) 70 200403905 Continuous repetition rate 1000 Hz Burst repetition rate 4000 Hz Energy stability ~ 7%, rms Average EUV output power (2% BW, 2 7Γ sr) 50W EUV output power, burst (2% BW, 2 7τ sr) 200W collection efficiency is about 20 to 30%, about half of the compliance band radiation collected The EUV can be transmitted to the intermediate focus using the techniques described herein. This confirms that the EUV power at the intermediate focus is currently about 5 watts on a continuous basis and currently about 200 watts on a burst basis. The applicant utilizes the improvements described here and expects to increase the continuous power of the intermediate focus to at least 45.4 watts in the near future, and eventually to 105.8 watts. The burst mode efficiency also grows roughly proportionally. Six fourth-generation DPF machines have been established for various experiments to study the optimization of the system, the front-end disengagement, the development of the power system, the mitigation of the metal, the management of heat, and the design of the collector. For experiments that do not require a high repetition rate μ (mKHz and above), the charging power of these DPF machines is simply supplied by the resistance charging of a DC power supply. DPF systems that require high repetition rate capability use a spectral vibration charging system to charge the primary energy storage capacitor c0 to 13,000 volts in less than 25 microseconds. This spectral vibration charging system also provides energy recovery, stores energy that is not used or dissipated in the form of 20 heat, and uses the recovered energy for down-pulse use. This reduces the amount of power required by the main power supply and also assists with other issues such as thermal management. Measurement In this case, Shen Yanren will make a comprehensive measurement of one of the applicant's low operating light sources operating at a frequency below 50 Hz. The inventors showed the dependence of the EUV output and conversion efficiency on the composition of the gas composition, provided non-compliant frequency band emission data 'and displayed measurements of light source size and position stability. Significant progress has been made over the past year in understanding the experimental dependencies between EUV output and electrode geometry, as well as aerodynamic issues. Significant changes compared to the previous generation device include a new cathode design that allows gas to be injected symmetrically around the anode region, and a system for injecting a mixture of helium and xenon via the anode. The gas delivery system was modified to allow a combination of helium and xenon to be injected into different areas of the DPF system. This system is shown schematically in Figure 丨. The gas control is controlled by two mass flow controllers and high-accuracy capacitive pressure gauges. The system operates in regulated mode. The xenon system is injected in a constant flow mode and supplemented with nitrogen to achieve the target operating pressure. In this mode, the helium flow rate is determined by the pumping speed of the system. The dependence on gas flow rate is determined by testing different pumping configurations. 15 By extracting the radiation emitted along the axis, it enters the different pumping detection chamber 204 through the orifice. Gas absorption in the measurement vessel is minimized by maintaining the pressure below 5 mTorr. For these measurements, the entrance of the error detection container is 5 cm from the picking area. Along the 5 cm path, the gas attenuation of the main DPF container is uncorrected, and the gas attenuation of the error detection container is also uncorrected. The rays from 20 shots were reflected by a molybdenum / silicon multilayer mirror and guided through a 1 micron thick beryllium pig to the uncoated IRD AXUV-100 photodiode. The typical measurement frequency sequence includes the voltage waveform of the recording pulse power system, DPF anode and photodiode as a function of various experimental parameters. The acquisition of data and the control of the gas system are carried out via a computer interface. 72 200403905 Representative dependency of EUV signal in compliance band (at 13.5nm, 2% bandwidth, 2; rsr) and chirp flow rate, constant operating pressure at 350 millitorr, capacitor in the first stage of pulse power system The fixed charging voltage is shown in Figure 2a (5). 5 When helium is injected into the anode and tritium is injected into the cathode, the EUV output from the light source when operating at a 20 Hz light source is significantly higher than the EUV output of helium injected into the main DPF container. Additional improvements can be observed by increasing the pumping capacity and increasing the helium flow rate. The effect of higher pumping speed makes the output of Euv less sensitive to the xenon mass flow setpoint, and increases the measured EUV output. 10 & As shown in FIG. 1 ', similar measurement was performed on the first capacitor segment C2, at a mosquito gas flow rate and a voltage of 1 volt, showing a change in helium pressure. Figure 2A⑹ shows the voltage waveform of the capacitor (C2) and the compliance band 13.5nm photodiode signal at the final stage of helium injection around the anode. The EUV signal is strongly dependent on the atmospheric pressure. Examining the C2 waveform shows that due to the undamped response, the energy recovered by this type of capacitor is determined based on the composition of the gas. A similar dependence was observed as a function of air velocity. The energy dissipated in the extraction area is obtained from the difference in energy stored in the C2 capacitor. At 1500 millitorr helium pressure, approximately 70% of the stored energy is dissipated in the extraction zone (8.8 Joules), while at 200 TOR, it corresponds to the stored energy value dissipated in the extraction zone 2 Is% 0 /. (11.9 Joules). This dependency is shown in Figure 2A⑺, where the photodiode signal 'completion amount, tritium consumption margin, and function as nitrogen pressure are plotted. Within this range, the EUV signal increases by a factor of ι0. The gas partial pressure increases step by step, resulting in a sharp decrease in the yield of Euv (not shown in these data). 73 Another interesting characteristic of the dependence on gas pressure is the displacement of the starting point of the EUV measured by a photodiode. Using a constant xenon flow rate, the pressure changed from 180 mTorr to 15,000 mTorr, resulting in a 150 nanosecond shift in Euv emission. In the traditional plow and shotgun mode operated by DPF, Applicant 5 predicts that the characteristic axial and radial transition times of the plasma front are expanded with the square root of the effective mass bifurcation. This expansion must be confirmed for this configuration. The proportionality constant is related to the effect of the seismic front sweeping the mass out of the electrode area. Based on the one-degree space plow mode, calculating these effects suggests that the effective mass in the axial and radial directions is significantly less than the effective mass derived from the actual gas pressure. 10 The dependence of average compliance band EIJV energy and energy efficiency on dissipated energy under fixed airflow conditions is shown in Figures 2A (8) and 2A (9) and 4b. These data are based on optimal light source conditions at peak Euv output. By reducing the charging voltage and keeping all other parameters constant, a lower energy input can be obtained. The data shown here refers to the best conditions of this experiment and the configuration shown in [1]. 15 The configuration uses different gas composition formulas and different anode geometries. Compared with the previous configuration at 10 Joules', the conversion efficiency (CE) is increased by 70/0. Although the energy consumed in the extraction is determined based on the gas composition formula, it can be seen that the dependence of the EUV energy shown in Figure 2A (6) and Figure 2A (7) is mainly based on the change of gas flow rate rather than the change of coupling. 20 Perform two types of EUV measurements around I3.5 nm outside the bandwidth. The measurement equipment setup is shown in Figures 2A (8) and 2A (9). The first type of measurement compares the total rays from the extraction on the axis with transmissions in the 130 nm-1300 nm frequency band, passing through the calcium fluoride window portion. The results show that about 0.5% of the total radiation emitted by the extraction is in the fluorine range between 130 nm and 1300 nm. 74 200403905 Calcium frequency band, similar to the results obtained by the previous applicant. In the second experiment, the part of the reflected rays reflected by the molybdenum / silicon multilayer mirror (ML mirror) and detected by the AXUV-100 photodiode was compared with the part of the rays transmitted through the 1 micron beryllium foil and reflected by the ML mirror. After being reflected by the ML mirror, the signal measured from the original photodiode without filter is used to obtain the sum of the components of the compliant band and the non-compliant band. Inserting a beryllium filter is limited to measuring only the compliant frequency band. Therefore, from the total signal without the filter, the compliant ray band of the ML mirror transmission correction is subtracted, and it is concluded that about 15% of the total ray reflected by an ML mirror is outside the 2% band around 13.5 nm. 10 The light source size and the center of mass movement are fine-tuned to measure the peak output. A pinhole camera was used with a backlit CCD array and a beryllium filter. The light source image is shown in Figure 2A (10). The image is taken with the camera positioned on the axis. Measured at an angle of 68 degrees. The average light source size (averaging 100 pulses) was measured to be 0.25 mm x 2 mm, which is the full width at half maximum. The centroid displacement of the pulse-to-pulse EUV light source is plotted in Figure 2A (11). The average displacement is about 50 microns. In addition to the pinhole camera technology, the inventor obtained the EUV energy stability estimate by integrating the intensity of each time frame and calculating the standard deviation of the quantity. The results showed 9.5 (1) intensity fluctuations. This measurement value comparison uses a beryllium filter 20 filter, an ML mirror, and an AXUV-100 photodiode to perform standardization on energy stability. Additional experiments using this type of debugging will include the correlation of EUV light source size and compliance band energy. From the image on the axis, the applicant also concluded that no 200403905 of EUV was due to the interaction between the extraction and the anode end wall. The maximum EUV intensity was observed at the extraction center, and the xenon system at the extraction center was injected through the anode orifice. No EUV emission was observed at the periphery of the vibration extraction, and the anode wall was contacted by the gas at the periphery of the extraction. 5 High repetition rate operation The stable operation of the light source at the south repetition rate is very important for high exposure dose and accurate dose control. Improved operation of the fourth generation light source in burst mode. A resonance charging scheme using a 10-joule input energy (similar to the scheme used by Simo excimer lasers) ’is used at a repetition rate of 2 kHz and the maximum burst emission period is increased to as high as 10 300 pulses. The time-integrated compliance frequency band of the E U V pulse can be shown in Figure 2A (12) using the multi-layer mirror-Beryllium H · photodiode _ scheme. The compliance band energy versus the number of pulses is shown in Figure 2A (12). The repetition rate of t increases from low to high and the gas is increased. The increase in the number of burst pulses is observed. The euv output can be strictly 15 20. The weight of k is low. The formula of the gas composition can be adjusted appropriately, and the output can be fine-tuned. With a repetition rate of 2 kHz, a relatively stable EUV pulse is obtained for a long burst of 3 chirp pulses. As shown in the figure, during the transition period of about 5 pulses, the output of the burst time can be maintained at a high value. The energy of this mode corresponds to ^; the quasi-difference measurement is ㈣. At present, the inventors have not yet reached any of the basic propagation limits of Γ7 repetition. Therefore, with the upgrade of pulse power and thermal management scheme, Lion can further improve its efficiency. Debris Mitigation Shen Yueren exposed the coated wafers to the debris generated by Qing, and tried to evaluate the main source of fragmented phases and the rate at which debris was deposited in the Collector Optics 76 200403905 device. The source configuration of the test includes a tungsten anode, an aluminum-oxygen insulator, and a copper cathode. The samples were exposed to a pulse of 30 Hz at 105 pulses, 5 cm (molybdenum sample) and 11 cm (palladium sample) from the sampling distance. The layout and placement dimensions are shown in Figure 10. After exposure, samples were analyzed by energy dispersive X-ray (EDX) analysis. 5 The results are summarized in Table 1 below, showing that the anode (tungsten) and insulator (oxygen, aluminum) materials appear at two distances including 5 cm and u cm distances. No evidence of cathode material was observed. A small portion of xenon appeared in the 5 cm molybdenum sample. It may indicate that the activated xenon ions produced by DPF, or xenon is simply bound to the thin film coating. The presence of helium was not observed by EDX, and a weak but detectable signal of molybdenum appeared at 5 cm, indicating that the sedimentary debris was 0.5 micrometers to 20 micrometers thick, which is the typical penetration depth of EDX analysis. The applicant thus obtained an estimate of the debris generation rate at a distance of 5 cm on the axis from 1 to 41 to 3 nanometers per pulse. Simple optical technology tests the DPF to produce debris deposition characteristics. The absorption of metals in the visible region of the light spectrum is usually higher. Corresponding to the optical thickness until the appreciable transmittance appears, this region is usually much lower than a quarter wavelength, so no interference sideband is observed. According to Lambert Bill's Law:

T=e'a*L 此處T為透射比,α為吸收係數以及^為薄膜厚度。因此若 20 ^與1^有相依性,則吸光比Α[定義為Log1G(l/T)]係與薄膜厚T = e'a * L where T is the transmittance, α is the absorption coefficient, and ^ is the film thickness. Therefore, if 20 ^ and 1 ^ are dependent, the light absorption ratio A [defined as Log1G (l / T)] is the same as the film thickness.

度成正比。若L係以脈衝數成正比,則由透明樣本上因DPF 產生之碎屑塗層吸光比測量值,呈脈衝數之函數,可確定 母脈衝之碎屑 >儿積速率。此種比例關係之實驗證實作圖 於第11圖。 77 2〇〇4〇39〇5 吸光比之測量允許比較於不同DpF操作條件下,碎屬 於不同樣本之沉積速率。發明人使用此種方法作為獲得碎 屬角向分佈的主要手段,以及作為得知因碎屬屏蔽件掩入 結果導致碎屑降低因數的主要手段。 5 $ 了評估碎屑屏蔽件構想的效果,設計且建立簡單單 通道試驗設施。試驗設施之幾何及重要尺寸顯示於第^ 圖。玻璃樣本置於距離電漿撮取6厘米位置,正面對電㉞ =、或於U金紅作缸(其中毫米直徑通道鑽孔貫 穿各工作缸)後方面對電漿撮取。試驗係以i厘米及a厘米通 1〇暹長度進仃。試驗期間,室内總壓力為0.7粍耳,氦氣注入 主容器,氣氣經由陽極注入。使用吸光比技術比較碎屬膜 厚度,對於於相同操作條件下暴露於相等脈衝數,但帶有 不同碎屑屏蔽件長度之樣本,求出碎屑減少因數(F)。若~ 定義為樣本未經任何保護情況,則F顯示碎屑屏蔽件如何有 15效麟效果。1厘米及2厘米厚單通道設施之實驗結果作圖 於第2A(17)圖。結果顯示每厘米屏蔽件長度之縮小因數 =議。結果比較第2八(16)圖所示,較為實際的多通道碎屑 屏蔽件測得之縮小因數。此種原型屏蔽件係藉電子放電切 削而由不鏽鋼製造。資料顯示於此等條件下,對長1厘米之 2 0多通這屏蔽件測得之縮小因數可媲美簡單i厘米單通道執 驗設施。如此讓發明人有信心將此種原型碎屑屏蔽件大: 擴充至實際光源操作應用需要的長度。 熱力工程 水冷卻電極為發展DPF放電區熱管理解決之道之第— 78 200403905 步驟,水冷卻電極已經設計出且於發明人的***EUV光 源作測試。此等電極可比較先前所能達成之重複率,以顯 著更高的穩態重複率,從事DPF操作之研究,且產生熱量 計量資料,顯示於各電極之熱能耗散情況。 5 陰極有四個分開的冷卻輸送及通風回路,各自用於環 形焊件的一個象限。流經各象限之流也以類似方式配置。 設計成内部藉水冷卻面積最大化,流經電襞加熱壁之傳導 路徑最小化,且係由帶有良好機械性質之高導熱銅合金製 造。於400 kPa,流經陰極的總水流速為每分鐘3·8升。水冷 10式笔極圖解顯示於弟2 Α( 18)圖。陽極係由水流經其焊接轉 成本體形成的兩個同心環形通道加以冷卻。如此允許水更 為接近被電漿最激烈加熱部分零組件。水可以相對高壓果 送通過電極,獲得高水流速,且維持較為有利的溫度梯度 於最回熱通置區。晚近試驗,水以11〇〇 ]^&泵送通過陽極, 15 獲得流速每分鐘11升。 水冷式電極試驗於短脈衝於至多2〇〇赫茲穩態重複率 進仃至高達數百赫茲。至目前為止,結果指示於電極冷卻 系、、先,s尚有其它數值尚未測量但大部分已經了解之系統 熱耗損考慮時,測量得之熱能輸入與電極冷卻系統之熱負 20載間存在有合理交互關聯。於水中離開電極之熱能未於陽 7與陰極間均分。典型地陰極去除熱量比陽極更多。資料 提示隨著重複率的升高,_去除較大比率熱量。預测其 原因係由於隨著重複率的升高,陽極溫度的上升比陰極溫 度的上升更快,以及陽極材料導熱率的對應降低顯著的緣 79 200403905 故。陰極比陽極具有遠較大冷卻面積,較短導熱路徑,遠 較咼導熱率。各電極去除之熱分量顯示於第2A(i9)圖。 驗證光源參數摘述於第2A(20)圖。去年申請人建立五 個新的DPF源,且將現有的***系統升級而將西莫操作 5系統總數增加至6。主要經由氣體組成配方以及氣體注入幾 何的最佳化,達成轉換效率的顯著改良。最佳達成2抓及2% 頻寬之轉換效率於約10.5焦耳及低重複率約為〇4%。使用 發明人經過證實之難充電器技術,對2千赫兹綱脈衝叢 發可驗證歡EUV輸出。今日實驗提Μ雜送系統連續 10最佳化可達成進一步改良。能量穩定性持續為約10%(1σ) 而要進一步改良。對改良CE源而言非合規頻帶之射線小於 0.5%。 收集於暴露於電漿撮取樣本上的碎屑特徵化,顯示主 要為陽極材料(鎢)及陽極絕緣體材料(鋁、氧)沉積。未見任 15何陰極材料證據。對單通道及多通道碎屑屏蔽件作碎屑減 少因數測量,顯示每厘米屏蔽件長度之縮小因數為1〇〇χ。 將此結果外推至縮小因數1〇8,提示需要4_5厘米屏蔽件長 度。 由於200赫茲連續操作電極所擷取之熱量測量值顯示 20約60%功率耗散於陰極,40%進入陽極。提示於5000赫茲重 複率以及10焦耳總輸入能時,必須由陽極中擷取出約20千 瓦熱量。此種條件下,使用0.4% CE,算出合規頻帶輻射功 率200瓦,於來源為2%8冒及27rSr。對全部衰減來源射線之 下游組成元件,必須使用適當縮小因數。 80 200403905 其它改良 雙重用途集極 由於EUV鏡有大反射耗損,鏡數目最小化對Euv微影 術照明系統而言為較佳。特殊設計之表面有額外特色,例 5如光束均化特色。其中一項特色為反射漫射鏡增加至前束 該類型補餘入射集極。 磁屏蔽件及前置游離器用於控制撮取 申請人已經證實磁場可用來控制撮取大小及位置。一 具體實施例中,設置於撮取區上方之永久磁鐵可縮短撮取 10長度。磁鐵可置於陽極,如第28Α圖所示。也可施加磁場來 輔助約束撮取。申請人證實撮取形狀及位置也可經由模式 化得自前置游離器138之前置游離信號加以控制,如第2Α(2) 圖所示。 金屬於溶液目標 15 提供目標材料於電漿撮取位置之技術係使用金屬形成 液態溶液且將目標呈液體形式注入。 當含金屬之液體溶液嵌置於放電室時,金屬無需藉加 熱輸达。目標的輸送可以所謂之質量限制方式進行,換言 之’只輸送正確量的金屬(粒子)而不會輸送超過需要量。如 2〇此未留下額外粒子,否則額外粒子將構成來源產生之非期 望4屑。若施加夠高的反壓,則目標材料可由喷嘴以液體 嘴射輸运。藉此方式目標材料可輸送至放電區,且可避免 整個放電室内皆被目標材料所填滿。因使用膠體粒子於懸 汙液或液體或粒子於液體,故目標密度可比金屬蒸氣目標 81 200403905 密度遠更高。經由選用正確濃度之液體金屬含量,可提供 最佺化貝里限制金屬目標。只將液體注入放電室内也比基 於熱官原理,建構金屬蒸氣輸送系統遠較為簡單。硝酸錫 為產生13.5奈米至14奈米EUV光的有效目標。 5 &下第細圖所示,當利用安裝線圈施加脈衝化磁場 时,觀察到EUV輸出及前置游離的改良。線圈電流脈衝顯 示於第30圖。此種脈衝於陽極終端產生2〇〇至5〇〇高斯的磁 場。可見前置游離的改良,如第29A圖之陽極波形顯示。 C2波形之對應變化顯示於第29B圖。施加脈衝化磁場,結 10果獲得陽極陰極區之前置游離密度較高,如第29A圖之陽極 電疋降可證。EUV輸出隨著脈衝化磁場而增高。合規頻帶 EUV波形顯示於弟29C圖’ B場為開及關。施加脈衝化磁 場’ EUV輸出對輸入能之總相依性顯示於第29C圖上方曲 線。下方曲線係不含脈衝化B場。第2A(9)圖顯示由此處討 15 論之電極幾何改良所得效率改良,包括氣體泵送及前置游 離變化以及使用磁力效應之電漿電力學。 金屬目標可利用液體、流體、溶液或懸浮液輸送。化 合物於指定壓力(反壓)於室溫附近例如約10°C至約50°C須 為液體。此項技術適用於任何可產生EUV或X光射線的經撮 20 取的(磁力自我壓縮)放電’例如緊密電漿聚焦DPF放電、Z-撮取放電、HCT撮取(=中空陰極觸發撮取)放電或毛細放 電。液體可經由放電裝置之前者氣體注入口輸送’參考第 18A圖,例如此時放電裝置為DPF。另一具體實施例中,參 考第23圖,液體於高壓’或液體可由極高壓(約80大氣壓) 82 200403905 氦氣加壓,且透過有極小開口(約5〇微米至約10微米開口) 之喷射噴嘴輸送至放電區。藉此方武’含金屬液體被侷限 成為窄液體喷射。噴射交叉放電撮取區。可加上額外氣體 來促進有效撮取放電的發展。液體及氣化氣體可藉附近傾 5 瀉口使用真空幫浦泵送去除。喷嘴为外也可經由噴嘴或經 由内電極進行喷嘴擴大,形成一系列液滴或(更為擴散性) 液體噴霧擴大。液體提供方便輸送於溶液中稀釋成最佳濃 度之金屬至放電區的溶液手段。町避免需要加熱金屬來獲 得金屬蒸氣。 10 較佳金屬為可提供於約13奈米炱約15奈米區有效產生 EUV之金屬。該等金屬包括鋰、錫、銦、鎘及銀。鋰(Li2+) 於13.5奈米有強力變遷。錫(sn)、銦(In)、鎘(Cd)及銀(Ag) 具有來自若干離子物種之強力4d-4f變遷陣列重疊於13至15 奈米波長區。(由13奈米前進至15奈米時,EUV微影術之多 15層鏡之尖峰反射率降低,但同時其頻寬增加。故整體反射 強度仍南’南於14奈米之波長於此處仍然令人感興趣)。較 佳溶液為醇類例如異丙醇、甲醇、乙醇等也包括水或甘醇。 較佳化學化合物為氟化鋰、氣化鋰、溴化鋰鹽溶解於 水(舉例)。對錫、銦、鎘及銀而言,較佳溶液同樣為氣化物 20溶液、溴化物溶液及氟化物溶液。此外也包括金屬硫酸鹽 及金屬梢酸鹽。 硝酸錫(Sn(N〇3)4)是最令人感興趣的化合物。同理,也 可使用硝酸銦(In(N〇3)3)、硝酸鎘(Cd(N〇3)2)及硝酸銀 (Ag(N〇3))也令人感興趣。也可使用奈米粒子及微米粒子之 83 200403905 溶液或懸浮液。也須考慮將此種奈米粒子及微米粒子藉渦 流嵌合入氣氣流中而絲毫也未使用液體來輸送。 得自電子衝擊之額外EUV光 申請人提議使用由激活電子衝擊所得之光來補充藉電 5 漿撮取產生的合規頻帶光。 除了氣態撮取電漿產生的EUV射線之外,由激活電子 衝擊帶有適當吸收緣固體產生的布倫斯左龍(=軟1光射線) 也產生EUV射線。此乃一般性構想。以發明人之Dpp源為 例(舉例),已知以正極性於中心電極(==陽極)操作時,產生 10電子束(電子此為數千電子伏特)碰撞中心電極之内前方。對 13_5奈米射線而言矽為置於此處的適當材料。矽之L吸收緣 係出現於13.5奈米。因此激活電子將產生13 5奈米輻射。如 此完全係於撮取電漿氙離子產生之主要13·5奈米射線之額 外射線。故當陽極中心部分(通常任何電子束衝擊位置)由矽 15製成時,將產生更多EUV射線。電子動能1〇千電子伏特約 略為敢佳效率之動能。例如將石夕置於鶴陽極内部。於衝擊 位置不含石夕(=本操作模式),則無吸收緣匹配(例如鎢),結 果未於13.5奈米產生額外射線。矽於此處為最重要,但該 原理也同等適用於其它材料於其它波長。(例如嵌入鈹來於 20 Be Κ邊緣產生11.5奈米射線)。本技術草圖顯示於第24圖。 藉濺鍍產生金屬蒸氣 較佳具體實施例中,活性氣體(鋰或錫蒸氣)及前置游離 係於單一系統提供。此種情況下,金屬目標以放電濺鑛, 產生金屬蒸氣,也產生促進主放電所需要的任何游離。濺 84 200403905 鍍功率來源較佳為信號產生器、100瓦線性射頻放大器及 2000瓦指令放大器。固態鋰或錫目標較佳置於中心電極中 空部分’藏鑛放電被導向該目標。 例如申請人之***EUV光源於第19圖之過渡焦點11 5 ,產生約5瓦合規頻帶EUV能量。申請人預期使用現有技術, 未來設計可將如此5瓦增強至約45.4瓦。但有些EUV微影術 介質設計師已經表達希望功率可超過100瓦。申請人提議使 用此處所述技術,組合兩個EUV光源成為單一EUV系統來 達成此項目的。 10 波長範圍 此處討論之各具體實施例特別係就可於12奈米至14奈 米光譜範圍產生紫外光之光源作討論。原因在於鏡供應商 報告對此種波長範圍之紫外光發展多層接近法線鏡之開發 相當成本。典型地,此等鏡於12至14奈米範圍有最大反射 15率約0·6至0·7 ’依據特殊鏡設計而定,鏡典型具有FWHM頻 見約0·6奈米。故典型鏡只涵蓋12奈米至14奈米光譜範圍。 因此理由故’極為重要地須小心匹配光源之光譜輸出 與鏡反射率光譜範圍,該鏡將用來導引光束,例如於微影 術掃描機使用的鏡。 20 上 士 / 讀者也須了解本說明書之教示適用於比大部分 目前極 端紫外光注意力集中的12奈米至14奈米遠更寬廣的光譜範 圍。例如可對11奈米範圍製作良好鏡,較佳將此等撮取裝 置用於高於14奈米至約50奈米波長範圍。未來也可能實現 投射微影術縮小至約5奈米。此外經由進入χ光近端微影 200403905 術’可使用此處所述光源應用至低抵約〇 · 5奈米的光源。 用於投射微影術,須選擇活性材料,該材料於鏡之反 射率範圍至少有一良好發射線可供用於投射良好線,該活 性材料可應用於整個極端紫外光光譜。良好線也可應用於 5低抵〇.5奈米之近端微影術。因此申請人相信此處表達的多 種以及大部分構想及概念可應用於由約05奈米至約 米的波長範圍。 Τ 10 15 20 项】胛刖迷具體實施例僅供舉例說明少數 日:原理之應用之多項可能的特定具體實施例。例= 核工作氣體,較佳單純捕捉鐘而排放氦。使用鶴與銀以外 的其它電極塗覆組合亦屬可能。例如銅或電極及塗膜可 X揮力用其匕產生電漿撮取技術可用來替代此處所述特 =具體實_。若干其它技術述於本說明書之先前技術節 引迷的專職’翻案之說” Μ財絲入此處。 j多種高頻高Μ電脈衝之產生方法可取得且可供利用。 二替代之道係將燈管_於室溫,如此㈣及鶴試圖朝 減^官縱向方向前進時綠錢鎢。此項絲構想進一步 /到達術I具使㈣光學元件之销量,原子於衝 擊燈管壁時將永久附著於'' 、 呌士 ㈣於H經由將集極光學裝置設 經由一次放電室的小孔口而再度成像射束點、以及 :::。1 氣或氨氣可經孔口由二次放電室沉積於第 輸出窗。氫=:有=_極材料沉積於銅蒸氣· a代鐘°该單凡可呈靜態填補系統 86 200403905 操作,而無工作氣體流經電極。當然由單一脈衝至每秒^ ,^至每秒數百或數千脈衝之極為寬廣的重複率皆屬可 月匕。右有所需,固態鐘位置的調整機構可經修改,讓中心 電極梢端位置也可調整來考慮梢端溶姓問題。 5 ㉟了前文說明之電極配置之外,多項電極配置皆屬可 能。▲例如外電極可為錐形而非圓柱形,如朝向撮取部的直 徑較大所示。此外若干具體實施例之性能可經由讓内電極 凸起超出外電極末端之外而改良。此項任務可使用火星塞 或業界眾所周知的其它前置游離器達成。另一較佳替代之 ίο道係利用桿陣列排列形成概略圓柱形或錐形作為外電極。 此種辦法由於產生感應鎮流,故有助於維持取中於電極軸 線之對稱撮取。 如此讀者須以隨附之申請專利範圍及其法定相當範圍 來界定本發明之範圍而非以前文列舉之實施例來界定本發 15 明之範圍。 【圖式簡單說明】 第1圖為可用作為EUV及軟X光光源之功率源,脈衝電 源系統之電圖。 第1A圖顯示脈衝變壓器之結構元件。 20 第1B及1C圖顯示測試資料。 第1D圖顯示反極性脈衝功率源。 第2A圖顯示緊密電漿聚焦EUV裝置之電特性。 第2A⑴及2A(2)圖顯示電漿撮取EUV裝置原型機之剖 面圖。 87 200403905 第2A(3)圖顯示帶有真空室之原型機。 第2A(4)圖顯示流動冷卻裝置。 第2A(5)圖顯示流對輸出的影響。 第2Α(6)-(20)圖顯示效能資料。 5 第2Α(21)圖顯示特殊DPF組態。 第2Β圖顯示習知Ζ-撮取裝置特色。 第2C圖顯示中空陰極Ζ-撮取裝置特色。 第2D圖顯示毛細放電裝置特色。 第3Α及Β圖顯示氙光譜。 10 第4、4Α及4Β圖顯示雷射產生電漿系統之特色。 第4C圖顯示混成EUV系統。 第5A-C圖顯示碎屑集極之製造方法。 第6Α及6Β圖顯示第二碎屑集極之特色。 第7Α、Β及C圖顯示第三碎屑集極之特色。 15 第8圖顯示13.5奈米鋰尖峰相對於MoSi塗層之反射率 之關係。 第8A圖為雙曲面集極之透視圖。 第8B圖顯示橢球集極產生之EUV光束之一部分。 第8B圖顯示雙曲面集極產生之EUV光束之一部分。 20 第9、9A、9B及9C圖顯示射線集極及碎屑集極之組合。 第10圖顯示氙光譜之多層鏡光譜。 第11A圖為圖表顯示各種材料對13.5奈米紫外光之反 射率。 第11、B、C及D圖顯示集極設計。 88 200403905 第12圖為略圖顯示導入來源氣體及工作氣體之技術。 第13圖為時序圖顯示陽極電壓及EUV強度。 第14A、14B、14C及14D圖顯示多種中心電極設計對電 漿撮取的影響。 5 第15圖為略圖顯示使用RF能來操作鋰蒸氣來源氣體之 技術。 第16圖顯示於較佳DPF裝置,用於陽極之熱管冷卻技 術。 第17圖顯示氣體控制技術。 10 第18A、B、C及D圖顯示於真空容器較佳具體實施例控 制活性氣體及緩衝氣體之技術。 第19圖顯示銜接橢球鏡配置。 第19A、B及C圖顯示恰位於二焦點下游之EUV側繪圖 形狀。 15 第20、20A、21及22圖顯示電極之水冷卻技術。 第23、24及26A及26B圖顯示電極設計。 第25圖顯示減少電極溶蝕之技術。 第27A及27B圖顯示維護技術。 第28A及28B圖顯示使用磁鐵來控制撮取。 20 第29A、29B、29C及30圖顯示脈衝形狀。 第31圖顯示前置游離技術。 第32圖顯示前置換向的影響。 第33圖顯示緊密電漿聚焦之優點。 89 200403905 【圖式之主要元件代表符號表】 10.··真空室 11.. .中間焦點 12…進氣 13.. .電感器 14,24…埠口 30.. .鋰金屬目標 3〇,44...橢球面鏡 32.. .準分子雷射 32.. .角度 34···窗 36…透鏡 38.. .鐘金屬 42…鏡 42…集極 46…電漿撮取源 48.. .焦點 50.. .偵測器 50.. .鋰填充鎢天線 52.. .截面 52.. .射頻電源 54.. .光束側繪截面 54.. .氣體流 60,62…絕緣體 2…喷嘴 3.. .排氣埠口 3.. .真空 4.. .氣體管 4,8...集極導向器 5,6...碎屑集極 6.. .碎屑捕集器 8a...陽極 8A."中心電才查 8A...陽極 8A...中心電極 8A1...放電部 8A2...下部 8A3...不鏽鋼底部 8B…陰極 8B...外電極 8B...地電極 8B1...蓋 8B2···底 8C...絕緣體 8D...高電壓脈衝電源 9C...絕緣體 9E...中空區 90 200403905 64.. .陽極 65…陰極 66.. .集極鏡 68···碎屑捕集器 69.. .源室 70··.集極室 71.. .撮取源容積 72…閘閥 74.. .機械快門 76…中空圓錐 77…箔片 78.. .金屬模型 80,81…釕塗覆之橢球面鏡 82,83...釕塗覆之拋物面鏡 84.. .拋物面雙重反射鏡 85.. .拋物面三重反射鏡 86.. .拋物面鏡 87…曲線示蹤鏡 88.··多層拋物面鏡 92.. .過早游離 93.. .過遲游離 100…撮取區 102.. .蜂巢式碎屑屏蔽件 104…射線集極-導向器 106 A-C…射線軌跡 120…真空幫浦 122,126…微影術單元 124…篋 138.. .火星間隙前置游離器 138.. .火星塞型接腳 400.. .直流電源供應器 402…諧振充電器單元 404.. .貫體脈衝電源糸統 406…脈衝變壓器 408.. .偏壓電路 409A-C···箭頭 409D...軌跡 418···鐵心 420A,420B···圓環 422···心軸 424.. .隔件 426.. .螺栓 440…陽極中心 442…鋰熱管系統 444.. .鋰熱管 446···傳熱區 448.. .熱阱表面 450.. .水盤管 91 200403905 452…限制工作缸 D3…飛輪二極體 454…上下滑動 D4...迪金二極體 460...水通道 L1...充電電感器 462...主絕緣體 L3,L5,L6…偏壓電感器 464··.铭氧層 L53…最末可飽和電感器 466…聚醯亞胺 LS...磁性開關 468…箭頭 R1...電阻器 470...隔板 S1...指令充電開關 C0...電容器排組 S2...迪金開關 C1...電容器 C2...放^電 VI...偏壓電源供應器 92Degree is directly proportional. If L is proportional to the number of pulses, the absorbance ratio measurement of the debris coating due to DPF on the transparent sample is a function of the number of pulses, and the debris of the mother pulse can be determined > Child product rate. The experimental confirmation of this proportional relationship is plotted in Figure 11. 77 2 0 4 0 39 05 The measurement of the absorbance ratio allows comparison of the deposition rate of different samples under different DpF operating conditions. The inventors used this method as the main means to obtain the angular distribution of the debris, and as the main means to know that the debris was reduced by the debris shielding result. $ 5 evaluated the effectiveness of the debris shield concept and designed and built a simple single-pass test facility. The geometry and important dimensions of the test facility are shown in Figure ^. The glass sample was placed 6 cm away from the plasma picking, and the front faced the electric pick-up, or the U gold red cylinder (where the millimeter diameter channel drilled through each working cylinder) and faced the plasma picking. The test was performed at a length of 10 cm and a cm of 10 cm. During the test, the total indoor pressure was 0.7 Torr, helium gas was injected into the main container, and gas was injected through the anode. The absorbance ratio technique was used to compare the film thickness of the fragments. For samples exposed to the same number of pulses under the same operating conditions but with different lengths of the debris shield, the debris reduction factor (F) was determined. If ~ is defined as the sample without any protection, then F shows how the debris shield has 15 effects. The experimental results for 1 cm and 2 cm thick single-pass facilities are plotted in Figure 2A (17). The results show that the reduction factor per cm of shield length = negotiable. The results compare the reduction factors measured in a more practical multi-channel debris shield as shown in Figure 28 (16). This prototype shield is made of stainless steel by electronic discharge cutting. The data shows that under these conditions, the reduction factor measured for a 20 cm multipass shield of 1 cm in length is comparable to a simple i cm single channel inspection facility. This gave the inventors the confidence to make this prototype debris shield large: extended to the length required for practical light source operation applications. Thermal Engineering Water-cooled electrodes are the first step in the development of thermal management solutions for DPF discharge zones — 78 200403905. Water-cooled electrodes have been designed and tested on the inventor's fourth-generation EUV light source. These electrodes can compare the repetition rates that can be achieved previously, with significantly higher steady-state repetition rates, engaged in research on DPF operations, and generate heat measurement data to show the thermal energy dissipation of each electrode. 5 The cathode has four separate cooling delivery and ventilation circuits, one for each quadrant of the ring weldment. The flow through the quadrants is also configured in a similar manner. It is designed to maximize the internal cooling area by water, minimize the conduction path through the electric heating wall, and is made of high thermal conductivity copper alloy with good mechanical properties. At 400 kPa, the total water flow rate through the cathode is 3.8 liters per minute. The water-cooled type 10 pen pole diagram is shown in Figure 2 Α (18). The anode is cooled by water flowing through two concentric annular channels formed by its welding converter. This allows water to be closer to the parts that are most intensely heated by the plasma. Water can be passed through the electrode at a relatively high pressure to obtain a high water flow rate and maintain a more favorable temperature gradient in the most recuperative zone. In a recent test, water was pumped through the anode at 1100 ° C and a flow rate of 11 liters per minute was obtained. Water-cooled electrode tests are performed with short pulses at steady-state repetition rates up to 200 Hz up to several hundred Hz. So far, the results indicate that there are other values in the electrode cooling system, first, and s that have not yet been measured, but most of the known system heat loss considerations exist between the measured heat input and the heat load of the electrode cooling system. Reasonable interaction. The thermal energy leaving the electrode in water is not evenly divided between the anode 7 and the cathode. The cathode typically removes more heat than the anode. The data suggests that as the repetition rate increases, _ removes a larger percentage of heat. The reason for this prediction is that as the repetition rate increases, the anode temperature rises faster than the cathode temperature, and the corresponding decrease in the thermal conductivity of the anode material is significant. The cathode has a much larger cooling area than the anode, a shorter thermal path, and a much higher thermal conductivity. The amount of heat removed by each electrode is shown in Figure 2A (i9). The verification light source parameters are summarized in Figure 2A (20). Last year the applicant established five new DPF sources and upgraded the existing fourth-generation system to increase the total number of SIMO 5 systems to 6. Significant improvements in conversion efficiency are achieved primarily through optimization of the gas composition formula and the geometry of the gas injection. The best conversion efficiency of 2 and 2% bandwidth is about 10.5 Joules and the low repetition rate is about 0.4%. Using the inventor's proven charger technology, 2KHz pulse bursts can verify the EUV output. Today's experimental optimization of the MG miscellaneous delivery system for 10 consecutive optimizations can achieve further improvements. The energy stability continues to be about 10% (1σ) and needs to be further improved. Rays in non-compliant bands for improved CE sources are less than 0.5%. Characterization of the debris collected on plasma samples exposed to plasma showed that it was mainly the deposition of anode materials (tungsten) and anode insulator materials (aluminum, oxygen). No evidence of cathode material was found. Debris reduction factor measurements on single-channel and multi-channel debris shields showed a reduction factor of 100x per cm of shield length. Extrapolating this result to a reduction factor of 108 suggests that a shield length of 4-5 cm is required. As the heat measurement value obtained by continuously operating the electrode at 200 Hz shows that about 60% of the power is dissipated in the cathode and 40% goes into the anode. Note that at a repetition rate of 5000 Hz and a total input energy of 10 Joules, approximately 20 kilowatts of heat must be extracted from the anode. Under such conditions, 0.4% CE is used to calculate the radiated power in the compliance band of 200 watts, with the source being 2% and 27rSr. Appropriate reduction factors must be used for all downstream components of the attenuation source ray. 80 200403905 Other improvements Dual-use collector Due to the large reflection loss of EUV mirrors, minimizing the number of mirrors is better for Euv lithography lighting systems. Specially designed surfaces have additional features, such as the beam homogenization feature. One feature is the addition of a reflective diffuser to the front beam. This type of complementary incident collector. Magnetic shield and front dissipator are used to control the extraction. The applicant has confirmed that the magnetic field can be used to control the extraction size and position. In a specific embodiment, the permanent magnet disposed above the pick-up area can shorten the pick-up length. The magnet can be placed on the anode as shown in Figure 28A. A magnetic field can also be applied to assist in restraint extraction. The applicant has confirmed that the extraction shape and position can also be controlled by patterning the pre-free signal from the pre-free device 138, as shown in Figure 2A (2). Metal-in-Solution Target 15 The technology that provides the target material at the plasma extraction location is to form a liquid solution using metal and inject the target in liquid form. When the liquid solution containing metal is embedded in the discharge chamber, the metal need not be transported by heating. The conveyance of the target can be performed in a so-called mass-limiting manner, in other words, 'the correct amount of metal (particles) is conveyed without exceeding the required amount. If there are no extra particles left, otherwise the extra particles will constitute undesired debris generated by the source. If a sufficiently high back pressure is applied, the target material can be transported by the nozzle as a liquid nozzle. In this way, the target material can be transported to the discharge area, and the entire discharge chamber can be prevented from being filled with the target material. Because colloidal particles are used in suspension or liquid or particles in liquid, the target density can be much higher than the metal vapor target 81 200403905. By choosing the correct concentration of liquid metal content, it is possible to provide the most optimized Berry limit metal target. Injecting liquid into the discharge chamber is also simpler than constructing a metal vapor delivery system based on the thermal principle. Tin nitrate is an effective target for generating EUV light from 13.5 nm to 14 nm. 5 & As shown in the detailed figure below, when a pulsed magnetic field is applied by using a mounting coil, improvements in EUV output and front release are observed. Coil current pulses are shown in Figure 30. This pulse generates a magnetic field of 200 to 500 Gauss at the anode terminal. It can be seen that the front release is improved, as shown by the anode waveform in FIG. 29A. The corresponding change in the C2 waveform is shown in Figure 29B. The application of a pulsed magnetic field resulted in a higher free density before the anode cathode region was obtained, as shown in the anode voltage drop in Figure 29A. The EUV output increases with the pulsed magnetic field. The compliance band EUV waveform is shown in Figure 29C. The B field is on and off. The total dependence of the applied pulsed magnetic field 'EUV output on input energy is shown in the upper curve of Figure 29C. The bottom curve does not include a pulsed B field. Figure 2A (9) shows the efficiency improvements resulting from the electrode geometry improvements discussed here, including gas pumping and pre-movement changes, and plasma electrics using magnetic effects. Metal targets can be delivered using liquids, fluids, solutions or suspensions. The compound must be liquid at a specified pressure (back pressure) near room temperature, such as about 10 ° C to about 50 ° C. This technology is suitable for any 20-punch (magnetic self-compressing) discharge that can generate EUV or X-rays, such as compact plasma focused DPF discharge, Z-pickup discharge, HCT extraction (= hollow cathode-triggered extraction ) Discharge or capillary discharge. The liquid can be transported through the gas injection port of the former of the discharge device ', refer to FIG. 18A, for example, the discharge device is a DPF at this time. In another specific embodiment, referring to FIG. 23, the liquid is at a high pressure or the liquid may be pressurized by an extremely high pressure (about 80 atmospheres). 82 200403905 Helium gas is passed through a small opening (about 50 microns to about 10 microns). The spray nozzle is delivered to the discharge zone. As a result, Fangwu 'metal-containing liquid is restricted to a narrow liquid jet. Jet cross-discharge extraction area. Additional gas can be added to promote the development of effective extraction discharge. Liquids and vaporized gases can be removed by pumping with a vacuum pump by using the nearby 5 spout. The nozzle is external and can be expanded by the nozzle or by the internal electrode to form a series of droplets or (more diffuse) liquid spray expansion. The liquid provides a convenient means of transporting the metal diluted to the optimum concentration in the solution to the discharge zone. Avoid the need to heat the metal to get the metal vapor. 10 Preferred metals are those that can provide EUV efficiently in the region of about 13 nanometers to about 15 nanometers. These metals include lithium, tin, indium, cadmium and silver. Lithium (Li2 +) has a strong change at 13.5 nm. Tin (sn), indium (In), cadmium (Cd), and silver (Ag) have powerful 4d-4f transition arrays from several ionic species that overlap in the 13 to 15 nanometer wavelength region. (From 13 nanometers to 15 nanometers, the peak reflectance of 15 layers of EUV lithography is reduced, but at the same time its bandwidth is increased. Therefore, the overall reflection intensity is still south, and the wavelength is 14 nanometers here. Office is still interesting). Preferred solutions are alcohols such as isopropanol, methanol, ethanol, etc. Also include water or glycol. Preferred chemical compounds are lithium fluoride, lithium vaporized, and lithium bromide salts dissolved in water (for example). For tin, indium, cadmium, and silver, preferred solutions are also the gaseous solution 20, the bromide solution, and the fluoride solution. It also includes metal sulfates and metal spikes. Tin nitrate (Sn (NO3) 4) is the most interesting compound. In the same way, indium nitrate (In (No. 3) 3), cadmium nitrate (Cd (No. 3) 2), and silver nitrate (Ag (No. 3)) are also interesting. 83 200403905 solutions or suspensions of nano particles and micro particles can also be used. It is also necessary to consider that such nano-particles and micro-particles are fitted into the airflow by vortex flow, and no liquid is used for transportation. Additional EUV Light from Electronic Shock The applicant proposes to use light obtained by activating the electronic shock to supplement the compliant band light generated by the borrowing. In addition to gaseous extraction of plasma EUV rays, Bruns left dragon (= soft 1 light ray) generated by activating electrons impinging on solids with appropriate absorption edges also generates EUV rays. This is a general idea. Taking the inventor's Dpp source as an example (for example), it is known that when operating with a positive polarity at the center electrode (== anode), 10 electron beams (electrons are thousands of electron volts) are collided into the front of the center electrode. Silicon is a suitable material for 13_5 nm rays. The L absorption edge of silicon appears at 13.5 nm. So activating electrons will produce 13 5 nm radiation. This is entirely based on the extraction of the additional 13.5 nm rays produced by plasma xenon ions. Therefore, when the central portion of the anode (usually any electron beam impact location) is made of silicon 15, more EUV rays will be generated. The kinetic energy of electrons is about 10 kilovolts, which is the kinetic energy for the best efficiency. For example, Shi Xi is placed inside the crane anode. There is no Shi Xi at the impact position (= this operation mode), then there is no absorption edge matching (such as tungsten), and as a result, no extra rays are generated at 13.5 nm. Silicon is the most important here, but the principle is equally applicable to other materials at other wavelengths. (Eg embedding beryllium to generate 11.5 nm rays at the edge of 20 Be κ). This technical sketch is shown in Figure 24. Metal Vapor Generation by Sputtering In a preferred embodiment, the reactive gas (lithium or tin vapor) and pre-free are provided in a single system. In this case, the metal target is spattered by the discharge, generating metal vapor, and also generating any freeness required to promote the main discharge. The source of the plating power is preferably a signal generator, a 100 watt linear RF amplifier, and a 2000 watt command amplifier. A solid lithium or tin target is preferably placed in the hollow portion of the center electrode ' For example, the applicant's fourth-generation EUV light source generates approximately 5 watts of EUV energy in the compliance band at the transition focus 11 5 of FIG. 19. The applicant anticipates that using existing technology, future designs can enhance such 5 watts to approximately 45.4 watts. But some EUV lithography media designers have expressed a desire for powers in excess of 100 watts. The applicant proposes to use the technique described here to combine two EUV light sources into a single EUV system to achieve this project. 10 Wavelength Range The specific embodiments discussed herein are specifically discussed with respect to light sources that can generate ultraviolet light in the 12 nm to 14 nm spectral range. The reason is that mirror suppliers report that the development of multilayer near-normal mirrors for this wavelength range is quite costly. Typically, these mirrors have a maximum reflectance in the range of 12 to 14 nanometers, with a 15% rate of about 0.6 to 0.7, depending on the design of the particular mirror. The mirrors typically have a FWHM frequency of about 0.6 nanometers. Therefore, a typical mirror covers only the 12 nm to 14 nm spectral range. For this reason, it is extremely important to carefully match the spectral output of the light source with the spectral range of the reflectance of the mirror. This mirror will be used to guide the beam, such as a mirror used in lithography scanners. 20 Sergeants / readers also need to understand that the teachings in this manual apply to a broader spectral range than 12 nm to 14 nm, which is where most current extreme ultraviolet light is focused. For example, a good mirror can be made for the 11 nm range, and it is preferable to use these picking devices for a wavelength range from 14 nm to about 50 nm. It is also possible to reduce the projection lithography to about 5 nm in the future. In addition, by accessing the x-ray near-end lithography 200403905, the light source described herein can be applied to a light source as low as about 0.5 nm. For projection lithography, an active material must be selected. The material has at least one good emission line in the reflectance range of the mirror for good projection. The active material can be applied to the entire extreme ultraviolet light spectrum. The good line can also be applied to the near-end lithography of 5 nanometers. The applicant therefore believes that many and most of the ideas and concepts expressed herein can be applied to a wavelength range from about 05 nanometers to about meters. Item Τ 10 15 20] The specific embodiments are only for the purpose of illustrating a few examples. Many possible specific embodiments for the application of the principle. Example = Nuclear working gas, it is better to simply capture the clock and emit helium. It is also possible to use a combination of crane and electrode coating other than silver. For example, copper or electrodes and coating films can be used to generate plasma extraction technology with their daggers, which can be used instead of the specific features described here. A number of other techniques are described in the full-time 'reporting case' M Caisi attracted by the previous section of this specification. J A variety of high-frequency and high-M electrical pulse generation methods are available and available. Two alternative approaches Keep the lamp at room temperature, so that it is green and tungsten when the crane tries to advance in the longitudinal direction. This silk concept is further / reached. I will make the sales volume of the optical element, and the atom will impact the wall of the lamp. Permanently attached to, ”呌 士 ㈣, H re-imaged the beam spot by setting the collector optics through the small aperture of the primary discharge chamber, and :::. 1 gas or ammonia can be passed through the aperture from the secondary The discharge chamber is deposited on the first output window. Hydrogen =: Yes = _ pole material is deposited on copper vapor · Generation A clock ° This single fan can operate as a static filling system 86 200403905 without working gas flowing through the electrode. Of course from a single pulse to The extremely wide repetition rate of ^, ^ to hundreds or thousands of pulses per second are all daggers. If necessary, the adjustment mechanism of the solid-state clock position can be modified, so that the position of the center electrode tip can also be adjusted Let ’s consider the issue of the surname melting name. In addition to the pole configuration, multiple electrode configurations are possible. ▲ For example, the outer electrode can be tapered instead of cylindrical, as shown by the larger diameter facing the picking portion. In addition, the performance of some specific embodiments can be achieved by making the inner electrode convex It can be improved beyond the end of the outer electrode. This task can be achieved by using a Mars plug or other front dissector known in the industry. Another better alternative is to use a rod array to form a roughly cylindrical or conical shape as the outer Electrode. This method helps to maintain a symmetrical extraction centered on the electrode axis due to the induction ballast. Therefore, the reader must define the scope of the present invention with the scope of the attached patent application and its legal equivalent rather than before The examples listed in this article define the scope of the present invention. [Brief description of the diagram] Figure 1 is an electrical diagram of a pulse power supply system that can be used as a power source for EUV and soft X-ray light sources. Figure 1A shows the structure of a pulse transformer Components. Figures 1B and 1C show the test data. Figure 1D shows the reverse polarity pulsed power source. Figure 2A shows the electrical characteristics of the compact plasma focused EUV device. Figure 2A Figure 2A and 2A (2) show a sectional view of a prototype of a plasma extraction EUV device. 87 200403905 Figure 2A (3) shows a prototype with a vacuum chamber. Figure 2A (4) shows a flow cooling device. Section 2A (5) Figure shows the effect of flow on output. Figures 2A (6)-(20) show performance data. 5 Figure 2A (21) shows a special DPF configuration. Figure 2B shows the characteristics of a conventional Z-picking device Figure 2C shows the characteristics of the hollow cathode Z-stripping device. Figure 2D shows the features of a capillary discharge device. Figures 3A and B show the xenon spectrum. 10 Figures 4, 4A and 4B show the features of a laser generating plasma system. Figure 4C shows the hybrid EUV system. Figures 5A-C show the manufacturing method of the chip collector. Figures 6A and 6B show the characteristics of the second chip collector. Figures 7A, B, and C show the characteristics of the third debris collector. 15 Figure 8 shows the relationship between the lithium peak of 13.5 nm and the reflectivity of the MoSi coating. Figure 8A is a perspective view of a hyperbolic collector. Figure 8B shows a portion of the EUV beam generated by an ellipsoidal collector. Figure 8B shows a portion of the EUV beam generated by a hyperboloid collector. 20 Figures 9, 9A, 9B, and 9C show a combination of a ray collector and a debris collector. Figure 10 shows the multilayer mirror spectrum of the xenon spectrum. Figure 11A is a graph showing the reflectance of various materials to 13.5 nm UV light. Figures 11, B, C, and D show the collector design. 88 200403905 Figure 12 is a schematic diagram showing the technology for introducing source gas and working gas. Figure 13 is a timing diagram showing the anode voltage and EUV intensity. Figures 14A, 14B, 14C, and 14D show the effects of various center electrode designs on plasma extraction. 5 Figure 15 is a schematic diagram showing a technique using RF energy to operate a lithium vapor source gas. Figure 16 shows a heat pipe cooling technique for anodes in a preferred DPF device. Figure 17 shows the gas control technology. 10 Figures 18A, B, C and D show the technology for controlling active gas and buffer gas in the preferred embodiment of the vacuum container. Figure 19 shows the ellipsoid configuration. Figures 19A, B, and C show the EUV-side drawing shape just downstream of the two focal points. 15 Figures 20, 20A, 21 and 22 show the water cooling technology of the electrodes. Figures 23, 24 and 26A and 26B show the electrode design. Figure 25 shows a technique for reducing electrode erosion. Figures 27A and 27B show maintenance techniques. Figures 28A and 28B show the use of a magnet to control the pickup. 20 Figures 29A, 29B, 29C and 30 show pulse shapes. Figure 31 shows the pre-dissociation technique. Figure 32 shows the effect of forward displacement. Figure 33 shows the advantages of tight plasma focusing. 89 200403905 [Representative symbols for the main components of the drawing] 10. ·· Vacuum chamber 11... Intermediate focus 12... Intake 13.... Inductor 14. 24. Port 30... Lithium metal target 30. 44 ... ellipsoidal mirror 32 .. excimer laser 32 .. angle 34 .. window 36. lens 38 .. bell metal 42. mirror 42. collector 46. plasma source 48 .. .Focus 50 .. Detector 50 .. Lithium-filled tungsten antenna 52 .. Section 52 .. RF power supply 54 .. Beam side drawing section 54 .. Gas flow 60, 62 ... Insulator 2 ... Nozzle 3 .. Exhaust port 3 .. Vacuum 4 .. Gas tube 4, 8 ... Collector guide 5, 6 ... Debris collector 6 ... Debris trap 8a. ..Anode 8A. &Quot; Central power only check 8A ... Anode 8A ... Central electrode 8A1 ... Discharge section 8A2 ... Lower 8A3 ... Stainless steel bottom 8B ... Cathode 8B ... External electrode 8B. .. ground electrode 8B1 ... cover 8B2 ... bottom 8C ... insulator 8D ... high voltage pulse power source 9C ... insulator 9E ... hollow area 90 200403905 64 ... anode 65 ... cathode 66. .. Collector 68 .. Debris trap 69 .. Source chamber 70 .. Collector chamber 71 .. Extraction source volume 72… Gate valve 74 .. Mechanical shutter 76. Hollow circle Cone 77 ... Foil 78 .. Metal model 80, 81 ... Ruthenium-coated ellipsoid mirror 82,83 ... Ruthenium-coated parabolic mirror 84 .. Parabolic double mirror 85 .. Parabolic triple mirror 86 .. parabolic mirror 87… curve tracer 88 .. multilayer parabolic mirror 92 .. premature dissociation 93 .. dissociating too late 100 ... extraction zone 102 .. honeycomb debris shield 104 ... rays Collector-guide 106 AC ... ray trajectory 120 ... vacuum pump 122, 126 ... lithography unit 124 ... 箧 138 ..... Mars gap front dissociator 138 .... Mars plug type pin 400..DC Power supply 402 ... Resonant charger unit 404..Pulse pulse power supply system 406 ... Pulse transformer 408 ... Bias circuit 409A-C ... Arrow 409D ... Track 418 ... Iron core 420A, 420B ·· Ring 422 ·· Mandrel 424 .. Separator 426 .. Bolt 440 ... Anode center 442 ... Lithium heat pipe system 444 ... Lithium heat pipe 446 ... Heat transfer zone 448 ... Heat Well surface 450 ... Water coil 91 200403905 452 ... Limit working cylinder D3 ... Flywheel diode 454 ... Slide up and down D4 ... Dickin diode 460 ... Water channel L1 ... Charging inductor 462 .. Master Body L3, L5, L6 ... Bias inductor 464 .... Oxygen layer L53 ... Last saturable inductor 466 ... Polyimide LS ... Magnetic switch 468 ... Arrow R1 ... Resistor 470. ..Baffle S1 ... Command charge switch C0 ... Capacitor bank S2 ... Dickin switch C1 ... Capacitor C2 ... Discharging VI ... Bias power supply 92

Claims (1)

200403905 拾、申請專利範圍: 1. 一種生產線相容性、高重複率、高平均功率脈衝、高能 光子源,包含: A. —脈衝電源系統,包含一脈衝變壓器,用以產生 持續時間為10奈秒至200奈秒範圍之電脈衝, B. —真空室, C. 一活性材料,其係含於該真空室内,該活性材料 包含一種原子物種,該原子物種係以於預定極端紫外光 波長範圍之發光線為其特徵, ίο D. —熱電漿產生裝置,其係用以於該真空室之一個 熱電漿點產生熱電漿,俾於預定極端紫外光波長範圍之 波長,至少對極端紫外光射線平均,至少產生5瓦, 15 E. —射線收集及聚焦裝置,其係用以收集部分紫外 光射線,且將該射線聚焦於距離熱電漿點遠端的一個位 置。 2. 如申請專利範圍第1項之源 一種緊密電漿聚焦裝置。 3. 如申請專利範圍第1項之源 一種習知Z-撮取裝置。 20 其中該熱電漿產生裝置為 其中該熱電漿產生裝置為 其中該熱電漿產生裝置為 其中該熱電漿產生裝置為 其中該熱電漿產生裝置包 4. 如申請專利範圍第1項之源 一種中空陰極Z-撮取。 5. 如申請專利範圍第1項之源 一種毛細放電裝置。 6. 如申請專利範圍第1項之源 93 200403905 含一準分子雷射,該準分子雷射提供高重複率、短脈衝 雷射束供於真空容器產生電漿。 7. 如申請專利範圍第1項之源,其中該熱電漿產生裝置包 含一電漿撮取裝置以及一準分子雷射,該準分子雷射產 5 生脈衝化紫外光雷射束,被導向於部分由電漿撮取裝置 所產生的電漿。 8. 如申請專利範圍第1項之源,其中該射線集極包含一拋 物面集極。 9. 如申請專利範圍第1項之源,其中該射線集極包含一橢 10 球面集極。 10. 如申請專利範圍第1項之源,其中該射線集極包含一銜 接橢球面系統。 11. 如申請專利範圍第1項之源,其中該射線集極包含一混 成集極,其包含至少一橢球面反射鏡單元以及至少一雙 15 曲面反射鏡單元。 12. 如申請專利範圍第11項之源,其中該混成集極包含至少 二橢球面反射鏡單元以及至少二雙曲面集極單元。 13. 如申請專利範圍第12項之源,其中該混成集極也包含一 多層鏡單元。 20 14.如申請專利範圍第13項之源,其中該多層鏡單元為至少 部分拋物面。 15.如申請專利範圍第1項之源,也包含一碎屑屏蔽件,該 碎屑屏蔽件有狹窄通道係與熱電漿點校準,供EUV光的 通過,且限制碎屑的通過。 94 16·如 ^申請專利範圍第15項之源,其中該碎屑屏蔽件係經由 去除表皮角錐形形式留下環繞通道路徑的硬化材料組 17· ★ 申請專利範圍第15項之源,其中該碎屑屏蔽件係由金 ^屬箱製成的焊接中空錐體組成。 如申請專利範圍第15項之源,其中該碎屬屏蔽件係由複 數薄層合片經過修剪形成的通道所組成 申明專利|&圍第15項之源,也包含—磁鐵,該磁鐵係 10 夂產生垂直EUV射束軸線方向取向之磁場,供迫使帶電 粒子形成彎曲彈道。 20.如申請專利範圍第Β項之源,其中該磁鐵為永久磁鐵。 21·如申請專利範圍第19項之源,其中該磁鐵為電磁鐵。 22·如申請專利範圍第ls項之源,其中該碎屑屏蔽件為蜂巢 式碎屑屏蔽件。 15 23·如申請專利範圍第η項之源,其中該蜂巢式碎料蔽件 包含硬化塑化粉狀批料。 24.如申請專利範圍第23項之源,其中該粉狀批料係藉燒結 硬化。 曰凡、口 20 25·^申睛專利範圍第i項之源,其中該活性材料係選自 氙、錫、鋰、銦、鎘及銀組成的組群。 26·如申請專利範圍第!項之源,其中該真空除了活性材料 外含有緩衝氣體。 A如申請專利範圍第!項之源,其中該活性材料係經由電 極被注入真空室内。 95 200403905 28. 如申請專利範圍第15項之源,進一步包含一種氣體控制 系統,俾於EUV光通過碎屑屏蔽件方向之相反方向,形 成一氣體流於該真空容器内通過至少部分碎屑屏蔽件。 29. 如申請專利範圍第28項之源,其中氣體係於二方向流經 5 碎屑屏蔽件。 30. 如申請專利範圍第2項之源,其中該進入電漿聚焦裝置 包含同轴電極。 31. 如申請專利範圍第30項之源,進一步包含一種氣體注入 裝置,其係供由位在熱電漿點之與|極反側位置之喷嘴 10 而注入活性氣體。 32. 如申請專利範圍第1項之源,其中該活性材料係呈化合 物而被導引入真空室内。 33. 如申請專利範圍第32項之源,其中該化合物係選自 Li02、LiH、LiOH、Lia、Li2C03、LiF、CH3及本組群 15 中任一種材料之溶液組成的組群。 34. 如申請專利範圍第1項之源,進一步包含一種雷射供氣 化活性材料。 35. 如申請專利範圍第1項之源,進一步包含一射頻源,其 係供濺鍍活性材料至熱電漿點内部或接近熱電漿點之 20 位置。 36. 如申請專利範圍第1項之源,進一步包含一種前置游離 裝置。 37. 如申請專利範圍第1項之源,其中該前置游離裝置包含 火星塞型接腳。 96 200403905 38. 如申請專利範圍第36項之源,其中該前置游離裝置包含 射頻源。 39. 如申請專利範圍第1項之源,其中該活性材料係於注入 真空容器之前經前置游離。 5 4(λ如申請專利範圍第39項之源,其中該前置游離裝置包含 一輻射裝置,其係供導引射線至一喷嘴,俾於活性材料 離開該喷嘴而進入真空容器之前,前置游離該活性材 料。 41. 如申請專利範圍第26項之源,其中該緩衝氣體係選自氦 10 及氖組成的組群。 42. 如申請專利範圍第26項之源,其中該緩衝氣體包含氫。 43. 如申請專利範圍第2項之源,進一步包含一電容器裝 置,其經選擇於電漿撮取事件期間產生尖峰電容器電 流。 15 44·如申請專利範圍第2項之源,其中該緊密電漿聚焦裝置 包含界定一中心電極之同軸電極。 45. 如申請專利範圍第44項之源,其中該中心電極為陽極。 46. 如申請專利範圍第45項之源,其中部分陽極為中空,以 及該陽極界限一中空梢端尺寸位於該陽極梢端,以及於 20 該梢端下方之中空部係比中空梢端尺寸更大。 47. 如申請專利範圍第1項之源,其中該活性材料為鋰含於 多孔鶴。 48. 如申請專利範圍第47項之源,進一步包含一射頻裝置供 將鋰原子由多孔鎢内驅出。 97 200403905 49. 如申請專利範圍第44項之源,其中該中心電極為水冷 式。 50. 如申請專利範圍第44項之源,進一步包含一熱管供冷卻 該中心電極。 5 51.如申請專利範圍第44項之源,其中該電極係設計成沿徑 向方向耗用。 52. 如申請專利範圍第1項之源,其中該源係定位成可提供 EUV光給微影術機器。 53. 如申請專利範圍第52項之源,其中部分光源係整合於微 10 影術機器。 54. 如申請專利範圍第44項之源,進一步包含一犧牲區介於 該電極間’俾鼓勵於遠離陽極梢端區之後撮取放電。 55. 如申請專利範圍第44項之源,進一步包含一濺鍍源,其 係供產生濺鍍材料來補充由其中至少一電極溶蝕的材 15 料。 56. 如申請專利範圍第55項之源,其中該濺鍍源也係用來提 供前置游離。 57. 如申請專利範圍第44項之源,其中該中心電極為一陽極 界限外壁,以及進一步包含絕緣體材料完全覆蓋面對該 20 陰極之陽極壁。 58. 如申請專利範圍第57項之源,其中該陽極也界限内壁, 以及包含絕緣體材料覆蓋至少部分内壁。 59. 如申請專利範圍第44項之源,其中該電極至少部分係由 熱解石墨製成。 98 200403905 60. 如申請專利範圍第1項之源,進一步包含一開閉器帶有 一封介於該碎屑屏蔽件與該射線集極間,俾允許更換電 極及碎屑屏蔽件而未造成環繞射線集極的真空漏失。 61. 如申請專利範圍第44項之源,進一步包含一電極組其係 5 與該碎屑屏蔽件設置成一模組,故該電極組與該碎屑屏 蔽件容易呈一個單元更換。 62. 如申請專利範圍第1項之源,其中該產生熱電漿之裝置 係足夠於該中間焦點產生至少45.4瓦。 63. 如申請專利範圍第1項之源,其中該產生熱電漿之裝置 10 係足夠於該中間焦點產生至少105.8瓦。 64. 如申請專利範圍第1項之源,其中該活性材料經選擇可 於13.5奈米之約2%波長頻帶範圍内產生EUV射線。 65. 如申請專利範圍第1項之源,其中該脈衝電源系統係於 每秒至少6,000脈衝之重複率操作。 15 66.如申請專利範圍第1項之源,其中該脈衝電源系統係於 每秒至少10,000脈衝之重複率操作。 67. 如申請專利範圍第1項之源,其中該射線集極係設計成 可產生EUV射線之均化。 68. 如申請專利範圍第2項之源,進一步包含一磁性裝置供 20 施加磁場俾控制至少一項撮取參數。 69. 如申請專利範圍第68項之源,其中該參數為撮取長度。 70. 如申請專利範圍第68項之源,其中該參數為撮取形狀。 71. 如申請專利範圍第68項之源,其中該參數為撮取位置。 72. 如申請專利範圍第1項之源,其中該活性材料係呈流體 99 200403905 形式之金屬輸送至熱電漿點區域。 73. 如申請專利範圍第1項之源,其中該流體形式為液體。 74. 如申請專利範圍第1項之源,其中該流體形式為溶液。 75. 如申請專利範圍第1項之源,其中該流體形式為懸浮液。 5 76.如申請專利範圍第1項之源,其中該由電子衝擊電子材 η200403905 Scope of patent application: 1. A production line compatibility, high repetition rate, high average power pulse, high-energy photon source, including: A. —Pulse power system, including a pulse transformer, to generate a duration of 10 nanometers Electrical pulses ranging from seconds to 200 nanoseconds, B. — a vacuum chamber, C. an active material contained in the vacuum chamber, the active material containing an atomic species in a predetermined extreme ultraviolet wavelength range The luminous line is characterized by ίο D. —Thermal plasma generating device, which is used to generate a thermo-plasma at a thermo-plasma point of the vacuum chamber, which is at a wavelength of a predetermined extreme ultraviolet wavelength range, at least for extreme ultraviolet rays On average, at least 5 watts are generated, 15 E. —ray collection and focusing device, which is used to collect part of the ultraviolet light rays and focus the rays to a position far from the far end of the thermoplasma point. 2. As the source of the scope of patent application No. 1 A compact plasma focusing device. 3. As the source of the scope of patent application No. 1 A conventional Z-stripping device. 20 wherein the thermo-plasma generating device is wherein the thermo-plasma generating device is wherein the thermo-plasma generating device is therein Z-take. 5. As the source of the scope of patent application No. 1 A capillary discharge device. 6. If the source of the first scope of the patent application 93 200403905 contains an excimer laser, the excimer laser provides a high repetition rate, short pulse laser beam for the vacuum container to generate plasma. 7. As the source of the first scope of the patent application, wherein the thermoplasma generating device includes a plasma extraction device and an excimer laser, the excimer laser generates a pulsed ultraviolet laser beam and is directed In part, the plasma generated by the plasma extraction device. 8. The source of the scope of patent application, wherein the ray collector comprises a parabolic collector. 9. As the source of the scope of patent application, wherein the ray collector includes an ellipsoidal 10 spherical collector. 10. The source of the scope of patent application, wherein the ray collector comprises a connected ellipsoidal system. 11. As the source of the scope of the patent application, the ray collector includes a hybrid collector including at least one ellipsoidal mirror unit and at least one double 15 curved mirror unit. 12. As the source of claim 11 in the scope of patent application, wherein the hybrid collector includes at least two ellipsoidal mirror units and at least two hyperboloid collector units. 13. As the source of the scope of application for patent No. 12, wherein the hybrid collector also includes a multilayer mirror unit. 20 14. The source according to item 13 of the patent application scope, wherein the multilayer mirror unit is at least a part of a paraboloid. 15. The source of item 1 of the patent application scope also includes a debris shield, the debris shield has a narrow channel system aligned with the thermo-plasma point for the passage of EUV light and restricts the passage of debris. 94 16. As the source of the 15th scope of the patent application, the chip shield is a hardened material group that leaves the path around the channel by removing the skin pyramidal form. 17 The source of the 15th scope of the patent application, where The debris shield is composed of a welded hollow cone made of a metal box. For example, the source of the scope of application for patent No. 15, wherein the broken shield is composed of a plurality of thin laminated sheets through a channel formed by the claim. The source of the patent No. 15 also includes-a magnet, the magnet system 10 夂 Generates a magnetic field oriented perpendicular to the axis of the EUV beam, forcing charged particles to form a curved trajectory. 20. The source of scope B of the patent application, wherein the magnet is a permanent magnet. 21. The source according to item 19 of the patent application scope, wherein the magnet is an electromagnet. 22. The source according to item ls of the patent application scope, wherein the debris shield is a honeycomb-type debris shield. 15 23. The source according to item η of the patent application range, wherein the honeycomb-type crushed material shield comprises a hardened plasticized powder batch. 24. The source as claimed in claim 23, wherein the powdery batch is hardened by sintering. The source of item i of the patent scope of Shen Fan, Mouth 20, 25, ^ Shen Yan, wherein the active material is selected from the group consisting of xenon, tin, lithium, indium, cadmium, and silver. 26. If the scope of patent application is the first! The source of item, wherein the vacuum contains a buffer gas in addition to the active material. AIf the scope of patent application is the first! The source of item, wherein the active material is injected into the vacuum chamber via an electrode. 95 200403905 28. If the source of the 15th scope of the patent application, further includes a gas control system, the EUV light passes through the debris shield in the opposite direction to form a gas flow in the vacuum container through at least part of the debris shield Pieces. 29. If the source of the scope of patent application No. 28, the gas system flows through the 5 debris shield in two directions. 30. The source of scope 2 of the patent application, wherein the plasma focusing device comprises a coaxial electrode. 31. For example, the source of the 30th scope of the patent application further includes a gas injection device for injecting an active gas from the nozzle 10 located at the position opposite to the thermoelectric plasma point. 32. The source of the scope of patent application item 1, wherein the active material is introduced into a vacuum chamber as a compound. 33. For example, the source of the scope of patent application No. 32, wherein the compound is a group selected from the group consisting of Li02, LiH, LiOH, Lia, Li2C03, LiF, CH3, and a solution of any one of the materials in this group 15. 34. The source according to item 1 of the patent application scope further comprises a laser gasification active material. 35. The source according to item 1 of the scope of patent application, further comprising a radio frequency source, which is used for sputtering the active material to the inside of or close to the 20 position of the thermo-plasma point. 36. The source of the scope of patent application item 1 further includes a pre-dissociation device. 37. The source according to item 1 of the patent application scope, wherein the front disengagement device includes a Martian plug type pin. 96 200403905 38. The source according to item 36 of the patent application, wherein the pre-free device includes a radio frequency source. 39. The source according to item 1 of the patent application, wherein the active material is pre-dissociated before being injected into the vacuum container. 5 4 (λ as the source of the 39th scope of the patent application, wherein the front dissociation device includes a radiation device for guiding radiation to a nozzle, and before the active material leaves the nozzle and enters the vacuum container, the front Free the active material. 41. The source according to item 26 of the patent application, wherein the buffer gas system is selected from the group consisting of helium 10 and neon. 42. The source according to item 26 of the patent application, wherein the buffer gas contains 43. If the source of the scope of the patent application, item 2, further includes a capacitor device, which is selected to generate a peak capacitor current during the plasma extraction event. 15 44. The source of the scope of the patent application, item 2, wherein the The compact plasma focusing device includes a coaxial electrode that defines a central electrode. 45. If the source of the scope of the patent application is 44, the center electrode is an anode. 46. If the source of the scope of the patent application is 45, some of the anodes are hollow And the size of the hollow tip of the anode boundary is located on the anode tip, and the hollow part below the tip end is larger than the hollow tip size. The source of scope item 1, wherein the active material is lithium contained in a porous crane. 48. The source of scope 47 of the patent application, further comprising a radio frequency device for driving lithium atoms out of porous tungsten. 97 200403905 49. For example, the source of scope 44 of the patent application, wherein the center electrode is water-cooled. 50. The source of scope of the patent application 44, further includes a heat pipe for cooling the center electrode. 5 51. For scope of the patent application 44 The source, wherein the electrode is designed to be consumed in a radial direction. 52. The source according to item 1 of the scope of patent application, wherein the source is positioned to provide EUV light to the lithography machine. 53. If the scope of patent application is The source of item 52, part of which is integrated into the micro-10 imaging machine. 54. For example, the source of item 44 of the scope of patent application further includes a sacrificial region between the electrodes, and is encouraged to be far away from the anode tip end region. Extraction of discharge. 55. If the source of the 44th scope of the patent application, further includes a sputtering source, which is used to generate sputtering material to supplement the material eroded by at least one of the electrodes. 56. The source of scope item 55, wherein the sputtering source is also used to provide pre-freedom. 57. The source of scope 44 of the patent application, wherein the center electrode is an anode boundary outer wall, and further includes an insulator material to completely cover Facing the anode wall of the 20 cathode. 58. If the source of the scope of the patent application No. 57, wherein the anode also bounds the inner wall, and includes an insulator material covering at least part of the inner wall. 59. If the source of the scope of patent application 44, where The electrode is at least partially made of pyrolytic graphite. 98 200403905 60. If the source of the scope of the patent application is item 1, it further comprises a shutter with a letter between the debris shield and the ray collector. Replace electrodes and debris shields without causing a vacuum leak around the ray collector. 61. If the source of the scope of application for item 44 further includes an electrode group 5 and the debris shield as a module, the electrode group and the debris shield are easily replaced as a unit. 62. If the source of the scope of patent application is item 1, wherein the device for generating the thermo-plasma is sufficient for the intermediate focus to generate at least 45.4 watts. 63. If the source of the scope of the patent application is item 1, wherein the device for generating the thermo-plasma 10 is sufficient for the intermediate focus to generate at least 105.8 watts. 64. If the source of the scope of patent application item 1, wherein the active material is selected to generate EUV rays in a wavelength range of about 2% of 13.5 nm. 65. If the source of the scope of patent application item 1, wherein the pulse power system is operated at a repetition rate of at least 6,000 pulses per second. 15 66. The source of claim 1 wherein the pulsed power system operates at a repetition rate of at least 10,000 pulses per second. 67. The source of the scope of patent application, wherein the ray collector is designed to produce homogenization of EUV rays. 68. If the source of the second item of the patent application scope, further includes a magnetic device for applying a magnetic field and controlling at least one extraction parameter. 69. If the source of the scope of patent application No. 68, the parameter is the extraction length. 70. For example, the source of the 68th scope of the patent application, wherein the parameter is the extraction shape. 71. If the source of the scope of patent application is 68, the parameter is the extraction position. 72. For example, the source of the scope of patent application, wherein the active material is a metal in the form of a fluid 99 200403905 delivered to the thermoelectric plasma point area. 73. The source of scope 1 of the patent application, wherein the fluid form is a liquid. 74. The source of scope 1 of the patent application, wherein the fluid form is a solution. 75. The source of scope 1 of the patent application, wherein the fluid form is a suspension. 5 76. According to the source of the scope of patent application item 1, wherein the electron impacts the electronic material η 料產生之EUV光係連同來自電漿熱點之EUV光一起收 集。 77.如申請專利範圍第1項之源,其中該活性材料為經由濺 鑛產生之金屬蒸氣。 10 78.如申請專利範圍第1項之源,其中該活性材料係經選擇 而產生於0.5奈米至50奈米範圍之高能輻射光。The EUV light generated by the material is collected together with the EUV light from the plasma hot spot. 77. The source of claim 1 wherein the active material is a metal vapor generated by sputtering. 10 78. The source according to item 1 of the patent application range, wherein the active material is selected to generate high-energy radiant light in the range of 0.5 nm to 50 nm. 100100
TW92108103A 2000-10-16 2003-04-09 Extreme ultraviolet light source TWI222248B (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US09/690,084 US6566667B1 (en) 1997-05-12 2000-10-16 Plasma focus light source with improved pulse power system
US10/120,655 US6744060B2 (en) 1997-05-12 2002-04-10 Pulse power system for extreme ultraviolet and x-ray sources
US10/189,824 US6815700B2 (en) 1997-05-12 2002-07-03 Plasma focus light source with improved pulse power system
US10/384,967 US6904073B2 (en) 2001-01-29 2003-03-08 High power deep ultraviolet laser with long life optics
US10/409,254 US6972421B2 (en) 2000-06-09 2003-04-08 Extreme ultraviolet light source

Publications (2)

Publication Number Publication Date
TW200403905A true TW200403905A (en) 2004-03-01
TWI222248B TWI222248B (en) 2004-10-11

Family

ID=34437785

Family Applications (1)

Application Number Title Priority Date Filing Date
TW92108103A TWI222248B (en) 2000-10-16 2003-04-09 Extreme ultraviolet light source

Country Status (1)

Country Link
TW (1) TWI222248B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI469692B (en) * 2010-04-05 2015-01-11 Asml Netherlands Bv Apparatus and method for producing extreme ultraviolet light
TWI683994B (en) * 2016-03-17 2020-02-01 美商雷神公司 Composite ultraviolet led and phosphor based hyperspectral calibrator
TWI759344B (en) * 2016-11-04 2022-04-01 荷蘭商Asml荷蘭公司 Method and system for controlling a dose of extreme ultraviolet (euv) radiation and related non-transitory computer readable storage medium
TWI806020B (en) * 2020-04-06 2023-06-21 美商希瑪有限責任公司 Pulsed-discharge radiation source, the method of using the same, and lithographic apparatus

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5099793B2 (en) * 2007-11-06 2012-12-19 カール・ツァイス・エスエムティー・ゲーエムベーハー Method for removing a contamination layer from an optical surface, method for generating a cleaning gas, and corresponding cleaning and cleaning gas generation structure
TWI386112B (en) * 2008-08-21 2013-02-11 Atomic Energy Council Rf hollow cathode plasma generator

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI469692B (en) * 2010-04-05 2015-01-11 Asml Netherlands Bv Apparatus and method for producing extreme ultraviolet light
TWI683994B (en) * 2016-03-17 2020-02-01 美商雷神公司 Composite ultraviolet led and phosphor based hyperspectral calibrator
TWI759344B (en) * 2016-11-04 2022-04-01 荷蘭商Asml荷蘭公司 Method and system for controlling a dose of extreme ultraviolet (euv) radiation and related non-transitory computer readable storage medium
TWI806020B (en) * 2020-04-06 2023-06-21 美商希瑪有限責任公司 Pulsed-discharge radiation source, the method of using the same, and lithographic apparatus

Also Published As

Publication number Publication date
TWI222248B (en) 2004-10-11

Similar Documents

Publication Publication Date Title
US7368741B2 (en) Extreme ultraviolet light source
KR101118996B1 (en) Collector for euv light source
US6815700B2 (en) Plasma focus light source with improved pulse power system
O’Sullivan et al. Spectroscopy of highly charged ions and its relevance to EUV and soft x-ray source development
TW469756B (en) Plasma focus high energy photon source
EP1109427B1 (en) Method for emitting radiation for use in lithographic projection apparatus
JP2001215721A (en) Plasma converging light source having improved pulse power source system
Wieland et al. EUV and fast ion emission from cryogenic liquid jet target laser-generated plasma
JP2010182698A (en) Extreme ultraviolet light source
TW200403905A (en) Extreme ultraviolet light source
Spain et al. Bond strengths of transition metal diatomics: VNi and V2
Brandt et al. LPP EUV source development for HVM
Rozet et al. State selective measurements of HCI produced by strong ultrashort laser-clusters interaction
Krása et al. The effect of laser-produced plasma expansion on the ion population
Fomenkov et al. Performance and scaling of a dense plasma focus light source for EUV lithography
Fomenkov et al. Laser-produced plasma source system development
Borisov et al. EUV light source with high brightness at
Sun et al. Characteristics of ion debris from laser-produced tin plasma and mitigation of energetic ions by ambient gas
Bartnik et al. Low temperature photoionized Ne plasmas induced by laser-plasma EUV sources
Bartnik et al. Time-resolved studies of low-temperature, EUV-induced plasmas: EUV emission in selected spectral ranges
Fraenkel et al. Generation of intense collimated monochromatic X-ray beam using femtosecond table-top laser
Rollinger et al. Kinetic simulation of debris from an LPP EUV source
Semyonov et al. Experimental model of industrial x-ray source MSX-1 with a vacuum spark for x-ray lithography
Lamour et al. Subpicosecond dynamics of intense laser-cluster interaction: keV x rays and highly charged ion production
Schappert et al. X‐ray production with subpicosecond laser pulses

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees