TW321821B - - Google Patents

Download PDF

Info

Publication number
TW321821B
TW321821B TW084104884A TW84104884A TW321821B TW 321821 B TW321821 B TW 321821B TW 084104884 A TW084104884 A TW 084104884A TW 84104884 A TW84104884 A TW 84104884A TW 321821 B TW321821 B TW 321821B
Authority
TW
Taiwan
Prior art keywords
processing chamber
aluminum
patent application
treatment
plasma
Prior art date
Application number
TW084104884A
Other languages
English (en)
Inventor
Kazue Takahashi
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Application granted granted Critical
Publication of TW321821B publication Critical patent/TW321821B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/914Differential etching apparatus including particular materials of construction

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

A7 B7 321821 五、發明説明(1 ) 〔產業上之利用領域〕 (請先閱讀背面之注意事項再填寫本頁) 本發明係有關利用電漿處理裝置•電漿c VD (化學 汽相澱積)裝置等之電漿來進行被處理物之處理的電獎處 理裝置及電漿處理方法。 在本專利說明書中,有關「鋁材料」之字句,係指純 銀及銘合金者。 〔先前之技術〕 電漿蝕刻裝置或電漿C V D裝置等之電漿處理裝置的 真空處理室,因使用著氯氣等之腐蝕性爲高之氣體,由而 做爲耐腐蝕性爲高之材料,先前係使用著不銹鋼或石英玻 璃·氧化鋁陶瓷等。而做爲如此之裝置,可舉出例如日本 專利特開昭6 2 - 1 0 3 3 7 9號公報》 〔發明擬解決之問題〕 經濟部中央標準局員工消費合作社印製 當使用不銹鋼於電漿處理裝置之真空處理室中時,不 銹鋼本身亦由於受到電漿中之帶電粒子之衝擊而放出其構 成元素之Fe ,Ni ,Co等,以致在半導體裝置之製造 中,具有會引起所謂重金屬污染之問題。 另一方面,若使用石英玻璃之蓋體時,雖不具有重金 屬污染之問題,惟由於電漿之衝擊或熱輻射而經過長時間 ,致使其溫度上升,以致有產生處理特性之變動之狀況。 對於如此之狀況,雖以某種手段來使石英玻璃加熱或冷卻 ,對於解決該問題極有效,惟在電漿處理裝置之場合,因 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) A7 ____B7_ 五、發明説明(2 ) 須涉及於真空或涉及於電漿等之情事,在構造性·技術性 具有極困難之情事爲多多之問題。 做爲不產生重金屬污染,又包含加熱或冷卻之富於加 工性之材料,可舉出鋁材料,惟該場合,具有所謂對於使 用在電漿處理裝置之包含有氯原子或濟原子之電漿氣體未 具備耐腐蝕性之缺點。對於該缺點之對策,有如日本專利 特開昭6 2- 1 0 3 3 7 9號公報所記載,以某手段來在 鋁材料之表面形成Aj?2〇3 、Aj?C,T i N、T i C 、A β N等之優異於耐腐蝕性之萼膜之方法。 然而,對於使用包含有氟原子之氣體的電漿處理裝置 之由於構成處理室之材料本身之氟化而所形成之處理特性 之經長時間性之變動,並未實施任何對策。 爲此,本發明之目的,係擬提供一種在使用著包含有 氟原子之電漿氣體的電漿處理中,可縮小伴隨著氟化之進 行所形成之處理(過程)特性之變動的電漿處理裝置及電 漿處理方法。 經濟部中央標準局員工消費合作社印製 (請先閱讀背面之注意事項再填寫本頁) 〔解決問題之手段〕 欲防止上述之由於包含氟之電漿氣體而形成之處理室 表面之氟化,則以包含氟原子之材料來構成電漿處理室之 表面即可。 〔作用〕 因處理室內面(裡面之面部)之一部分或全部,以包 本紙張尺度適用中國國家標率(CNS ) A4規格(21 OX 297公釐) -5 - A7 ____B7 五、發明説明(3 ) 含有氟原子之材料所構成,因此’由包含有氟之電漿氣體 處理而產生之處理實內面之氟化之進行速度會變小。並且 伴隨於該進行吸附藏入於處理室內面之氟之量會變小之同 時’會減少處理室裡面之狀態的變化而減少對於處理室內 面之附著物之質性及量的變化,以致實施試樣之電漿處理 時之每試樣(樣本)之處理變動可使之變小。爲此,可減 少電漿處理之經長時間時之變化而可實施具有穩定性之處 理。 〔實施例〕 以下,將說明本發明之一實施例。 經濟部中央標準局員工消費合作社印製 (請先閱讀背面之注意事項再填寫本頁) 圖1係顯示以使用鋁材料及在表面預先實施氟化處理 之鋁材料’並曝露於包含有氟之高頻電漿時之表面氟化之 厚度者。由該圖察明,未實施表面處理的鋁材料,較預先 令表面氟化處理之鋁材料,在氟化速度方面爲大。圖2係 顯示使用與圖1同樣之處理室(用)材料,並以CHF3 氣體來使矽氧化膜實施電漿處理成孔狀時之薄膜之平均蝕 刻速度的所經過時間之變化時。各處理開始時之初始做爲 1 ’且以使用預先實施氟化處理之處理室,使之氧化膜之 蝕刻速度之經長時間時之變化予以大幅度地減輕。再者, 做爲處理試件時之氣體,除了 CHF3之外,即使使用c nFm (n,m爲整數)、SFe等,包含有氟之氣體或 與其他氣體之混合氣體之時,亦因對處理室內面實施氟化 ,而獲得經過時間之變化有減輕之狀況。處理室內面之氟 本紙張尺度適用中國國家榇準(CNS ) A4規格(210X297公釐) -6 - 321821 A7 B7 經濟部中央標準局員工消費合作社印製 五、 發明説明( 4 ) 化 厚 度 > 愈 厚 愈 使 效 果 變 大 0 處 理 室 內 面 之 2 點 間 之 電 阻 形 成 較 鋁 材 料 時 之 電 阻 之 1 0 0 倍 以 上 爲 理 想 > 尤 其 » 爲 絕 緣 體 或 靠 近 於 絕 緣 體 之 時 處 理 特 性 之 經 過 時 間 所 產 生 之 變 化 爲 少 〇 rwt 圖 3 係 在 獲 取 圖 2 之 特 性 時 y 所 使 用 之 微 波 電 漿 蝕 刻 裝 置 之 主 要 部 分 縱 向 剖 面 結 構 圖 〇 圖 3 中 > 真 空 容 器 1 0 係 其 頂 部 形 成 開 放 之 構 造 〇 真 空 容 器 1 0 係 以 例 如 鋁 所 形 成 0 真 空 容 器 1 0 之 頂 部 開 放 部 形 狀 以 本 例 時 > 平 面 視 之 略 爲 圓 形 〇 真 空 容 器 1 0 之 側 壁 底 部 形 成 有 排 氣 用 噴 嘴 1 1 〇 真 空 排 氣 裝 置 2 0 係 設 置 於 真 空 容 器 1 0 之 外 部 〇 排 氣 用 噴 嘴 1 1 和 真 空 排 氣 裝 置 2 0 之 吸 氣 ( 進 氣 ) □ 係 以 排 氣 管 2 1 所 聯 結 著 〇 排 氣 管 2 1 配 設 有 關 閉 閥 ( 各 圖 示 ) 排 氣 阻 力 可 變 閥 ( 省 略 圖 示 ) 等 〇 圖 3 中 在 內 部 具 備 電 漿 形 成 領 域 之 機 構 的 放 電 部 件 3 0 > 係 其 形 狀 對 於 微 波 之 進 行 方 向 剖 面 積 之 變 化 爲 小 之 中 空 圓 筒 9 而 以 微 波 不 穿 過 之 材 料 * 本 例 係 以 事 先 使 金呂 之 內 面 予 以 氟 化 處 理 之 材 料 來 形 成 者 0 放 電 部 件 3 0 係 配 設 成 其 內 部 中 空 之 軸 心 成 略 爲 垂 直 軸 > 並 將 該 內 部 中 空 藉 真 空 容 器 1 0 之 頂 部 開 放 部 來 連 通 於 真 空 容 器 1 0 9 而 構 成 對 於 真 空 容 器 1 0 之 頂 壁 成 爲 氣 密 〇 放 電 部 件 3 0 頂 部 配 設 有 封 閉 其 內 部 中 空 之 上 端 部 成 氣 密 之 微 波 穿 透 窗 4 0 〇 微 波 穿 透 4 0 係 以 石 英 氧 化 鋁 等 之 微 波 穿 透 材 料 來 形 成 〇 亦 即 1 真 空 容 器 1 0 內 由 於 放 電 部 件 3 0 之 內 部 中 空 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 經濟部中央標準局員工消費合作社印裝 A7 ______B7 五、發明説明(5 ) 及微波穿透窗4 0而形成有與外部隔絕之空間5 0。 圖3中’試件台軸60 ,將其上部空出於空間50 , 又使其下部形成突出於真空容器1 〇外面之狀態,且真空 容器1 0之底壁和試樣台軸6 0係以電性絕緣材料7 0來 形成電的絕緣。試樣台6 1 ,於本例係其一面之上面具有 試件設置面。試樣台6 1 ,將其試件設置面構成略成水平 面而設置於試樣台軸6 0上端。再者,試樣台軸6 0和試 樣台6 1 ,當然亦可予以構成一體。空間5 0之外面設置 有偏壓用電源之高頻電源8 0。試樣台軸6 0係連接於高 頻電源80。高頻電源80被接地著。試樣台軸60、試 樣台6 1係以導電材料所構成,而試樣台6 1係與試樣台 軸6 0成導通狀態。另一方面,真空容器1 〇被接地,又. 本例之放電部件3 0亦藉真空容器1 〇被接地著。再者, 做爲偏壓用電源,除了上述外,亦可使用直流電源等。又 試樣台6 1內部形成有冷媒流通道(省略圖示),而在試 樣台軸6 1內部,予以形成有個別連通於冷媒流通道之冷 媒供給道(省略圖示)、冷媒排出道(省略圖示)。冷媒 供給裝置(省略圖示)則設置於空間5 0之外面。冷媒供 給裝置之冷媒供給口和試樣台軸6 0之冷媒供給道,係以 冷媒供給管(省略圖示)所聯結。而在試樣台軸6 0之冷 媒排出道,聯結有冷媒排出管(省略圖示)之一端,而其 另一端’則聯結於冷媒回收槽(省略圖示)或開放於大氣 中。 圖3中,微波穿透窗4 0和試樣台6 1之試件設置面 本紙張尺度適用中國國家標準(CNS]A4規格(210X297公釐) ~ _ 8 — I - - I..... - - --- i 4 - ! i·- 1^1 - !i m (請先閱讀背面之注意事項再填寫本頁) A7 B7 五、發明説明(6 ) ’亦即,在該試樣9 0設置面予以設置半導體元件基板等 之試樣9 0之時,其中被處理面,此時朝上下方向成相對 下之狀態,並且該等面形成略爲平行狀態。再者,最好使 放電部件3 0之內部中空之軸心,微波穿透窗4 0之中心 及試樣台6 1之試件設置面,亦即,試樣9 0之被處理面 之中心,構成個別可形成略爲一致爲其理想。 •圖3中,在放電部件3 0內部,形成有氣體供給道 100。處理用氣體源101則設置於空間50之外面。 處理氣體源1 0 1和氣體供給道1 0 0之一端,係以氣體 供給管1 0 2來聯結。氣體供給管1 0 2則設有開閉閥( 省略圖示),氣體流量控制器(省略圖示)等》而氣體供 給道1 0 0之另一端,係在從放電部件3 0之高度方向的 上端部至途中部之間,形成開口於放電部件3 0之內部中 空。 圖3中,在放電部件3 0外側,以形成包括該部件 3 0於內部之狀態配設有波導管(導波管)1 1 0。波導 管1 1 0係終止於真空容器1 0。波導管1 1 0之形狀, 經濟部中央標準局員工消費合作社印製 (請先閱讀背面之注意事項再填寫本頁) 在本例爲略成圓筒形。波導管1 1 0之封閉端之壁的頂壁 和放電部件3 0之上端部之面(微波穿透窗4 0上面)之 間,則形成有具備所定高度(間隔)之空間1 2 0。而波 導管1 1 0之頂壁的與微波穿透窗4 0上面相對向之部分 ,則形成有開口。該開口不一定有須要配置於上述之位置 。在空間5 0、1 2 0之外面,配設有做爲振盪微波之手 段的磁控管1 30。磁控管1 30和波導管1 1 0,係以 本紙張尺度適用中國國家揉準(CNS ) A4規格(210X 297公釐) -9 - 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(7 ) 波導管111 、112所聯結著。而波導管111 、 1 1 2內,係藉波導管1 1 0之頂壁開口形成與空間 1 20成連通狀態》在此,波導管1 1 1爲矩形,圓形, 直角變換用之波導管,又波導管1 1 2係矩形之波導管。 再者,磁控管1 3 0和波導管1 1 0,以其他之微波傳輸 手段,例如以同軸電纜等來聯結亦可》 ‘圖3中,在波導管1 1 〇之側壁外周圍,在本例係使 做爲產生磁場用之手段(機構)之空心線圈1 4 0、 1 4 1 ,以朝高度方向環繞2層狀配設著。再者,在本例 ’空心線圈1 4 0係略形成對應於空間1 2 0,而空心線 圈1 4 1則略對應於放電部件3 0之外周側之面。空心線 圏140、140係個別藉ON — OFF機構(省略圖示 )或通電量調整機構(省略圖示)等來連接於電源(省略 圖示)。 在圖3 ,將開閉閥,排氣阻力可變閥予以打開,並使 真空排氣裝置2 0使之產生動作,空間5 0就會被減壓排 氣。又將氣體供給管1 0 2、開閉閥、氣體流量控制器等 之氣體供給道100予以打通(打開),而從處理用氣體 源1 0 1以所定流量導入所定之蝕刻用氣體於放電部件 3 0之內部中空處。亦即,在空間5 0 ,將導入蝕刻用氣 體。導入於空間5 0之蝕刻用氣體之一部分,將由排氣阻 力可變閥之閥打開度之調節而以真空排氣裝置2 0來予以 排氣’由而,空間5 0之壓力,將可調節爲所定之蝕刻處 理壓力》 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂 10 - A7 B7 五、發明説明(8 ) 又在圖3,將以公知之搬運機構(省略圖示),令試 樣9 0搬入於1個(在本例時)真空容器1 0內。而放置 完成被搬入於真空容器1 0內之試樣9 0的搬運機構,將 退至不會妨礙試樣9 0之處理的場所。放置於試樣台6 1 之試樣9 0,將以被處理面朝上之姿勢設置於試台6 1之 試件設置面》又使空心線圈140、141開始動作,以 施加磁場於放電部件3 0之內部中空中。 在圖3,雖使用微波來產生電漿,惟本發明並不限定 於任何特定之電漿產生手段。即使以使用高頻來產生電漿 之時,當然亦同樣可適用。 至於要使處理室表面使之氟化之方法,有①令處理室 之構造構件加熱至高溫( 2 0 0〜6 0 0 °C),而灌入含. 有氟之氣體,②使用含有氟之氣體來產生電漿,並在該電 漿環境中設置處理室之構造構件等之方法。 經濟部中央標準局員工消費合作社印製 (請先閱讀背面之注意事項再填寫本頁) 再者,做爲處理室,將其表面以使用已實施氟化處理 之材料,且以含有氟之氣體來產生電漿,以實施電漿處理 之時,將在處理室內之面上逐漸地附著附著物。爲此,使 用具備氟化處理之表面的材質於處理室之時,有需要每一 片或每複數片之處理後,就需實施清洗。做爲如此之清洗 ,最好使用含有氧氣之氣體爲其理想。 再者,至此雖說明以鋁做爲處理室之材質,惟並非僅 限於此,即使爲鋁表面實施耐酸鋁之處理者,以附著有氧 化鋁膜(Αί203 )或氧化鋁爲主要成分之膜(模來石 )者,或其他之金屬或其他之絕緣物(膜及單體)亦具有 本紙張尺度適用中國國家標準(CNS ) Α4規格(2丨ΟΧ25»7公釐) -11 - ^1821 B7 五、發明説明(9 ) 同樣之效果。使用絕緣物(膜或單體)之時,能以少的氟 化處理下,就具有同等之效果。 又在圖2中,做爲試件,雖對於有關矽氧化膜來說明 ,惟在矽氮化膜之時,亦可獲得同樣之效果。 至此,雖說明有關事先使處理室表面實施氟化處理來 使用之狀況,惟將含有氟原子之膜,事先予以附著於處理 室面,亦可獲得同樣之效果。 〔發明之效果〕 依據本發明,在使用有包含氟原子之電漿氣體之電漿 處理裝置中,可縮小伴隨著處理室構成材料之氟化的進行 所產生之處理特性之變動,而可增進可靠性》 〔圖式之簡單說明〕 圖1係各種鋁材料表面之氟化層厚度和電漿處理時間 之圖。 經濟部中央標準局員工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) 圖2係由於處理室內面處理之.差所產生之氧化膜蝕刻 比之變化圖。 圖3係適用本發明所構成之裝置的實施例。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -12 -

Claims (1)

  1. 經濟部中央揉準局貝工消費合作社印裝 夂、申請專利範圍 附件一:第8 4 1 0 4 8 8 4號專利申請案 中文申請專利範圍修正本 民國8 6年2月修正 1. 一種電漿處理裝置,主要使用包含氟原子之氣體 在處理室內要進行試樣(樣本)之裝置,其特徵爲;作爲 要構成前述處理室內部面上之至少一部分的材料,使用對 於含有鋁之材料預先實施氟化處理,並使該氟化處理後之 表面電阻形成爲對於實施氟化處理前之表面電阻的1 〇 〇 倍以上。 2. 如申請專利範圍第1項所述之電漿處理裝置.,其 中,作爲要構成前述處理室之材料,使用予以實施氟化處 理之鋁爲材料。 3 .如申請專利範圍第1項所述之電漿處理裝置,其 中,做爲要構成前述處理室之材料,使用對於形成有包含 有氧化鋁之膜於表面之鋁加以實施氟化處理之材料。 4.如申請專利範圍第1項所述之電漿處理裝置,其 中,作爲要構成前述處理室之材料,使用對於表面有實施 硫酸鉀鋁(Alumite,耐酸鋁)處理之鋁加以賁施氟化處 理之材料。 5 .如申請專利範圍第1項所述之電漿處理裝置,其 中,作爲要構成前述處理室之材料,使用對於鋁附著包含 有氟原子之膜的材料。 6 . —種電漿處理方法,其特徵爲具備有: ^ -- (請先閱讀背面之注意事項再填寫本頁) -,tT- -線_ 本紙張尺度適用中國國家揉準(CNS > A4規格(210X297公釐) 1
    A8 B8 C8 D8 •、申請專利範圍 以使用對於含有鋁之材料預先實施氟化處理,並使該 氟化處理後之表面電阻形成爲對於實施氟化處理前之表面 電阻的1 0 0倍以上來構成處理室內部面上之一部分, 以使用含有氟原子之氣體,在前述處理室進行試樣之 電漿處理之步驟;及 以使用含有氧氣之氣體來實施前述處理室之清洗處理 之步驟。 ^---------‘装-- (請先閣讀背面之注意事項再填寫本頁) 訂 經濟部中央標準局員工消費合作社印製 本紙張尺度適用中國菌家標準(CNS ) Μ規格(210X297公釐)_ 2 -
TW084104884A 1994-05-17 1995-05-17 TW321821B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP10245394 1994-05-17

Publications (1)

Publication Number Publication Date
TW321821B true TW321821B (zh) 1997-12-01

Family

ID=14327898

Family Applications (1)

Application Number Title Priority Date Filing Date
TW084104884A TW321821B (zh) 1994-05-17 1995-05-17

Country Status (5)

Country Link
US (1) US5895586A (zh)
EP (1) EP0760526A4 (zh)
KR (1) KR100331053B1 (zh)
TW (1) TW321821B (zh)
WO (1) WO1995031822A1 (zh)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6227140B1 (en) 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6408786B1 (en) 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6391146B1 (en) 2000-04-11 2002-05-21 Applied Materials, Inc. Erosion resistant gas energizer
US6790242B2 (en) 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US7128804B2 (en) 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6620520B2 (en) 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
US6923886B2 (en) * 2001-10-23 2005-08-02 Acushnet Company Apparatus for plasma treatment of golf balls
US6869645B2 (en) * 2001-10-23 2005-03-22 Acushnet Company Method for plasma treatment of golf balls
JP4175021B2 (ja) * 2002-05-01 2008-11-05 株式会社島津製作所 高周波誘導結合プラズマ生成装置およびプラズマ処理装置
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
JP3946130B2 (ja) * 2002-11-20 2007-07-18 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
TW200423195A (en) * 2002-11-28 2004-11-01 Tokyo Electron Ltd Internal member of a plasma processing vessel
US20040192059A1 (en) * 2003-03-28 2004-09-30 Mosel Vitelic, Inc. Method for etching a titanium-containing layer prior to etching an aluminum layer in a metal stack
WO2004095532A2 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
KR100918528B1 (ko) 2003-03-31 2009-09-21 도쿄엘렉트론가부시키가이샤 처리부재 상에 인접한 코팅을 결합시키는 방법
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US20180061617A1 (en) * 2016-08-23 2018-03-01 Applied Materials, Inc. Method to deposit aluminum oxy-fluoride layer for fast recovery of etch amount in etch chamber
CN115261776B (zh) * 2022-07-22 2024-04-09 西安空间无线电技术研究所 基于等离子体氟化抑制微波部件材料二次电子发射的方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5143333A (ja) * 1974-10-11 1976-04-14 Tokyo Shibaura Electric Co Purazumaetsuchingusochi
JPS5936525B2 (ja) * 1980-09-13 1984-09-04 幸男 石川 高速蒸気熱湯沸器
JPS57124439A (en) * 1981-01-26 1982-08-03 Nec Corp Manufacture of semiconductor device
JPS6348847A (ja) * 1986-08-19 1988-03-01 Toshiba Corp 薄膜堆積方法
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
JPH0221620A (ja) * 1988-07-08 1990-01-24 Matsushita Electric Ind Co Ltd ドライエッチング装置
US5009963A (en) * 1988-07-20 1991-04-23 Tadahiro Ohmi Metal material with film passivated by fluorination and apparatus composed of the metal material
JPH02101740A (ja) * 1988-10-11 1990-04-13 Anelva Corp プラズマエッチング装置
JP2730695B2 (ja) * 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
JPH02298024A (ja) * 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
EP0460700B1 (en) * 1990-06-07 1997-04-16 Applied Materials, Inc. Corrosion-resistant protective coating on aluminum substrate or surface and method of forming same
JP3017528B2 (ja) * 1990-11-27 2000-03-13 アプライドマテリアルズジャパン株式会社 プラズマ処理装置
JPH04354330A (ja) * 1991-05-31 1992-12-08 Victor Co Of Japan Ltd ドライエッチング装置及びドライエッチング方法
US5221414A (en) * 1991-07-16 1993-06-22 Micron Technology, Inc. Process and system for stabilizing layer deposition and etch rates while simultaneously maintaining cleanliness in a water processing reaction chamber
DE4202158C1 (zh) * 1992-01-27 1993-07-22 Siemens Ag, 8000 Muenchen, De
JPH05243167A (ja) * 1992-02-28 1993-09-21 Sony Corp 半導体装置の製造方法
KR0126249B1 (ko) * 1992-06-22 1997-12-26 모리시타 요이찌 드라이에칭법 및 드라이에칭장치
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5443680A (en) * 1993-08-12 1995-08-22 Gerber Scientific Products, Inc. Mosaic tile maker
US5532447A (en) * 1993-12-06 1996-07-02 Aluminum Company Of America Method of cleaning an aluminum surface by plasma treatment
US5585012A (en) * 1994-12-15 1996-12-17 Applied Materials Inc. Self-cleaning polymer-free top electrode for parallel electrode etch operation

Also Published As

Publication number Publication date
KR100331053B1 (ko) 2002-06-20
WO1995031822A1 (fr) 1995-11-23
EP0760526A1 (en) 1997-03-05
US5895586A (en) 1999-04-20
EP0760526A4 (en) 2001-01-10

Similar Documents

Publication Publication Date Title
TW321821B (zh)
JP4338355B2 (ja) プラズマ処理装置
TW526278B (en) Plasma processing apparatus having an evacuating arrangement to evacuate gas from a gas-introducing part of a process chamber
US4771730A (en) Vacuum processing apparatus wherein temperature can be controlled
US4323589A (en) Plasma oxidation
EP0179665A2 (en) Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
JPS6243335B2 (zh)
JPS6113626A (ja) プラズマ処理装置
JPS5816078A (ja) プラズマエツチング装置
JPH07335626A (ja) プラズマ処理装置およびプラズマ処理方法
JPH0773997A (ja) プラズマcvd装置と該装置を用いたcvd処理方法及び該装置内の洗浄方法
WO2010038885A1 (ja) 窒化珪素膜およびその形成方法、コンピュータ読み取り可能な記憶媒体並びにプラズマcvd装置
TW307027B (en) Process for reducing circuit damage during pecvd in single wafer pecvd system
CN110010466A (zh) 蚀刻方法
JP2002009069A (ja) 成膜方法
JPH05251365A (ja) 耐蝕性部材
KR102161704B1 (ko) 부품 불화 장치 및 방법
US5510088A (en) Low temperature plasma film deposition using dielectric chamber as source material
JP6950315B2 (ja) 成膜方法、ボロン膜、及び成膜装置
JPS5893323A (ja) 半導体製造装置
CN113889394B (zh) 一种SiC半导体干法表面处理设备及方法
JPS61136678A (ja) 高硬度炭素膜形成方法
JP2862779B2 (ja) 電磁波透過体
JPH04362017A (ja) 配向性Ta2O5薄膜の作製方法
US20070186854A1 (en) Apparatus and method for plasma processing