TW299421B - - Google Patents

Download PDF

Info

Publication number
TW299421B
TW299421B TW085107767A TW85107767A TW299421B TW 299421 B TW299421 B TW 299421B TW 085107767 A TW085107767 A TW 085107767A TW 85107767 A TW85107767 A TW 85107767A TW 299421 B TW299421 B TW 299421B
Authority
TW
Taiwan
Prior art keywords
memory
shortcut
instruction
processor
stored
Prior art date
Application number
TW085107767A
Other languages
English (en)
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Application granted granted Critical
Publication of TW299421B publication Critical patent/TW299421B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3802Instruction prefetching
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0875Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches with dedicated cache, e.g. instruction or stack
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3885Concurrent instruction execution, e.g. pipeline or look ahead using a plurality of independent parallel functional units

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Memory System Of A Hierarchy Structure (AREA)
  • Executing Machine-Instructions (AREA)
  • Advance Control (AREA)

Description

經濟部中央標準局員工消費合作社印袈 A7 ____B7 五、發明説明(1 ) 本發明之背景: 本發明係關於一種處理器,一種輔助處理器,和一個 裝載著此類處理器的延伸板,這些裝置是使用在一種例如 是個人電腦的資訊處理系統。 相關技術之敘述: 目前處理器架構的主流已指向精簡指令集計算機(簡 稱爲R I SC系統),R I SC系統的特點是它並沒有很 複雜的指令且固定其指令的長度•因爲各個指令很簡單, 所以R I S C系統的處理速度非常快,且因爲各個指令的 長度是固定的,所以在一次記憶體的存取動作中即可讀取 —個指令,這些特點提升了處理速度· 爲了改善RISC處理器的處理性能,在RISC處 理器中使用一種超純置系統成爲目前的趨勢•超純量系統 在運作上可使得其處理器內部的工作單元在執行運算時, 能針對硬體作動態的排程。這種系統的好處是可使用過去 的軟體資源而無需作更改,而其缺點是它無法使用足夠的 資訊進行排程,因爲是在運算執行時才進行排程,進而強 化其平行的程度。 爲獲致較超純童系統更髙的性能,已有人提出一種極 長指令字(簡稱爲VLIW系統),本系統是在程式進行 編譯時,以軟髖處理的方式對包含在處理器中的工作單元 -C 1~~ 採取統計式的排程步驟,將會平行執行的一組指令集合成 一個指令集,在處理器執行該程式時一次讀取一個指令集 本紙張尺度適用中國國家標準(CNS > Λ4規格(210X297公釐) ----„------^-- 請先閲讀背面之注意事\.填寫本頁) 訂 線 -4 - A7 _____B7 五、發明説明(2 ) ,然後執行該指令集。VL IW系統並不需要硬體來作f I,因此具有提升平行程度的好處,相反的,其缺點是一 個指令集將變得很長,因爲多個指令集合成一個指令集。 超純置系統和VL IW系統的介紹可見MLIW: The wave of the Future?^ Microprocessor Roport ,第 18至21頁,1994年2月。 —般而言,一個程式裡具有平行程度高的一部份以及 平行程度低的另一部份,各部份的實例可以圖1和圖2加 以說明,在圖中,各列代表一個處理,例如,圖1中的第 1列處理8 0 0 a代表著將1與一變數X0的內容相加, 並且將相加的結果取代一個變數Y0 ,這些處理是一個接 著一個來執行。 圖1顯示高平行程度的部份,在此部份中的處理是彼 此不相關的,因此可平行地執行。例如,在第2列處理 8 0 0 b中所使用的變數X 1值可在第1列處理8 0 0 a 執行前加以確定,因此,第1列處理8 0 0 a和第2列處 理800b可平行來執行· 經濟部中央標準局員工消費合作社印製 --.--„------裝-- (請先閱讀背面之注意事&填寫本頁) 此外,圇2顯示平行程度低的部份,在此部份中,是 由前一個處理來計算出各個處理的數值,因此*直到前一 個處理完成,前的處理才能進行,例如,使用在第2列 處理8 1 Ob中的變數XI值在第1列處理8 1 0 a執行 後才能被定義,在正常情況下,在第1列處理8 1 0 a結 束前,是不允許開始執行第2列處理8 1 0 b的。 圖3顯示針對圖1中的程式•顯示轉換後的一個 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X 297公釐) A7 j----------B7 五、發明説明(3 ) VL IW系統指令(簡稱爲—個IW指令),圖4則 針對圖2中的程式,顯示—個轉換後的vl I W指令•這 些VL I W指令可以在同一時間執行4個處理,在這個圖 中’一列代表著一個VL I W指令,這些指令由上而下即 時地被執行,圖3所示的V L I W指令是由高平行程度部 份轉換而得(見圖1 ),在這些指令中,一個指令一次可 執行4個處理’因此,相較於傳統僅具單—處理單元的處 理器,這些VLIW指令可獲致4倍的性能。 另一方面,圖4中的VL IW指令是由一個低平行程 度的處理轉換而得(見圖2 ),在這些指令中,一個指令 一次僅能執行一個處理,因此,雖然處理器使用的是可一 次執行4個處理的VL IW系統(簡稱VL IW處理器') ’也僅能達成與僅具單一處理單元之處理器相同的性能。 因爲即使在沒有處理可執行的部份中也必須保持指令長度 爲固定’有必要***一個不動作指令(non_operati〇nal instruction )(簡稱爲NOP )以表示沒有任何動作發 生’因此,指令的長度會比實際的處理內容爲長。
當VL IW處理器執行的是一般的程式時,NOP會 有極髙的佔有率,換言之,Ν Ο P佔據了一個資訊處理設 備的主儲存容量,而此資訊處理設備具有一個VL I W處 理器(簡稱爲VL IW系統)或者存在於此VL IW處理 器內部大部份的指令快捷記憶體(簡稱一個快捷記憶體) ,因爲Ν 0 P的存在,而浪费主記億體的空間,或者快捷 記憶tl的容置將會過於龐大,其引發的問題是· V L I W 本紙張尺度通川中國國家標孪(CNS ) A4規格(2丨0X297公慶) " * 6 - 請先閲讀背面之注意事填寫本頁 .裝· 訂 線 經濟部中央標準局員工消費合作社印製 299421 A7 經濟部中央標準局員工消費合作社印製 B7五、發明説明(4 ) 處理器的性能無法如預期般的高、V L I W系統的成本過 髙,而VL IW處理器晶片過大且造價昂貴。 因V L I W處理器所引發的問題可見” Basic Arrangement of Reconstructed VLSI Computer based on Execution Delay", Reports of Information Processing Society, Computer Architecture, NOS. 89 to 13,第 87 至 93 頁,1991 年 7 月 19 日’ Information Processing Society。 若能排除主記憶體因N 0 P所造成的負擔,則可有效 率地使用VL I W系統的主記億體,在”A VLIW Architecture for a Trace Scheduling Compiler", IEEE, Transaction on Computers, VOL 37, No.8,第 967至979頁,1988年8月之論文中提及將 N 0 P自主記憶體中去除可節省記憶體容置的技術。 爲減少存在於VL I W處理器中的快捷記億體容置, 在此提出一個方法,此方法採用的步驟包含了在將指令存 入快捷記憶體時壓縮該指令,自快捷記億體中讀取被壓縮 - — 的指令,然後解壓縮此指令,此技術見於"Phillips Holes to Displace DSPs With VLIW", Microprocessor Report,第1 2至第15頁,1994年11月5曰, Micro Design Resources" - I ί -- -I 1--- - - _ - —ί I (請先閱讀背面之注意事ί,1·填寫本頁) 線 升量 提容 本憶 成記 低捷 降快 可的 統中 系器 的理 Ρ 處ο W Ν I 除 L 清V 中爲 區因 儲, 存能 主性。 自的變 匕匕导 TTMl 理 處並 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X 297公釐) -7 - 經濟部中央標準局員工消費合作社印¾. A7 _____________B7 _五、發明説明(5 ) 此外,在系統中,當指令存入處理器內的快捷記憶體 時壓縮該指令,以及當自快捷記億體讀出指令時解壓縮該 指令的作法會發生一個問題,亦即在分支點上會造成大的 損失,因爲在管線(pipeline)中會***一級解壓縮的處 理以便執行該指令,且因此位於管線處理中的較深處。 換言之,指令的解壓縮將浪费一個或兩個週期,這也 包括處理器晶片內部出現的拉線延遲,因此,執行指令所 使用的管線將延長了一或二級。若這些指令是以適當的次 序來執行,則經常可將這個延伸的狀況忽略。若指令的執 行次序因分支指令的出現而更改時,則會發生一段未執行 指令的時間,一般而言,此執行管線越深,則這段時間將 會越長。 對於用以平行執行指令例如是V L I W處理器此類旳 處理器而言,將造成一個更大的問題,假設無指令執行的 一段時間超過二個週期時,僅能執行一個處理的傳統處理 器在最差的狀況下將會禁能只執行二個處理,然而,能一 次執行4個處理的VLIW處理器在最差的情況下將禁能 最多8個處理,當平行執行的處理數目愈大,則這種損失 會愈大。 爲取代減少的快捷記憶體,用以解析指令的附加硬體 是必要的。 本發明的總結: 本發明的目的之一是提供一個具有快速處理能力的廉 請先聞讀背面之注意事\/4填寫本頁) -裝. 訂 線 本紙悵尺度適用中國國家標準(CNS ) A4規格(21〇Χ297公釐) A7 B7 五、發明説明(6 ) 價V L I W處理器。 本發明的目的之一是藉由有效使用协様IH僚體,以提 供一種具有較小容量快捷記憶體和較小晶片面積的廉價 一 .. _ V L I W處理器* 本發明的目的之一在提供一種方法,以配置適用於 V L I W處理器的快捷記憶體。 本發明的目的之一在提供一種具有快速處理能力且不 需加深管線的VL IW處理器^ 爲達成上述目的,本發明所提出的處理器具有若干個 能平行執行的處理單元,這些處理單元的執行次序僅由一 〜 — ------ 數器來控制;這個處理器亦包含配合上述處理單 元以存放處理單元所執行之指令的若干快捷記億體,一個 可針對存放在快捷記憶體中之指令,存放其位址資訊的檫 記記憶體;和一個能將指令分割爲若干個配合處理單元之 指令欄位的儲存控制電路,若自外部讀取由一程式計數器 指示之位址所指定的指令,此儲存控制電路亦用以將這些 指令欄位存入配合處理單元的快捷記憶體。 依據本發明,若能㈣快捷記憶體的容量’則可在不
_ —I 需作指令解析的情況下自快捷記憶體讀出的指令’因 此,用以執行指令的管線可減少長度’如此因執行分支指 令所發生的損失將降至最低。 再者,在各個處理單元中的NO P發生率是各別的’ NOP並未存放在快捷記憶體中,因此,可依據除了 n 〇 p之外的指令發生率來設定快捷g拿,這種 本紙張反度適用中國國家標準(CNS ) Λ4規格(210X297公釐) ^-- (請先閲讀背面之注意事.^蜞寫本頁) 訂 線 經濟部中央標準局員工消費合作社印裝 -9 - 經濟部中央標準局員工消費合作社印製 A7 __B7 五、發明説明(7 ) 作法可提升快捷記憶體的使用率,進而在相同的晶片面稹 中獲致較高的處理性能· 如上述,依據本發明,編譯器在工作時將針對任何非 Ν Ο P的指令,賦予其分配至一特定群集的優先次序,這 種作法使得我們能夠變更各個群集內快捷記億體的容量, -- **--- 進而改善快捷記憶體的使用效率,最後可以實現相同性能 ,但卻可減少快捷記憶體的容量,此外,也因此而降低了 處理器的成本。 此外,我們可以降低在處理器中配置在快捷記憶體至 處理單元的電路數目,這些電路的作用是可供讀取自快捷 記憶體的指令通過,因此,可降低指令管線的I塞,且可 m. —' ^少在執行i分支指令時所造成的損失,進而提升處理器的 泰― 薩— 速度。 因爲降低了快捷記億體的容量,所以快捷記憶體的位 置可以很靠近各個工作單元,進而減少拉線的區域,並且 有效提升工作速度。 上述的作法實現了一個成本低而具快速處理能力的 V L I W處理器· 附圖之簡述: 圚1顯示一個高平行程度的處理部份實例: 圖2顯示一個低平行程度的處理部份實例; 圖3針對圖1中的部份,顯示一個VL丨界指令的實 例; 本紙張尺度適用令國國家標準(CNS ) Λ4規格(21〇X 297公釐) ----------^------IT------^ (請先閣讀背面之注意事h填寫本頁) -10 - 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(8 ) ®4針對圖2中的部份,顯示一個VL I W指令的實 例; 闽5中的方塊圖依據本發明的一個實施例,顯示快捷 15憶贈周邊的電路配置: 阖6顯示一個使用在本發明之實施例中的壓縮指令實 例; 阖7顯示一個使用在本發明之實施例中的程式計數器 實施例; 圖8顯示快捷記憶體的配置: 阖9顯示在快捷記憶體中標記部份的配置; 圖1 0中的流程圖顯示了整個快捷記憶體的操作程序 » 圖11中的方塊圖顯示了標記記憶體和位元確定電路 的配置; 圖12的方塊圖顯示一群集A之快捷記憶體的配置; 圖13的方塊圖顯示群集B至D之快捷記億體的配置
I 圖14中的列表顯示出群集A之選擇器的控制信號; 以及 圖15中的列表顯示出群集B至D之選擇器的控制信 號。 最佳實施例之詳述: 以下將配合圖5至9 ,說明本發明之—實施例中的處 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X 297公漦) -1 1 _ 批衣-- (請先閲讀背面之注意事5k.填寫本頁) -β 線 經濟部中央標準局員工消費合作社印製 A7 ______B7_ 五、發明説明(9 ) 理器配置。 圖5中的方塊圖針對使用一處理器1的資訊處理設備 顯示其中處理器1的配置以及處理器1與主儲存區5相連 的方式,數字5代表一個主儲存區,用以存放處理器1將 執行的指令以及執行所需的資料,主記憶區5的位置是在 處理器1的外側,在處理器1和主儲存區5之間的連接匯 流排具有3 2位元的寬度,數字1 〇 a至1 0 d代表著組 成處理器1之基本部份的群集,各個群集是由一個用以存 放一個指令的指令快捷記憶體1 0 0(簡稱爲快捷記憶體) ,一個用以執行各類處理例如一個運算的處理單元1 1 0 ,以及一個用以選取一個指令供處理單元110執行的選 擇器1 2 0。爲分辨存在於各個群集中的各個元件,可加 入下標,例如a至d,以及快捷記億體100a (代表群 集A的快捷記億體),在本實施例中,假設處理器1是一 個可一次執行4個指令的VL I W處理器,因此存在有4 個群集A至D · 數字2 0代表一個快捷記憶控制電路,這個快捷控制 電路2 0包含一個擊中(hit )確定電路2 2 0,一個填 入控制電路2 1 0以及一個檩記記憶體2 0 0 ;其中,擊 中確定電路2 2 0用以確定待執行的指令是否存在於快捷 記憶體1 00 a至1 00d中。填入控制電路2 1 0用以 自主儲存區5中讀取一個指令,並將此指令存入快捷記憶 體1 0 0 a至1 0 0 d ·而標記記憶體是用以存放與存放 在快捷記憶體1 0 0 a至1 0 0 d中之指令相同的資訊。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) ----------^-- (請先閱讀背面之注意事填寫本頁) 訂 線 經濟部中央標準局員工消費合作社印敦 A7 ____ _B7_ 五、發明説明(i〇) 數字4 0代表一個控制著處理器1所有工作的總控制 «— — 器,這個總控制器4 0具有一個程式計數器3 0,程式計 數器3 0是一個指標,用以指向進入指令的一個位元組位 址,且當指令被執行一次,就會更新一次,爲了存取快捷 記憶體1 0 0中的指令,將會直接使用程式計數器3 0中 的數值· 總控制器4 0和填入控制電路2 1 0兩者內部均具有 —個順序器,控制器40和電路210均在此順序器控制 下工作。 圖6顯示了一個VLIW指令的組成· 數字7 0 0代表一個當平行處理進行排程時的特定 ¥乙1贾指令,這個乂1^1界指令7 0 0是由4個以一對 一方式與群集1 0 a至1 〇 d對應的4個指令欄位所組成 。各個指令欄位N (N20) — a至d是一個使用在各個 處理單元1 0 a至1 〇 d中的指令檷位,在本實施例中, 一個檷位包含有3 2個位元(4個位元組),數字7 10 代表了一個壓縮指令字串的儲存型式,其中,可在主儲存 區5中去除Ν Ο P所作用的指令欄位。 數字7 0 2代表一個顯示在各個指令中的指令欄位是 否存在有Ν Ο P的旗標組,此旗標組被稱爲遮罩資訊,這 個遮罩資訊7 0 2係存在於各個VL IW指令中且由4個 位元所組成,其中的各個位元對應於4個群集中的各個群 集•在執行指令時,遮罩資訊允許Ν Ο P再被***指令檷 位,在群集A所屬的指令欄位n — a中,即使是NO P亦 ^紙张尺度適用中國國家標準(CNS > Λ4規格(210X297公釐) :~ -13 - ----------1^------1T------^ (請先聞讀背面之注意事^:填寫本頁) 經濟部中央標準局員工消費合作社印聚 A7 _B7 五、發明説明(11 ) 未予以清除’以下將有所說明。數字720代表一個 VL I W指令的讀取型式,這個VL I W指令是存在於處 理器1的快捷記憶體中’以下有較詳細的說明。 圖7顯示程式計數器3 0的配置,它具有2 4位元的 寬度且可分割爲3個檷位。在圖7中’數字3 00 a代表 一個用以和位址標記資訊2 0 1相比較的位址檩記,比較 的結果用來確定是否擊中(hit )快捷記憶體,而上述的 位址標記資訊2 0 1是存放在標記記億體2 0 0 (以下有 所說明)。數字300b代表一個位址項選擇部份,以選 取標記記憶體2 0 0和快捷記憶體1 0 0中的各個位址項 。數字3 0 0 c代表各個位址項中所放置之指令的偏移值 ,在本實施例中,位址標記3 0 0 a,位址項選擇部份 300b和偏移值300c分別具有13位元、6位元和 3位元的寬度。指令檷位的大小可固定在3 2位元,並分 佈在4個位元組的範園內。程式計數器3 0的最低2個位 瓦固定爲零· 圚8針對位於群集a至d中的快捷記憶體1 〇 〇 a至 1 0 0 d,顯示各個快捷記憶體的配置和容量,群集A中 的快捷記億體1 0 0 a具有4 k位元組的容量,群集B至 D中的各個快捷記憶體1 〇 〇 b至1 〇 〇 d則具有2 k位 元組的容量,快捷記憶體1 0 0 a使用的是一種雙道J相 關系統,而快捷記憶體1 0 0 b至1 0 0 d使用的是單道 組相關系統,各組的容量等於2 k位元組· 由1 0 0 a至1 〇 〇 d之各個快捷記憶體中的線寬是 本紙張尺度適用中國國家梯孳(CNS ) A4規格(210X 297公釐) ---------^-- 請先閱讀背面之注意事^ι-ν,.ρ填寫本頁) 訂 線 -14 - 經濟部中央標準局貝工消費合作社印製 A7 __B7_ 五、發明説明(l2 ) 等於8個指令檷位(4個位元組x8 = 3 2個位元組)· 1 0 0 a至1 0 0 d中的各個快捷記憶體同樣是由6 4個 記錄項(2 k位元組/3 2個位元組)所組成,各個記錄 項是由一個共有的記錄項位址來指定。在本實施例中,快 捷記憶體是由一個位址512和一個讀/寫資訊以及4個 位元組寬度所組成,這樣的作法可避免在讀取指令時需要 一個8選1的選擇器,且可避免若讀/寫資訊寬度被設定 爲適用於線寬度之32位元時所造成電力消耗增加的問題 〇 圖9顯示在群集1 0 a至1 0 d中共用之標記記憶體 2 0 0的配置,標記記憶體2 0 0使用一個對應於群集A 的雙道配置,標記記憶體2 0 0具有6 4個記錄項,各個 記錄項包含二個區域,用以存放1 3位元旳位址標記資訊 2 0 1以及遮罩資訊2 0 2,位址標記資訊2 0 1將用來 與程式計數器3 0的位址標記3 0 0 a相比較,而遮罩資 訊2 0 2則用以遮罩存放在主儲存區5中的資訊7 0 2。 爲更新快捷記憶體中的內容,將使用、( least
Receutly used, LRU) I演算法,對此演算法而言,針對 ---—________ 二 各個必要的記錄項,標記記憶體2 0 0包含有一個一位元 的L R U資訊。 遮罩資訊2 0 2是由對應於8個VL I W指令的遮罩 資訊7 0 2所組成,遮罩資訊7 0 2在圖6中有所說明。 在圚9中,instO至ins17表示任何一個目標記記憶體 200中讀取的8個VLIW指令,各個msk (X)代 本紙浪尺度適用中國國家標準(CNS ) A4規格(2丨〇><297公釐) " ---------¾-- (請先閱讀背面之注意事\「%寫本頁) 訂 -15 - A7 B7 五、發明説明(13) 表一個群集X的遮罩資訊,其中X代表A至D中的任何一 個。群集A所靥的遮罩資訊202a爲msk (A),其 用以顯示出整組是否有效,若m s k (A)爲1則該組爲 有效,若爲0,則該組爲無效。 圖1 1顯示標記記憶體2 0 0以及擊中確定電路 2 2 0的配置。 圖1 2顯示群集A的配置,選擇器1 2 0 a用以自厘 筆資料中選取一個所需的指令,而此兩筆資料是由快捷記 c. ——----------- 憶體1 00 a- 0和1 00b - 1中所輸出,而該快捷記 憶體1 00 a- 0和1 0 Ob - 1是以雙道的方式構成。 圖1 3顯示群集B至D的配置,在圖1 3中,選擇器 1 2 0 b用以選取資料以及P所靥的指令欄位,該資 料及NOP是由快捷記憶體1 〇 〇 b中所讀出,而此快捷 記憶體係依據對應的遮罩資訊以單道的方式構成。 圚1 4顯示選擇器1 2 0 a選取一輸出所依據的法則 ,而此選擇器1 2 0 a係顯示於圖1 2中。 圖1 5顯示選擇器1 2 0 b選取一輸出所依據的法則 ,而此選擇器120b係顯示於圖13中。 在說明處理器1的操作之前,先說明透過編譯器產生 VLIW指令的過程。 一般而言,在產生一個指令串時,編譯器依據編譯器 中支配硬體資源的法則,將一個處理分配給各個處理單元 ,在這個實施例中,當編譯器產生VL I W指令時,對於 程式中具低平行程度的部份而言,所使用支配硬體資源的 本紙張尺度適用中國國家梯準(CNS ) A4規格(210X297公釐) 請先閲讀背面之注意事項一%·,寫本頁) -* 經濟部中央標率局貝工消費合作社印裝 -16 ~ 299421 A7 B7 經濟部中央標準局員工消費合作社印装 五、發明説明(14 ) 法則是將褒先權賦予給贫配一個處理給群集A .的處理單元 1 1 0 a ·而對於髙平行程度的部份而言,則是儘可能平 均地將處理分配給處理單元1 1 0,而且將對分配出去的 次處理進行排程,以獲致髙處理性能。 如圇6中的7 0 0,群集A較之其他的群集更有可能 包含一個有效的處理,相反的,在指令欄位中置入Ν Ο P 的機率對於群集A而言則相對較低,因此,依據平行的程 度,一個指令碼大小和處理的性能可調整到一個最適當的 數值。 接著如圖6中的7 1 0,編譯器用以自指令中清除 NOP,且壓縮指令的數量,在某一時間點上,編譯器亦 可產生解壓縮已壓縮之指令所需的遮罩資訊7 0 2。 若遮罩資訊702爲0,則NOP存在於對應的指令 H.I..I II —* _ * 欄位701,若其爲1,則除了NOP之外的其他任何資 ------* 料是存在於檷位7 0 1中·在群集A中,對應於群集A的 遮罩資訊部份將固定爲1,與指令檷位的型式無關(即使 是NOP)。如上述,VLIW指令是由4個指令檷位所 組成*因此,遮罩資訊7 0 2包含了 4個位元。 根據本發明* NOP將在主儲存區5中被清除,因此 ,在處理器1中由程式計數器3 0所指示之快捷記億髖 1 0 0的位址所具有的一個列表是與存放指令之主儲存區 5的位址不同,這意謂著當存取主儲存區時,必須作位址 的轉換* 我們可以使用具有^列表(page table)之位址轉換 本紙張尺度適用中國國家樣準(CNS > A4規格(210X297公釐) ~ -17 - 請先閱讀背面之注意事^枷寫本頁) 裝· 訂 線 經濟部中央標準局員工消費合作社印製 A7 __B7_ 五、發明説明(15 ) 機制相同的方法來完成位址轉換,頁列表使用的時機是處 理器具備有虛擬儲存區和稱爲T L B的位址轉換緩衝器。 根據本發明,在編譯進行時,編譯器將針對主儲存區5中 的頁列表*產生一個位址轉換表。由指令串7 0 0連同其 中的NOP以及將NOP自指令串710中清除之指令串 7 1 0兩者間的關係,可產生該列表。 位址轉換緩衝器(未顯示)是存在於填入控制電路2 10中,以下將有所說明•此外,當開機時,緩衝器必須 予以初始化(清除爲0)。 如上述,編譯器用以提升在群集A中置入一有效指令 檷位的機率,因此在本實施例中,群集A 1 〇 a的快捷記 憶體1 0 0 a可擁有較之其他群集之快捷記憶體1 〇 〇 b 至1 0 0 d更多的有效指令•具體而言,快捷記憶體 1 0 0 a的相關程度二倍於其他的快捷記憶體1 〇 〇 b至 1 0 0 d。 接著將說明處理器1如何執行指令,圖1 〇總結了快 捷記憶體整個操作的流程。 當處理器1執行程式時,首先,總控制器4 0將依據 程式計數器3 0的數值去存取快捷記憶體1 〇 〇,以取得 執行處理所需的指令,在此狀況下,擊中確定電路2 2 0 將先去存取標記記憶體2 0以確定所需的指令是否已載入 快捷記憶體1 0 0中’換言之,快捷記憶體是否被擊中( 步驟900和910)。 若快捷記憶體被擊中,則擊中確定電路2 0 0將會更 本紙&尺度適用中國國家標準(CNS ) Λ4規格(210X 297公釐) 一 "~~ -18 - 請先閲讀背面之注意事^,%寫本頁) -裝· 訂 線_ A7 B7 經濟部中央標準局員工消費合作社印製 五、發明説明(l6 ) 新在標記記億體2 0 0中對應記錄項的LRU資訊2 0 3 (步驟960),總控制器40將自擊中組中讀取一個指 令,並將此指令饋入各個處理單元中(步驟970),各 個處理單元可依據擊中信號4 0 0而得知已擊中快捷記憶 體100,然而開始執行該指令。 以下將配合圖11至15來說明用以確定快捷記憶體 是否擊中(步驟9 0 0和9 1 0)的步驟。 如上述,當處理器1執行程式時,首先,總控制器 4 0藉著取用存在於程式計數器3 0之記錄項選擇部份 3 0 0 b的數值,以便在已存放於標記記憶體2 0 0的 6 4個記錄項中選擇一個記錄項,然後讀取位址標記資訊 201,遮罩資訊20 2,以及取自於標記記憶體200 的LRU資訊203。標記記億髖200是以雙道的方式 構成,有二組的位址檫記資訊2 0 1和遮罩資訊2 0 2被 讀取*此時*總控制器40執行快捷記億體100中相同 記錄項的存取。 其次,擊中確定電路2 2 0將自標記記憶體2 0 〇所 讀出的位址標記資訊2 0 1與各組中程式計數器3 0的位 址標記部位3 0 0 a作比較以檢査此兩者是否符合,互斥 非或閘2 0 0 0有某一對應數目的输出將與一同等寬度的 數字相比較(在此實施例中爲1 3 ),若其所有的輸出爲 1時,則兩者符合· 此時,選擇器2 2 1 0將自各組所讀取之8個 VLIW指令的遮罩資訊202 (指令0至指令7),選 本紙張尺度適用¥國國家標隼(CNS ) Λ4規格(210X29*7公釐1 ' -19 - (請先閲讀背面之注意事h填寫本頁) .裝· 訂 線 A7 B7 經濟部中央標準局員工消費合作社印裝 五、 發明説明( Π ) 1 I 取 所 關 心 之 V L I W 指 令 的 遮 罩 資 訊 2 0 2 9 若 比 較所 得 1 1 I 的 結 果 和 所 選 取 遮 罩 資 訊 2 0 2 的 遮 罩資 訊 2 0 2 a 一 者 1 1 均 爲 1 則 其 意 謂 著 所 關 心 的 一 組 因 一個 及 閘 2 2 0 1 的 1 I 請 1 I 動 作 而 被 擊 中 9 擊 中 信號 ( 組 0 ) 4 0 0 a 或 4 0 0 b 爲 先 閱 1 I 讀 1 1 0 背 © 1 1 之 1 若 此 二 組 中 有任何 _. 組被 擊 中 y 則致能 — 個 或 閘 注 意 1 1 2 2 0 4 進 而 使 得 快 捷 記 憶 體 被 擊 中, 興 擊 中 組 相 關 的 1 1 資 蜞 I 訊 將 透 過 擊 中 信 號 ( 組 0 ) 4 0 0 a通 知 群 集 A 〇 若 此 寫 本 裝 I 快 捷 記 憶 體 爲 擊 中 則 擊 中 組 的 遮 罩 資訊 2 0 2 b 至 d 將 頁 s^· 1 1 藉 由 擊 中 信 號 ( 組 0 ) 4 0 0 a 成 爲 有效 遮 罩 資 訊 1 1 2 0 2 b 至 d 則 透 過 線 4 1 0 b 至 d 通知 群集 B 至 D 〇 1 1 — 個 9 位 元 的 數 值 將 提 供 給 快 捷記憶 體 1 0 0 a 至 訂 I 1 0 0 d 而 此 9 位 元 數 值 是 由 程 式 計數 器 3 0 的 記 錄 項 1 I 選 擇 部 份 3 0 0 b 結 合 偏 移 值 3 0 0 c所 構 成 0 在 群 集 A 1 1 | 中 將 同 時 白 二 組 記 憶 體 中 讀 取 指 令 欄位 選 擇 器 1 1 線 1 1 2 0 a 則 依 據 顯 示 那 一 組 記 憶 體 被 擊中 的 擊 中 信 號 ( 組 0 ) 來 選 取 其 中 一 個 指 令 撕 位 圖 1 4顯 示 了 這 個 選 取 的 1 1 法 則 〇 1 I 快 捷 記 憶 體 1 0 0 b 至 1 0 0 d 將自 — 組 記 憶 體 中 讀 1 I 出 的 指 令 欄 位 和 Ν 0 Ρ 的 處 理 碼 傅 送 至選 擇 器 1 2 0 b 至 1 1 2 0 d t 選 擇 器 1 2 0 b 至 1 2 0 d係 依 據 有 效 的 遲 罩 1 I 資 訊 4 1 0 b 至 4 1 0 d 來 工 作 圖 15 中 顯 示 了 選 擇 的 1 1 法 則 處 理 碼 是 事 先 設 定 好 的 9 因 此 ,可 藉 由 連 接 的 邏 輯 1 1 予 以 實 現 〇 1 1 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -20 - 經濟部中央標準局員工消費合作社印装 at ___B7 五、發明説明(is) 如上述’自快捷記憶體1 ο o b至1 0 0 d讀出的指 令僅透過選擇器1 2 Ob至1 2 0 d中的一級而提供給處 理單元,因此,可減少所需的處理時脈數目並縮短管線的 .一 ... .— — · ------------------------------- 1 · ————- 長度· 接著將說明快捷記憶體不中(miss)的處理。 若快捷記憶體並未擊中,則由擊中確定電路2 2 0將 不中的訊息經由一個擊中信號4 0 0 c傳送至填入控制電 路 210,之後’填入控制電路210將開始動作,在 此同時,記錄項選擇部份3 0 0 b和程式計數器3 0的偏 移值3 0 0 c將被傳送至快捷記憶體1 〇 〇 a至1 〇 〇 d ,然後,自快捷記憶體1 0 0 a至1 0 0 d中讀出內容· 在此同時,不中的訊息將透過一個擊中信號4 0 0 c傳送 至處理單元1 1 0 a至1 1 〇 d,以便停止這些處理單元 ,因此不會發生任何問題· 接著,填入控制電路2 1 0自主儲存區5中讀取另一 個VL I W指令和對應的遮罩資訊,然後將其存入快捷記 憶體1 00 a至1 00 d和標記記憶體200中所關心的 記錄項,以下將說明這個過程· 首先,檢査是否確實存在有空的記憶區以供新指令存 放在快捷記億體中所關心的記錄項。針對標記記憶體 2 0 0中所關心的記錄項而言,將檢査任一記憶組中 instOmsk至inst7msk的所有遮罩資訊m s k ( A ), 若所有的遮罩資訊m s k ( A )爲0時,則所關心之記億 組中所關心的記錄項爲空的,若未剩餘有空白的區域,則 本紙倀尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ~ ~ -21 - 請先閱讀背面之注意事^寫本頁) .裝· A7 B7 經濟部中央標準局員工消費合作社印製 五、 發明説明( 19 ) 填 入 控 制 電 路 2 1 0 將 使得若 干 組 所 關 心 的 記 錄 項 變 爲 <trrT. m 效 以 取 得 — 個 空 白 的 面 域 » 這 個 動 作 稱 爲 快 捷 記 億 體 的 % 使 Λητ. 撕 效 0 當 快 捷 記 憶 體 進 行 使失 效 時 填 入 控 制 電 路 2 1 0 將 選 取 一 個 即 將 使 其 失 效 ( 步 驟 9 2 0 ) 的 資 料 組 » 然 後 對 此 選 出 的 資 料組 記 錄 項 進行使 失 效 ( 步 驟 9 3 0 ) 9 以 下 將 說 明 使 失 效 的 方 法 〇 若 仍 剩餘有 空 白 區 或者藉 由 快捷記億 體 的 使失 效 處 理 而取 得 空 白 區 則 填 入 控 制 電 路 2 1 0 將 位 址 標 記 資 訊 2 0 1 經 由 線 4 5 4 存 入 標 記 記 億 髖 2 0 0 並 產 生 一 個 新 的 記 錄 項 ( 步 驟 9 4 0 ) 然 後 在 步 驟 9 5 0 中 填 入 控 制 電 路 2 1 0 同 時 讀 取 8 個 指 令所 需 的 遮 罩 資 訊 7 0 2 以 符 合 — 個 3 2 位 元 寬 的 匯 流 排 填 入 控 制 電 路 2 1 0 再 將 讀 出 的 遮 罩 資 訊 7 0 2 存 入 檫 記 記 憶 髖 2 0 0 以 及 填 入 控 制 電 路 2 1 0 本 身 接 著 -1»戈 輸 出 V L I W 指 令 7 0 1 依 據 8 個 指 令 所 需 的 遮 罩 資 訊 而 包 含 有 8 個 連 績 的 指 令 〇 當 填 入 控 制 電 路 2 1 0 讀 取 V L I W 指 令 7 0 1 時 填 入 控制 電 路 2 1 0 將 分 析 遮 罩 資 訊 7 0 2 且 根 據 分 析 所 得 的 結 果 送 出 一 個 寫 入 信 號 給 所 關 心 的 快 捷 記 憶 體 9 由 主 儲 存 區 5 導 引 出 的 指 令 饋 入 路 徑 且 3 2 位 元 的 寬 度 t da 與 指 令 欄 位 的 大 小 是 相 同 的 因 此 1 對 於 可 寫 入 資 料 的 快 捷 記 憶 體 而 言 9 其 規 格 的 執 行 僅 被 允 許 使 用 快 捷 記 億 體 1 0 0 a 至 1 0 0 d 的 各 個 寫 入 信 號 〇 若 兩 組 記 億 區 有 剩 餘 的 空 白 區 或 者 經 由 使 失 效 的 動 作 請 先 閱 讀 背 訂 本紙張尺度逋用中國國家榡準(CNS ) Λ4規格(210X297公釐) 裝 -22 - 經濟部中央標準局貝工消費合作社印製 A7 _B7__ 五、發明説明(2〇 ) 而使其成爲空白區’則事先會決定指令是存放在那一組記 憶區中。 如上述,處理器包含了 Ν Ο P指令的處理碼,因此, 不需要再存入NOP指令。若各個VLIW指令包含有 NO P指令所需的一個指令禰位,則填入控制電路2 1 0 將依據遮罩資訊,禁止將指令寫入快捷記億體。 因此,至多有8個VL I W指令被存放在快捷記億體 中,而這些快捷記億體是位於與指令相對應的群集中,此 外,各個VL I W指令則是置於對應的指令檷位中· 根據本發明,如上述,因爲NOP已由存放在主儲存 區5的指令串7 1 〇中被除去,則存放在快捷記憶體中之 指令的位址是由程式計數器3 0的數值所決定,而其位址 列表是與存放在主儲存區5中的指令位址不同,所以在存 取主儲存區5時,將透過存在於填入控制電路2 1 0中的 位址轉換緩衝器以及存放在主儲存區5中的位址轉換表來 進行位址的轉換。 填入控制電路2 1 0透過相關的機制,進行程式計數 器3 0的位址數值轉換,透過路徑4 5* 0以讀取主儲存區 5之後由轉換位址所顯示的指令,然後將此指令存入快捷 記億體1 0 0中,在路徑4 5 0中,除了轉換位址之外, 亦包含有主儲存的一個控制信號,後績的處理則與擊中當 時所執行的處理相同。 若干上述的處理被允許能夠平行或重新安排次序地予 以執行•例如,可同時更新LRU資訊(步驟9 6 0 )以 本紙張尺度適用中國國家標準(CNS ) A4规格(210X 297公釐) ---------^-- (請先閲讀背面之注意事^.楱寫本頁) 訂 線 -23 - A7 B7 經濟部中央標準局員工消費合作社印裝 五、 發明説明( 21 ) 1 | 及 饋 入 指 令 ( 步 驟 9 7 0 ) 〇 1 1 其 次 * 將 說 明 選 取 — 使 失 效 之 記 億 組 的 方 法 y 透 過 1 1 L R U 資 訊 2 0 3 的 使 用 * 可 執 行 選 取 使 失 效 之 記 億 組 的 1 | 動 作 ( 步 驟 9 2 0 ) 9 它 顯 示 出 巨 前 所 使 用 的 是 那 ―― 組記 請 先 閲 1 I 憶 9 若 巨 前 所 使 用 的 是 第 % 0 組 9 則 擊 中 確 定 電 路 背 面 1 1 I 2 2 0 存 入 0 , 若 @ 刖 使 用 的 是 % 1 組 » 則 存 入 % 之 注 意 1 1 I 1 離 » 換 言 之 9 當 擊 中 快 捷 記 憶 體 時 > 記億組 A 的 擊 中 信 1 1 1 號 4 0 0 a 的 數 值 將 被 寫 入 相 對應 之 記 錄項 的 L R U 資 訊 填 窝 本 1 裝 2 0 3 0 頁 1 1 以 下 將 說 明 使 失 效 的 過 程 > 首 先 填 入 控 制 電 路 1 1 2 1 0 將 檢 査 是 否 有 個 新 指 令 被输 入 至 具 有 舊 存 取 歷 史 1 I 的 — 組 快 捷 記 億 體 而 此 舊 存 取 歷 史 是 由 記 錄 項 中 的 訂 I L R U 資 訊 2 0 3 所 表 示 〇 若 % 新 指 令 的 標 竿 資 訊 中 的 1 1 I 各 個 位 元 與 % 具 有 舊 存 取 歷 史 之 指 令 中 的 標 竿 資 訊 • 之 各 1 1 I 個 位 元 二 者 作 % A N D • 運 算 所 得 的 結 果 爲 零 時 新 指 令 1 1 可 被 存 放 在 該 組 的 快 捷 記 憶 體 中 而 上 述 的 舊 存 取 歷 史 是 線 1 由 L R U 資 訊 2 0 3 所 顯 示 0 然 後 對 該 組 具 有 由 L R U 1 1 資 訊 2 0 3 所 顯 示 之 舊 存 取 資 料 的 記 憶 體 執行 使 失 效處 理 1 I t 爲 使 此 組 記 憶 體 成 爲 撕 效 相 對 應 記 憶組 中 標 記 記 憶 體 1 I 2 0 0 和 標 竿 資 訊 亦 即 i ns t 0至 i ns t7的 m S k ( A ) 1 1 | 2 0 2 a 將 成 爲 零 0 1 1 對 於 檢 査 的 結 果 而言 若 Arr. m 新 指 令 可 •U-Λ. 輸 入 該 組 記 憶 體 1 1 > 則 可 針 對 具 有 由 L R U 資 訊 2 0 3 所 表 示 之 新 存 取 歷 史 1 1 的 — 組 記 憶 體 進 行 相 同 的 檢 査 9 若 新 指 令 可 被 Μ·Λ. 輸 入 至 此 組 1 1 本紙張尺度適用中國國家標华(CNS〉A4規格(21〇X297公釐) -24 - 經濟部中央標準局貝工消費合作杜印製 A7 ______Β7__ 五、發明説明(22) 記憶體,則該組具有由LRU資訊2 0 3所顯示之新存取 歷史的記億體將變爲失效,假使在該兩組記憶體已成失效 的情況下,仍無新指令被輸入至記憶組時,這二組記憶體 將成爲失效。 爲了使該二組記憶體失效,填入控制電路2 1 0將所 有的mask (A) 202a設定爲零,而mask (A )2 0 2 a 係對應於 i n s 10 m a s k 至 i n s 17 m a s k 的群集 A, 而instQmask和inst7mask係存在於選自於槺記記憶體 2 Ο 〇中的記憶組遮罩資訊•若其中有任何一組記億體失 效時,則自該組記憶體中讀取一個新的指令,此時遮罩資 訊是被重置的,因此,不需要將該資訊設定爲零,當開機 時*遮罩資訊2 0 2將進行初始化(被清除爲零)以利後 績的使失效處理。 在上述的說明中,處理單元1 1 0 a在配置及控制上 能使得有效的指令被集中在一起,當然,本發明並不受限 於此種配置及控制。 再者,在本實施例中,快捷記憶體1 〇 〇 a的容置是 二倍於其中快捷記憶體中各記憶體的容量,然而,這不限 於容量。本發明中的快捷記憶體在配置上可符合將多個指 令集中在一特定處理單元中的速率* 本紙張尺度適用中國國家標準(CNS > Λ4規格(210X297公釐) I---------^-- 請先閱讀背面之注意事七;填寫本頁) 訂· 線

Claims (1)

  1. 8888 ABCD Η 經濟部中央標準局貝工消費合作社印製 六、申請專利範圍 第85107767號專利申請案 中文申請專利範圍修正本 民國85年12月修正 1 . 一種處理器包含: 二個或多個處理單元,這些處理單元的執行順序可僅 由一個程式計數器加以控制,且可平行地執行: 二個或多個用以儲存即將爲處理單元所執行之指令的 快捷記憶體,這些快捷記憶體分別對應於上述的處理單元 1 一個標記記憶體,用以儲存指令的位址資訊,而該指 令係存放在快捷記憶體中:以及 一個用以儲存指令欄位的儲存控制電路,若—個由上 述程式計數器所顯示之位址所指定的指令自外界讀入時, 在快捷記憶體中的指令將被分割爲上述的指令欄位,而這 些快捷記憶體係對應於處理單元。 2.如申請專利範圍第1項中的處理器,其中一個快 捷記憶體的容量是不同於另一個快捷記憶體的容量。 3 .如申請專利範圍第1項中的處理器,其中的一個 快捷記憶體容量是N (二個或多個自然數)倍於其他快捷 記憶體的容量。 4 .如申請專利範圍第3項中的處理器,其中的N値 爲2 ° 5.如申請專利範圍第1至第4項中任一項的處理器 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) HH ^^1 m ^^1 ^^1 (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部中央標準局員工消費合作社印製 A8 B8 C8 D8 六、申請專利範圍 ,其中的儲存控制電路執行以下的步驟: 讀取顯示那一個處理單元對應至指令欄位的儲存資訊 ,而其中的指令欄位是在讀取指令時,由該指令劃分出來 的; 將該儲存資訊存入標記記憶體中,這個標記記憶體存 放在對應至該指令的位址資訊;以及 控制各個指令欄位在快捷記憶體中的儲存動作,這個 快捷記憶體係對應於用以執行該指令欄位的處理單元’而 該指令欄位將根據儲存資訊被儲存起來。 6. 如申請專利範圍第1至第4項中任一項的處理器 ,其中快捷記憶體的容量係對應於存放在快捷記憶體中之 指令欄位的儲存容量。 7. 如申請專利範圍第1至第4項中任一項的處理器 ,其中各個快捷記憶體的儲存容量與儲存在該快捷記憶體 本身中的指令欄位有相關的對應。 8. 如申請專利範圍第1至第4項中任一項的處理器 ,其中的儲存資訊提供一個區域以指定出來存放在任何一 個快捷記憶體中之指令欄位是包含在該指令中,以及 儲存控制電路控制著指令欄位的儲存動作,而此指令 欄位是由將存放在該快捷記憶體中的儲存資訊所指定° 9. 如申請專利範圍第1至第4項中任一項的處理器 ,其中的處理單元提供了可執行一個指令欄位的功能’而 此指令欄位並未存放在任何一個快捷記憶體中, 該儲存資訊提供一個區域,用以指定出並未存放在快 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)-2 - --------{-裝------訂-----γ銶 (請先閲讀背面之注意事項再填寫本頁) A8 B8 C8 D8 六、申請專利範圍 捷記憶體中的指令欄位,以及 若該儲存資訊顯示出即將在處理單元中進行處理的指 令欄位並未存放在任何一個快捷記憶體時,則處理單元將 產生並執行這個未存放在該快捷記憶體中的指令欄位。 ίο·—個處理器,包含: 二個或多個處理單元,其執行的順序是僅利用一個程 式計數器來加以控制,並允許作平行地執行:以及 二個或多個快捷記憶體,以便平行地將一個指令平行 地饋入二個或多個處理單元。 11. 如申請專利範圍第1〇項中的處理器,其中一 個快捷記憶體的容量與其他快捷記憶體的容量不同。 12. 如申請專利範圍第11項中的處理器,其中與 其他快捷記憶體不同容量之一個快捷記憶體的容量係N ( 2或更大的自然數)倍於其他的快捷記憶體。 13. 如申請專利範圍第12項中的處理器,其中的 N爲2 。 (請先Μ讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印裝 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐)
TW085107767A 1995-06-28 1996-06-27 TW299421B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP16173895A JP3598589B2 (ja) 1995-06-28 1995-06-28 プロセッサ

Publications (1)

Publication Number Publication Date
TW299421B true TW299421B (zh) 1997-03-01

Family

ID=15740952

Family Applications (1)

Application Number Title Priority Date Filing Date
TW085107767A TW299421B (zh) 1995-06-28 1996-06-27

Country Status (4)

Country Link
US (1) US5893143A (zh)
JP (1) JP3598589B2 (zh)
KR (1) KR100248440B1 (zh)
TW (1) TW299421B (zh)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5835941A (en) * 1995-11-17 1998-11-10 Micron Technology Inc. Internally cached static random access memory architecture
JPH1185512A (ja) * 1997-09-03 1999-03-30 Fujitsu Ltd 命令圧縮格納および命令復元機能を有するデータ処理装置
JP2000305781A (ja) * 1999-04-21 2000-11-02 Mitsubishi Electric Corp Vliw方式プロセッサ、コード圧縮装置、コード圧縮方法およびコード圧縮プログラムを記録した媒体
GB2366643B (en) * 2000-05-25 2002-05-01 Siroyan Ltd Methods of compressing instructions for processors
US6581131B2 (en) * 2001-01-09 2003-06-17 Hewlett-Packard Development Company, L.P. Method and apparatus for efficient cache mapping of compressed VLIW instructions
US7140019B2 (en) * 2002-06-28 2006-11-21 Motorola, Inc. Scheduler of program instructions for streaming vector processor having interconnected functional units
US7415601B2 (en) * 2002-06-28 2008-08-19 Motorola, Inc. Method and apparatus for elimination of prolog and epilog instructions in a vector processor using data validity tags and sink counters
US7159099B2 (en) * 2002-06-28 2007-01-02 Motorola, Inc. Streaming vector processor with reconfigurable interconnection switch
EP1378824A1 (en) * 2002-07-02 2004-01-07 STMicroelectronics S.r.l. A method for executing programs on multiple processors and corresponding processor system
US7636837B2 (en) * 2003-05-28 2009-12-22 Fujitsu Limited Apparatus and method for controlling instructions at time of failure of branch prediction
US7111154B2 (en) * 2003-06-25 2006-09-19 Intel Corporation Method and apparatus for NOP folding
KR100703357B1 (ko) * 2003-08-16 2007-04-03 삼성전자주식회사 보조제어부를 구비하는 휴대용 단말기의 캐시메모리구현장치 및 방법
US7290122B2 (en) * 2003-08-29 2007-10-30 Motorola, Inc. Dataflow graph compression for power reduction in a vector processor
JP2005196729A (ja) * 2003-12-10 2005-07-21 Renesas Technology Corp コンパイラおよび命令コード出力装置
GB2415269B (en) * 2004-06-15 2007-07-25 Advanced Risc Mach Ltd Program instruction decompression and compression techniques
TWI320636B (en) * 2005-11-10 2010-02-11 Realtek Semiconductor Corp Method for compressing instruction code
US7484077B2 (en) 2005-11-10 2009-01-27 Realtek Semiconductor Corp. Skipping unnecessary instruction by multiplex selector using next instruction offset stride signal generated from instructions comparison results
KR20080067711A (ko) * 2005-11-15 2008-07-21 엔엑스피 비 브이 인스트럭션 실행 방법, 프로세싱 시스템 및 데이터 프로세싱 시스템
DE102007001042B4 (de) * 2006-01-03 2017-08-24 Realtek Semiconductor Corp. Vorrichtung und Verfahren zum Entfernen nicht benötigter Anweisungen, Computer und Verfahren Zur Kompression von Anweisungscodes
KR100648014B1 (ko) * 2006-06-05 2006-11-23 (주)엠씨티코리아 평판형 디스플레이패널 검사용 프로브장치의 pcb 접속용지그
KR100875836B1 (ko) * 2007-03-23 2008-12-24 삼성전자주식회사 병렬 처리 vliw 컴퓨터를 위한 인스트럭션 명령어 압축장치 및 그 방법
JP4864840B2 (ja) 2007-08-31 2012-02-01 株式会社東芝 マイクロプロセッサ
US7945768B2 (en) * 2008-06-05 2011-05-17 Motorola Mobility, Inc. Method and apparatus for nested instruction looping using implicit predicates
US9201652B2 (en) * 2011-05-03 2015-12-01 Qualcomm Incorporated Methods and apparatus for storage and translation of entropy encoded software embedded within a memory hierarchy
US10120692B2 (en) * 2011-07-28 2018-11-06 Qualcomm Incorporated Methods and apparatus for storage and translation of an entropy encoded instruction sequence to executable form
KR102210997B1 (ko) * 2014-03-12 2021-02-02 삼성전자주식회사 Vliw 명령어를 처리하는 방법 및 장치와 vliw 명령어를 처리하기 위한 명령어를 생성하는 방법 및 장치
US10833704B1 (en) * 2018-12-12 2020-11-10 Xilinx, Inc. Low-density parity check decoder using encoded no-operation instructions

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4833599A (en) * 1987-04-20 1989-05-23 Multiflow Computer, Inc. Hierarchical priority branch handling for parallel execution in a parallel processor
US5051885A (en) * 1988-10-07 1991-09-24 Hewlett-Packard Company Data processing system for concurrent dispatch of instructions to multiple functional units
JPH05158795A (ja) * 1991-12-06 1993-06-25 Nec Corp キャッシュメモリシステム
US5465342A (en) * 1992-12-22 1995-11-07 International Business Machines Corporation Dynamically adaptive set associativity for cache memories
WO1994027216A1 (en) * 1993-05-14 1994-11-24 Massachusetts Institute Of Technology Multiprocessor coupling system with integrated compile and run time scheduling for parallelism
US5510934A (en) * 1993-12-15 1996-04-23 Silicon Graphics, Inc. Memory system including local and global caches for storing floating point and integer data
US5603047A (en) * 1995-10-06 1997-02-11 Lsi Logic Corporation Superscalar microprocessor architecture

Also Published As

Publication number Publication date
KR100248440B1 (ko) 2000-03-15
JP3598589B2 (ja) 2004-12-08
JPH0916471A (ja) 1997-01-17
KR970002618A (ko) 1997-01-28
US5893143A (en) 1999-04-06

Similar Documents

Publication Publication Date Title
TW299421B (zh)
CN109522254B (zh) 运算装置及方法
JP6375379B2 (ja) キャッシュ占有決定および命令スケジューリングのための方法および装置
CN107111550B (zh) 用于隐藏程序提取的页遗漏转换时延的方法和装置
US7162617B2 (en) Data processor with changeable architecture
TW201741871A (zh) 原子式儲存至寬於原生支援資料寬度之記憶體資料的處理器、方法、系統與指令
JP2769097B2 (ja) アドレス変換方法及びデータ処理装置
JPH05502125A (ja) 後入れ先出しスタックを備えるマイクロプロセッサ、マイクロプロセッサシステム、及び後入れ先出しスタックの動作方法
TW201802668A (zh) 可中斷及可重啟矩陣乘法指令、處理器、方法和系統
KR101817459B1 (ko) 1들을 최하위 비트들이 되도록 풀링하면서 비트들을 좌측으로 시프팅하기 위한 명령어
CN113849224A (zh) 用于移动数据的指令的装置、方法和***
CN105027137B (zh) 用于针对增强型安全检查的页走查扩展的装置和方法
EP4002131A2 (en) Sequestered memory for selective storage of metadata corresponding to cached data
CN114676090A (zh) 用于低时延页解压缩和压缩加速的电路和方法
TWI469047B (zh) 使用儲存預先擷取來消減久存潛時之方法和裝置
CN114675883A (zh) 用于对齐矩阵操作加速器瓦片的指令的装置、方法和***
US11327768B2 (en) Arithmetic processing apparatus and memory apparatus
CN101751356A (zh) 用于改进直接存储器存取传送效率的方法、***和装置
EP4020232A1 (en) Compressed cache memory with parallel decompress on fault
US20210089305A1 (en) Instruction executing method and apparatus
KR20060113560A (ko) 데이터 프로세싱 장치 및 데이터 프로세싱 방법
CN114675888A (zh) 用于加载矩阵操作加速器瓦片的指令的装置、方法和***
CN113885943A (zh) 处理单元、片上***、计算装置及方法
US6233675B1 (en) Facility to allow fast execution of and, or, and test instructions
JP5664198B2 (ja) 演算処理装置