TW298704B - - Google Patents

Download PDF

Info

Publication number
TW298704B
TW298704B TW084102573A TW84102573A TW298704B TW 298704 B TW298704 B TW 298704B TW 084102573 A TW084102573 A TW 084102573A TW 84102573 A TW84102573 A TW 84102573A TW 298704 B TW298704 B TW 298704B
Authority
TW
Taiwan
Prior art keywords
substrate
etching
main surface
wafer
gas
Prior art date
Application number
TW084102573A
Other languages
Chinese (zh)
Inventor
Makoto Hasegawa
Original Assignee
Tokyo Electron Co Ltd
Toshiba Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP03364594A external-priority patent/JP3257741B2/en
Application filed by Tokyo Electron Co Ltd, Toshiba Co Ltd filed Critical Tokyo Electron Co Ltd
Application granted granted Critical
Publication of TW298704B publication Critical patent/TW298704B/zh

Links

Landscapes

  • Drying Of Semiconductors (AREA)

Description

經濟部中央標準局貝工消費合作社印製 A7 B7 五、發明説明(/ ) 【本發明之技術領域】 本發明係關於使用電漿來對於半導體晶圓等被處理基 板進行電漿蝕刻處理之電漿蝕刻裝置。 【先前技術】 為了對於形成於半導體晶圓、LCD基板等基板上的 配線用導電膜進行圖形化處理(P a t t e r n i n g ) ,’乃使用電漿蝕刻裝置。電漿蝕刻裝置係具有用以規劃出 供收容被處理基板且進行處理的處理空間之眞空處理室( Process chamber)。處理室内係配設有 一對上下部電極,在於具有電納(Su s c ep t an c e )功能的下部電極上係載置有被處理基板(s u b s t rate to be processed)例如:半 導體晶圓。處理室内導入處理氣體(蚀刻氣體),並在於 上下部電極間加諸高頻電力的話,處理氣體變成電漿化( made into plasma)。電榮:中的反應性 離子係被晶圓本身的偏壓電位所引出,使得形成於晶圓上 的導電膜被蝕刻而圖形化。 為了使反應性離子有效地射入晶圓,在於下部電極上 以包圍住晶圓的方式配置有一__^·環(電場補-償崖)。聚 焦環除了需具有耐腐蝕性(對於蝕刻氣體具有很強的耐藥 品性)、耐蚀刻性、耐熱性之外,必須具有導電性。基於 此一觀點,一般都是使用由非晶質碳所一體成形而成的環 來當作聚焦環。 在於使用上述的聚焦環的電漿蝕刻裝置中,有時候會 本紙張尺度逍用中國國家梂準(CNS ) A4規格(210 X 297公釐) (請先閲讀背面之注意事項再填寫本頁) 裝· 訂 4 經濟部中央橾準局員工消費合作社印製 3〇87〇4 A7 B7 五、發明説明(2 ) · 因為處理條件的不同,導致蝕刻率、蚀刻異方性的面内均 一性惡化。更具體言之,晶圓的周邊部的蝕刻率較高,中 央部較慢。尤其是如果將晶圓的主表面的溫度設定成較高 的話,這種傾向更強烈,會造成晶圓周邊部的蝕刻率變成 非常高。而且在於這種狀況下,在於晶圓周邊部的蝕刻異 方性會惡化,於進行導電膜的圖形化處理時會發生側面蝕 刻,導致所形成的配線較之原本設定的寬度更細。 而足以影響這種蝕刻率、蝕刻異方性的面内均一程度 的主要原因,被認為是受到當進行蚀刻處理過程中所實施 的處理室的雜的表流之影響。氣流係從下部電極 上經過其周圍而被朝下方抽出之故,新鮮的處理氣體大多 被導引到晶圓周邊部,另一方面,在於中央部則是氣流停 滯,使得新鮮的處理氣體較少抵達。因此,在於晶圓周邊 部和中央部所進行的蝕刻處理過程有所差異,造成蝕刻率 、蚀刻異方性變成不均二。 【本發明之概論】 本發明之目的在於提供可在整個被處理基板的表面獲 得均一的蝕刻率和蝕刻異方性之電漿蝕刻裝置。 就本發明的第1觀點而言,使用電漿來對於基板的主 表面上的蝕刻對象物進行蝕刻處理的裝置係具備: 規劃出供以收容上述基板且進行處理的眞空處理空間 之處理室、及 用以導入已經電漿化的蚀刻氣體到上述處理室内之供 給系、及 本紙張尺度適用中國國家梂準(CNS ) A4规格(210X2.97公釐) (請先閱讀背面之注意事項再填寫本頁) 裝- 訂 A7 B7 經濟部中央樣準局負工消费合作社印製 五、發明説明(5 用以對於上述處理室内進行排氣之排氣系、及 配設於上述處理室内之互相對向的一對對向電極 配设於上述處理室内,以基 上述處理空間内之方式來支榜上述基板之露:在 以令其羞生供上述钱刻氣體電漿化時所用的電場之 式來供應電壓到上述對向電極間之電源、及 •露出於上述處理空間内,且具有用以包圍上述基板的 主表面之包圍用表面之源極構件、及 上述源極構件係以上述蝕刻對象物的主成份,且本有 當身上農蚀赳氣體接觸睫瓦克生虞吸H 〇 it惠物i氣的反應生.成血牧材科所报成、及 上述電漿的產生過程中,由上述包圍用表面所產生的 上述反應生成物會擴散到上述基板的主表面上,而補正上 述主表面上的上述反應生成物的量分佈。 就本發明的第2觀點而言,使用電漿來對於基板的主 表面上的蝕刻對象物進行蝕刻處理的裝置係具備: 規劃出供以收容上述基板且進行處理的眞空處理空間 之處理室、及 用以導入已經電漿化的蝕刻氣體到上述處理室内之供 給系、及 用以對於上述處理室内進行排氣之排氣系、及 配設於上述處理室内之互相對向的一對對向電極、及 配s又於上述處理室内,以使上述基板的主表面露出在 上述處理空間内之方式來支撐上述基板之支撐構件、及 (請先閲讀背面之注意事項再填寫本頁) 裝· -、1T.A7 B7 printed by the Beigong Consumer Cooperative of the Central Bureau of Standards of the Ministry of Economic Affairs 5. Description of the invention (/) [Technical Field of the Invention] The present invention relates to the use of plasma to perform plasma etching treatment on substrates such as semiconductor wafers to be processed Slurry etching device. [Prior Art] In order to pattern a conductive film for wiring formed on a substrate such as a semiconductor wafer or an LCD substrate (Pat t e r n i n g), a plasma etching device is used. The plasma etching apparatus has a process chamber for planning a processing space for receiving a substrate to be processed and processing. A pair of upper and lower electrodes are arranged in the processing chamber, and a substrate to be processed (s u b s t rate to be processed), such as a semiconductor wafer, is placed on the lower electrode having the function of susceptance (Su s c ep t an c e). When a processing gas (etching gas) is introduced into the processing chamber and high-frequency power is applied between the upper and lower electrodes, the processing gas becomes plasma (made into plasma). Denon: Reactivity in the ion system is drawn by the bias potential of the wafer itself, so that the conductive film formed on the wafer is etched and patterned. In order to effectively inject reactive ions into the wafer, a ring (electric field compensation-compensation cliff) is arranged on the lower electrode so as to surround the wafer. The focus ring must be electrically conductive in addition to corrosion resistance (it has a strong chemical resistance to etching gases), etching resistance, and heat resistance. Based on this point of view, a ring made of amorphous carbon is generally used as the focus ring. In the plasma etching device using the above focus ring, sometimes the paper size is used in China National Standard (CNS) A4 specification (210 X 297 mm) (please read the precautions on the back before filling this page) Binding · Order 4 Printed by the Ministry of Economic Affairs, Central Bureau of Accreditation and Employee Consumer Cooperatives 3〇87〇4 A7 B7 V. Description of the invention (2) · Due to the different processing conditions, the in-plane uniformity of the etching rate and etching anisotropy deteriorates . More specifically, the peripheral part of the wafer has a higher etching rate and the central part is slower. In particular, if the temperature of the main surface of the wafer is set to be high, this tendency becomes stronger, and the etching rate at the periphery of the wafer becomes very high. In this case, the etching anisotropy on the periphery of the wafer deteriorates, and side etching occurs when the conductive film is patterned, resulting in a thinner wiring than the originally set width. The main reason for the in-plane uniformity sufficient to affect such etching rate and etching anisotropy is considered to be influenced by the extraneous surface flow of the processing chamber implemented during the etching process. The gas flow is drawn downward from the lower electrode through its surroundings. Most of the fresh processing gas is guided to the periphery of the wafer. On the other hand, the gas flow is stagnant in the central part, making the fresh processing gas less arrival. Therefore, the etching process performed on the peripheral portion and the central portion of the wafer is different, resulting in an uneven etching rate and etching anisotropy. [Overview of the present invention] An object of the present invention is to provide a plasma etching apparatus that can obtain a uniform etching rate and etching anisotropy over the entire surface of a substrate to be processed. In terms of the first aspect of the present invention, an apparatus that uses plasma to perform etching processing on an etching target object on a main surface of a substrate includes: a processing chamber in which an empty processing space for storing and processing the substrate is planned, And the supply system used to introduce the plasma etching gas into the above processing chamber, and the paper size is applicable to China National Standards (CNS) A4 specification (210X2.97mm) (please read the notes on the back before filling in This page) Binding-Order A7 B7 Printed by the Consumer Labor Cooperative of the Central Prototype Bureau of the Ministry of Economy V. Invention description (5 Exhaust system for exhausting the above treatment chamber and the mutual arrangement of the above treatment chamber A pair of opposite electrodes are arranged in the processing chamber, and the exposure of the substrate is supported in a manner based on the processing space: the electric field used to plasmatize the gas engraved in the above manner To supply voltage to the power source between the counter electrodes, and the source member exposed to the processing space and having a surrounding surface for surrounding the main surface of the substrate, and The source component is based on the main components of the above-mentioned etching object, and it is originally a reaction reaction when the agricultural erosion gas contacts the eyelashes and the air absorbs the H 〇it benefit material i gas. During the generation of the plasma, the reaction product generated from the surrounding surface diffuses onto the main surface of the substrate to correct the amount distribution of the reaction product on the main surface. From a 2 point of view, an apparatus that uses plasma to perform an etching process on an etching object on a main surface of a substrate includes: a processing chamber in which an empty processing space for accommodating and processing the substrate is planned; A supply system for plasma etching gas to the processing chamber, an exhaust system for exhausting the processing chamber, and a pair of opposed electrodes disposed in the processing chamber and facing each other, and s In the processing chamber, the supporting member that supports the substrate so that the main surface of the substrate is exposed in the processing space, and (please read the precautions on the back before filling This page) installed · -, 1T.

、發明説明(4 A7 B7 經濟部中央揲準局員工消費合作社印装 以令其產生供上述蝕刻氣體電漿化時所用的電場、、 式來供應電壓到上述對向電極間之電源、及 、方 具有用以包圍上述基板的主表面之表面之 上述聚焦環的上述表面係露出在上述處理空間且{、及 分別位於内側及外側的策丄泰^21亂風表面所構成係由 上述用表面係由:當與上述蝕刻氣體接觸= £產生實質上可吸附於上述蝕刻對象物之類的反應’ 的導電性材料所形成、及 "物 上述笨面係由:以上述飯刻對象物的、 份,且含有當與上述蝕刻氣體接觸時2產生實質上可。 於上述蝕刻對象物之類的反應生成物的材料所形成、及 上述電漿的產生過程中,由上述第2包圍用表面所產生 的上述反應生成物會擴散到上述基板的主表面上,而補正 上述主表面上的上述反應生成物的量分佈。 【圖面之簡單説明】 . 第1圖係本發明的電漿蝕刻裝置的第1實施例的概略 斷面圖。 第2圖係顯示第1圖的裝置中的晶圓與聚焦環的_ # 之平面圖。 “ 第3圖係組裝有第1圖所示的裝置之蝕刻***之概略 斷面圖。 第4圖係第1圖所示的裝置之電納及聚焦環之部份擴 大斷面圖。 ' 第5圖係顯示使用各種聚焦環樣品進行蚀刻處埋之實 $張尺度逋用中國國家標準(CNS)A4C4M21〇x297&^) f請先閲磺背面之注意事項再填寫本頁) 裝- -訂- ir -7 A7 B7 經濟部中央樣準局員工消费合作社印策 五、發明説明(r ) 驗結果。 第6圖係顯示聚焦環的變更例的部份擴大斷面圖。 第7圖係顯示聚焦環的其他變更例的部份擴大斷面圖 Ο 第8圖係本發明的電漿蝕刻裝置的第2實施例的概略 斷面圖。 •第9圓A〜C係顯示以往的方法以及第2實施例的方 法中的蝕刻形狀。 第1 0圖係類示以往的方法以及第2實施例的方法中 的蝕刻率的面内均一性。 第1 1圖係顯示第2實施例的方法中的蚀刻率與蚀刻 選擇比之圖表。 . 第12圖A、B係顯示下切(under cut) 量及C D 1 o s s的測定方法。 第1 3圖係顯示第2實施例的方法中的氮氣流量變化 與底割量和C D 1 〇 s s的關係之圖表。 第1 4圖係顯示第2實施例的方法中的氮氣流量變化 與蝕刻率的面内均一性的關係之圖表。 【較佳實施例之詳細説明】 第1圖係本發明的第1實施例之電漿蝕刻裝置的概略 斷面圖。 這個電漿蚀刻裝置1 0係具有:用來規劃出供以收容 被處理基板(晶圓s)且加以處理的處理空間之具有導電 性之氣密的眞空處理室(Proces s chambe (請先閲讀背面之注意事項再填寫本頁) 裝· 訂Description of the invention (4 A7 B7 Printed by the Consumer Cooperative of the Central Bureau of Economic Affairs of the Ministry of Economic Affairs to produce the electric field used for the plasma etching of the above etching gas, to supply voltage to the power source between the opposite electrodes, and, The surface of the focus ring that surrounds the surface of the main surface of the substrate is exposed in the processing space and {, and the inner surface and the outer surface of the substrate are composed of the turbulent wind surface. Caused by: When in contact with the above etching gas = £ produces a substantially conductive material that can be adsorbed to the above-mentioned etching object, and the conductive material, and the above-mentioned stupid surface is caused by: , And contains, when contacted with the above etching gas, 2 can be generated substantially. In the formation of the material of the reaction product such as the above etching object, and the generation of the above plasma, the second surrounding surface The generated reaction product diffuses to the main surface of the substrate, and corrects the amount distribution of the reaction product on the main surface. Ming]. FIG. 1 is a schematic cross-sectional view of the first embodiment of the plasma etching apparatus of the present invention. FIG. 2 is a plan view showing #_ of the wafer and the focus ring in the apparatus of FIG. 1. Figure 3 is a schematic cross-sectional view of the etching system assembled with the device shown in Figure 1. Figure 4 is an enlarged cross-sectional view of the susceptance and focus ring of the device shown in Figure 1. 'Figure 5 It shows the actual use of various focus ring samples for the burial of the etched area. 張 标 怋 Using the Chinese National Standard (CNS) A4C4M21〇x297 & ^) f Please read the precautions on the back of the sulfonate before filling out this page) Pack--Order-ir -7 A7 B7 Ministry of Economic Affairs Central Sample Bureau Employee Consumer Cooperative Printing Policy 5. Invention Description (r) Examination Results. Figure 6 is a partially enlarged cross-sectional view showing a modification of the focus ring. Figure 7 shows the focus ring Partially enlarged cross-sectional view of other modified examples. FIG. 8 is a schematic cross-sectional view of the second embodiment of the plasma etching apparatus of the present invention. • The 9th circle A ~ C shows the conventional method and the second embodiment The etching shape in the example method. Figure 10 shows the conventional method and the method in the second embodiment. The in-plane uniformity of the etch rate. Figure 11 is a graph showing the etch rate and the etching selection ratio in the method of the second embodiment. Figure 12 A and B are the amount of under cut and CD 1 oss Fig. 13 is a graph showing the relationship between the change in nitrogen flow rate and the amount of undercut and CD 1 〇ss in the method of the second embodiment. Fig. 14 is the nitrogen in the method of the second embodiment A graph of the relationship between the flow rate change and the in-plane uniformity of the etching rate. [Detailed description of the preferred embodiment] FIG. 1 is a schematic cross-sectional view of the plasma etching apparatus of the first embodiment of the present invention. This plasma etching Device 10 is equipped with: Proces s chambe (Proces s chambe with conductive and airtight processing chamber for planning and processing space for receiving processed substrates (wafers) (please read the notes on the back (Fill in this page again)

• A 本紙張尺度適用中國國家梯準(CNS ) A4规格(210X297公釐) 五、發明説明(<) A7 B7 經濟部中央樣準局貝工消費合作社印製 r ) 1 2。處理室1 2的壁部係由導電性材料例如··由其 表面經過防蝕鋁處理過的鋁等所形成的。在於處理室丄2 内的中央,為了配置晶圓S,乃配設有由導電性材料例如 ••其表面經過防蝕鋁處理過的鋁等所形成的?重_納i 4。 電納1 4係呈圓形的平面形狀,具有位於周邊的凸緣 部1 6以及位於其中央而往上方突出的圓柱形的平台1 8 。,平台1 8的上面平坦,於此處配設有利用庫侖力來將晶 圓S吸引保持的:盤2 2。靜電吸盤2 2的構造係利 用聚醯亞胺膜等的絕緣層從上下兩侧來夾住由電解銅箔所 成的導電層。導電層係連結於配設在處理室1 2外的直流 電源2 4,例如:將2 . 〇 k V的直流電壓加諸到導電層 。電納1 4的凸緣部1 6上係如第2圖所示般,載置著— 個實質上以互補狀態將晶圓S包圍成同心圓狀之聚焦環 1 0 2。關於聚焦環1 〇 2容後詳細。 電納14係内藏有用以設定晶圓s的溫度之熱交換源 2 6。熱交換源2 6係連接於配設在處理室1 2外的控制 器2 8,熱交換源2 6所發揮的晶圓溫度之設定乃由此一 控制器2 8所控制。至於熱交換源2 6係如後所述般,係 可以使用由:在形成於電納14内的空處内流過液態氮等 冷媒之冷卻器、以及陶瓷加熱器之類的加熱器所組合而成 者0 晶圓S的背面與靜電吸盤2 2的上面之間所形成的間 隙中,係可從配設於處理室1 2外的氣體源3 2選擇性地 供給氦氣等鈍氣。這種鈍氣也可發揮傳熱媒體的功能,當 本紙張尺度逋用中國國家標隼(CNS ) A4规格(公釐) 9 (請先閲讀背面之注意事項再填寫本頁) -n° Γ 經濟部中央樣準局員工消費合作社印製 A7 B7 五、發明説明(7 ) 在於眞空氣相下進行蚀刻過程當中,可有助於電納1 4與 晶圓S之間的傳熱。 在處理室12内,電納14的上方係配設有由:導電 性材料例如:非晶質碳、S i C、表面已經過防蝕鋁處理 的鋁等所形成的氣體供給頭3 4。氣體供給頭3 4係具有 供以暫時地儲存蝕刻用氣體等的處理氣體的空處3 6,這 是經由導入管3 8來連接到氣體源(複數個)。氣體供給 頭3 4的下部係作為擴散板4 2被形成具有多數個擴散孔 4 4,通過擴散孔4 4後的空處3 6内的處理用氣體係朝 晶圓S均等地供給。 氣體供給頭3 4的擴散板4 2與電納1 4具有平行平 板型的上下部電極的功能。身為下部電極之電納14係介 著電容器、匹配電路來連接到高頻電源4 6,身為上部電 極之擴散板4 2係被接地。於進行蝕刻時,上下部電極 4 2、1 4間的間隔係被設定成約;I 5〜2 0 m m。利用 電源4 6在於上下部電極4 2、1 4之間係加諸著例如: 13. 5 6 ΜΗ z的頻率之高頻電壓,藉此,而在於上下 部電極42、14之間形成電場。 第3圖係組裝有第1圖所示的電漿蝕刻裝置1 0之蚀 刻系統之概要之縱斷侧面圖。 蝕刻系統5 0係具有可設定減壓氣相的搬運室5 2, 此處係連結著上述的蝕刻裝置1 0的處理室1 2、以及用 來以卡匣5 4的單位來收容晶圓的卡匣室5 6。搬運室 本紙張尺度適用中國國家梂準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 裝· 訂 10 A7 B7 經濟部中央梂準局β;工消費合作杜印製 五、發明説明(》) 5 2與處理室1 2之間的連接通路係被一個閘閥5 8所開 閉。搬運路5 2與卡匣室5 6之間的連接通路係被一個閘 閥6 2所開閉。 藉由將閘閥5 8、6 2關閉,可以使得搬運室5 2、 處理至1 2以及卡匣室5 6分別形成獨立的氣密空間。搬 運室5 2、處理室1 2以及卡匣室5 6係可利用共通的排 氣裝置6 4例如:竭輪分子泵浦、乾式系浦予以分別獨立 地排氣且可以設定成減壓氣相例如:1 〇 -5 τ 〇 r I*〜 1 0 -1 T 〇 r r。搬運室5 2的排氣管路6 6係被一個 閥6 8所開閉。處理室2 2的排氣管路7 2係被一個閥 7 4所開閉。卡匣室5 6的排氣管路7 6係被一個閥7 8 所開閉。 搬運室5 2内係配設有供以搬運晶圓的搬運裝置8 2 。本實施例中,搬運裝置8 2係由:具有可昇降和旋轉自 如的基台8 4、及被安裝於基台8 4上的可伸縮運動自如 的搬運臂8 6之多關節臂型裝置所構成。搬運臂8 6的表 面所採取的靜電對策係被覆著導電性鐵弗龍等。 卡匿室5 6係具有:形成於與搬運室5 2這一侧的連 接通路相對面的侧壁上之供晶圓卡匣5 4進出的開口、以 及用以將該開口予以氣密關閉的閘閥8 8。又,卡g室 5 6内配設有供以載置卡匣5 4的轉盤9 2。這種構成方 式係適合於利用搬運機器人(未圖示)來將卡匣5 4搬入 卡匣室5 6内。卡匣5 4内係在於上下方向上隔著間隔收 谷著預疋片數例如2 5片的晶圓S也就是被處理基板。從 (請先閱讀背面之注意事項再填寫本頁) 裝. 訂• A This paper scale is applicable to China National Standards (CNS) A4 specifications (210X297 mm). 5. Description of invention (<) A7 B7 Printed by Beigong Consumer Cooperative, Central Bureau of Standards, Ministry of Economic Affairs r) 1 2. The wall portion of the processing chamber 12 is formed of a conductive material such as aluminum whose surface is treated with alumite. In the center of the processing chamber # 2, in order to dispose the wafer S, it is provided with a conductive material such as •• The surface of which has been treated with alumite-treated aluminum, etc.? Weight_ nano i 4. The susceptor 14 has a circular planar shape, and has a flange portion 16 at the periphery and a cylindrical platform 18 at the center protruding upward. The upper surface of the platform 18 is flat, and here is equipped with a disk 22 that uses the Coulomb force to attract and hold the crystal circle S. The structure of the electrostatic chuck 22 is to sandwich the conductive layer made of electrolytic copper foil from the upper and lower sides using an insulating layer such as a polyimide film. The conductive layer is connected to a DC power supply 24 disposed outside the processing chamber 12, for example, a DC voltage of 2.0 kV is applied to the conductive layer. As shown in FIG. 2, the flange portion 16 of the susceptor 14 is mounted with a focus ring 1 0 2 that concentrically surrounds the wafer S in a substantially complementary state. The focus ring 102 will be described in detail later. The susceptor 14 is a built-in heat exchange source 26 for setting the temperature of the wafer s. The heat exchange source 26 is connected to a controller 28 arranged outside the processing chamber 12. The setting of the wafer temperature exerted by the heat exchange source 26 is controlled by this controller 28. As for the heat exchange source 26, as will be described later, a combination of a cooler that flows through a refrigerant such as liquid nitrogen in a space formed in the susceptor 14 and a heater such as a ceramic heater In the gap formed between the back surface of the wafer S and the upper surface of the electrostatic chuck 22, a passive gas such as helium gas can be selectively supplied from the gas source 32 disposed outside the processing chamber 12. This kind of passive air can also play the role of heat transfer media. When this paper scale adopts the Chinese National Standard Falcon (CNS) A4 specification (mm) 9 (please read the precautions on the back before filling this page) -n ° Γ A7 B7 printed by the Employee Consumer Cooperative of the Central Bureau of Samples of the Ministry of Economic Affairs 5. Description of the invention (7) In the etching process under the air phase, it can help the heat transfer between susceptor 14 and wafer S. In the processing chamber 12, above the susceptor 14, a gas supply head 34 formed of conductive material such as amorphous carbon, SiC, aluminum whose surface has been treated with alumite, etc. is provided. The gas supply head 34 has a space 36 for temporarily storing processing gas such as etching gas, etc. This is connected to a gas source (plurality) via an introduction pipe 38. The lower part of the gas supply head 34 is formed as a diffusion plate 42 having a plurality of diffusion holes 44, and the processing gas system in the space 36 after passing through the diffusion holes 44 is equally supplied to the wafer S. The diffusion plate 42 of the gas supply head 34 and the susceptor 14 have the function of upper and lower electrodes of a parallel plate type. The susceptance 14 as the lower electrode is connected to the high-frequency power supply 46 through a capacitor and a matching circuit, and the diffusion plate 42 as the upper electrode is grounded. When etching, the interval between the upper and lower electrodes 4 2 and 1 4 is set to approximately; I 5 to 20 mm. The power source 46 is applied between the upper and lower electrodes 4 2 and 14 with a high-frequency voltage such as: 13. 5 6 MHz frequency, thereby forming an electric field between the upper and lower electrodes 42 and 14. Fig. 3 is a schematic longitudinal side view of an etching system in which the plasma etching apparatus 10 shown in Fig. 1 is assembled. The etching system 50 has a transfer chamber 52 capable of setting a reduced-pressure gas phase, here is a processing chamber 12 connected to the etching apparatus 10 described above, and is used to accommodate wafers in units of cassettes 54 Cartridge room 5 6. The paper size of the handling room is applicable to China National Standard (CNS) A4 (210X297mm) (please read the precautions on the back before filling in this page) Binding · Order 10 A7 B7 Central Bureau of Economic Affairs β; Industrial and consumer cooperation Du Yinfa 5. Description of Invention (》) The connection path between 5 2 and the processing chamber 12 is opened and closed by a gate valve 58. The connection path between the conveyance path 52 and the cassette chamber 56 is opened and closed by a gate valve 62. By closing the gate valves 58 and 62, the handling chamber 52 and the processing chamber 12 and the cassette chamber 56 can form independent airtight spaces, respectively. The transport chamber 5 2, the processing chamber 1 2 and the cassette chamber 5 6 series can use a common exhaust device 6 4 for example: exhaust wheel molecular pumps, dry system pumps are independently exhausted and can be set to a reduced pressure gas phase For example: 1 〇-5 τ 〇r I * ~ 1 0 -1 T 〇rr. The exhaust line 6 6 of the transport chamber 52 is opened and closed by a valve 68. The exhaust line 72 of the processing chamber 22 is opened and closed by a valve 74. The exhaust line 76 of the cassette chamber 56 is opened and closed by a valve 78. A transfer device 8 2 for transferring wafers is provided in the transfer chamber 52. In this embodiment, the conveying device 82 is a multi-joint arm type device having a base 84 that can be lifted and rotated freely, and a telescopically movable conveying arm 86 that is mounted on the base 84. Pose. The countermeasures against static electricity on the surface of the transport arm 86 are covered with conductive Teflon or the like. The cassette chamber 56 has an opening formed on the side wall opposite to the connection path on the side of the transport chamber 52 for the wafer cassette 54 to enter and exit, and an opening for hermetically closing the opening Gate valve 8 8. In addition, a turntable 92 for receiving the cassette 54 is disposed in the card g chamber 56. This configuration is suitable for transporting the cassette 54 into the cassette chamber 56 using a transport robot (not shown). In the cassette 54, the number of wafers S, for example, 25 wafers, which is the substrate to be processed, is collected at intervals in the vertical direction. From (please read the precautions on the back before filling out this page) to install. Order

A7 B7 經濟部中央橾準局貝工消费合作社印製 五、發明説明(吁) 卡匣5 4取出晶圓S以及將晶圓S***卡匣5 4的工作都 是利用搬運室5 2内的搬運裝置8 2每次一片地進行。 其次,概略地説明利用第3圖所示的蝕刻***對於晶 圓S上的鎢膜進行蚀刻的製程。 首先,將已經收容了 2 5片晶圓S後的卡匣5 4導入 卡匣室5 6内,關閉閘閥8 8。其次,打開卡匣室5 6的 排乳閥7 .8 利用排氣裝置6 4將卡匿室5 6減壓至例如 ilO-iTorr。 其次,打開卡匣室5 6的閘閥6 2利用搬運裝置8 2 從卡匣5 4以每次一片方式取出預定片數的晶圓s,搬運 到搬運室5 2内。其次,打開搬運室5 2的排氣閥6 8, 利用排氣裝置6 4將搬運室5 2内減壓至例如:1〇 -2 T 〇 r r 0 其次,打開處理室1 2的閘閥5 8,利用搬運裝置 8 2將一片晶圓S導入到處理室1 2内。聚焦環1 0 2則 是較之晶圓S更早被載置於預定位置。晶圓s(單片)被 載置於靜電吸盤2 2上,搬運裝置8 2退避到搬運室5 2 内之後,閘閥5 8就被關閉。然後,加諸來自電源2 4的 直流電壓,使得晶圓S被吸附保持於靜電吸盤2 2上。 其次,處理室1 2的排氣閥7 4被打開,利用排氣裝 置6 4將處理室1 2内減壓。又,同時地,將處理氣體例 如:當作蝕刻用氣體之C 1,/ S F κ氣體從導入管 本紙張尺度適用中國國家棋準(CNS ) Α4規格(210X297公釐) (请先Μ讀背面之注意事項存填寫本頁) 裝· 訂 經濟部中央梂準局貝工消*·合作社印製 A7 B7 五、發明説明(/°) 3 8經過氣體供給頭3 4的空處3 6、擴散孔4 4而供應 到處理室1 2内。藉此,可將處理室1 2内設定以及維持 於例如:10_3Torr的恩力。 其次,從電源46將13. 56MHz的高頻電力加 諸到上下部電極3 4、1 4之間。藉此,上下部電極3 4 、1 4間的處理氣體被電離子化(電槳化),電漿内的反 應離子係以被加速的狀態衝撞晶圓S上的鶏膜,而進行異 方性蝕刻。 在進行過預定時間的姓刻處理後,便停止產生電漿, 並利用鈍氣來置換處理室1 2内的氣體。然後,再以與上 述步驟相反的程序利用搬運裝置8 2從處理室1 2内取出 處理後的晶圓S,並搬入到卡匣室56内的卡E5 4。 其次,詳述電納1 4和晶圓S和聚焦環1 〇 2之間的 關係。 如第4圖所示,電納14的平台18的上面以及靜電 吸盤2 2的直徑係被設定成小於晶圓s的直徑。因此,在 於晶圓S被載置於平台1 8上的預定位置的狀態下,晶圓 S的邊緣係呈從平台1 8的上面往外周圍伸出狀態。例如 .就8英对的晶圓s (直程2 0 Omm)而言,係將平台 1 8以及其上面的直徑設定成1 9 5〜1 9 8mm。 環1 〇 2係被載置於電納1 4的凸緣1 6上,實 質上乃係以互補狀態包圍住晶圓S。聚焦環1 〇 2的開口 1 0 8的直徑(亦即内徑)d 1係被設定成稍大於平台 1 8的直徑。例如··就8英吋的晶圓s而言,係將直徑 本紙張尺度適用中國國家梂準(CNS > A4規格(2丨0X297公釐) (請先閲讀背面之注意事項再填寫本頁) 裝 -訂 五、發明説明() A7 B7 經濟部中央揉準局貝工消費合作社印製 D1設疋成1 9 6〜1 9 9mm。聚焦環10 2的外徑 13 2係配合處理所需而被設定成2 3 0〜3 0 0 mm。聚 焦裱1 0 2的其中一種功能係防止電漿的擴散,以令處理 氣體的反應性離子有效地射入到晶圓s。如第2圖所示, 當晶圓S上有平口存在的時候,最好也將聚焦環:〇 2的 上面的内侧邊緣形成與晶圓s相似的形狀。 • 1 0 2係由:内外兩個環狀的構件1 〇 4、 1 0 6所組合而成的構造。聚焦環丄〇 2的内徑D丄以及 外& D 2係为別由.環狀的内外構件1 〇 4、1 〇 6所界 定。 内外構件1 0 4、1 〇 6之間,係如第4圖所示般,因考 慮到彼此的熱膨脹,而在於半徑方向上形成大約i爪爪的 間隙G。又,内外構件丄〇 4、! 〇 6的斷面係形成匕字 形的互補形狀,且以重疊狀態接合在一起,以避免電漿從 間隙G被捲入電納1 4上。内外構件1 0 4、1 〇 6係具 有與晶圓S的主表面同一平面的上表面。這些上表面的表 面精度的平均粗細度係被設定成小於i . 。如果超 過這種粗細度的話,很容易附著塵埃且不易清除。 内侧構件1 0 4係由:以碳為主體的材料例如:和傳 統的聚焦環同樣的非晶質碳或S i C所構成。内侧構件 1 0 4之在於圓形開口 1 〇 8的上部邊緣係被形成階段 狀,因此,該农1 〇 4具有:具備與晶圓s的主表 面同一平面的上表面之慕—} 2、以及具備較之晶A7 B7 Printed by the Central Bureau of Economic Affairs of the Ministry of Economic Affairs Beigong Consumer Cooperative V. Description of Invention (Appeal) Cassette 5 4 The work of taking out wafer S and inserting wafer S into cassette 5 4 are all carried out in the transfer room 5 2 The conveying device 8 2 performs one piece at a time. Next, the process of etching the tungsten film on the wafer S using the etching system shown in FIG. 3 will be briefly described. First, the cassette 54 that has received 25 wafers S is introduced into the cassette chamber 56, and the gate valve 8 8 is closed. Next, the breast discharge valve 7.8 of the cassette chamber 5 6 is opened, and the cassette chamber 5 6 is decompressed to, for example, ilO-iTorr by the exhaust device 64. Next, the gate valve 6 2 of the cassette chamber 5 6 is opened, and a predetermined number of wafers s are taken out from the cassette 54 by the transport device 8 2 one by one, and transported into the transport chamber 5 2. Next, the exhaust valve 6 8 of the transfer chamber 52 is opened, and the inside of the transfer chamber 5 2 is decompressed by the exhaust device 64 to, for example, 1〇-2 T 〇rr 0 Next, the gate valve 5 8 of the processing chamber 12 is opened Then, one wafer S is introduced into the processing chamber 12 by the transfer device 8 2. The focus ring 102 is placed at a predetermined position earlier than the wafer S. After the wafer s (single piece) is placed on the electrostatic chuck 22, the transfer device 8 2 is retracted into the transfer chamber 5 2, and the gate valve 58 is closed. Then, a DC voltage from the power source 24 is applied so that the wafer S is attracted and held on the electrostatic chuck 22. Next, the exhaust valve 74 of the processing chamber 12 is opened, and the inside of the processing chamber 12 is decompressed by the exhaust device 64. Also, at the same time, treat the gas as, for example, C 1 / SF κ gas used as an etching gas from the introduction tube. The paper size is applicable to China National Standards (CNS) Α4 specifications (210X297 mm) (please read the back first The matters needing attention are stored and fill out this page) Binding · Order A7 B7 printed by the Central Bureau of Economics of the Ministry of Economic Affairs * · Cooperative Society V. Description of invention (/ °) 3 8 Passing the gas supply head 3 4 Empty space 3 6. Diffusion The holes 44 are supplied into the processing chamber 12. In this way, the processing chamber 12 can be set and maintained at, for example, a force of 10_3 Torr. Next, high-frequency power of 13.56 MHz is applied between the upper and lower electrodes 34, 14 from the power source 46. As a result, the processing gas between the upper and lower electrodes 3 4 and 14 is electrically ionized (electric paddle), and the reactive ion system in the plasma collides with the accelerated film on the wafer S to perform anisotropic Sexual etching. After the surname treatment for a predetermined period of time, the plasma generation is stopped, and the gas in the treatment chamber 12 is replaced with a passive gas. Then, the wafer S after processing is taken out from the processing chamber 12 by the transfer device 8 2 in the reverse procedure to the above-mentioned procedure, and then transferred to the card E54 in the cassette chamber 56. Next, the relationship between susceptor 14 and wafer S and focus ring 102 will be described in detail. As shown in FIG. 4, the diameters of the upper surface of the stage 18 of the susceptor 14 and the electrostatic chuck 22 are set to be smaller than the diameter of the wafer s. Therefore, in a state where the wafer S is placed on a predetermined position on the stage 18, the edge of the wafer S protrudes outward from the upper surface of the stage 18. For example, in the case of 8-inch wafer s (straight 20 Omm), the diameter of the platform 18 and its upper surface is set to 1 9 5 ~ 1 8 8mm. The ring 102 is placed on the flange 16 of the susceptor 14 and substantially surrounds the wafer S in a complementary state. The diameter (ie, inner diameter) d 1 of the opening 108 of the focus ring 102 is set to be slightly larger than the diameter of the platform 18. For example, in the case of 8-inch wafers, the paper size of the diameter is applicable to China National Standards (CNS & A4 specifications (2 丨 0X297mm) (please read the precautions on the back before filling this page ) Binding-binding 5. Description of the invention () A7 B7 Printed by D1 of the Ministry of Economic Affairs, Central Bureau of Industry and Commerce, Beigong Consumer Cooperative, and set it to 1 9 6 ~ 1 9 9mm. The outer diameter of the focus ring 10 2 13 2 is required for the matching process It is set to 2 3 0 ~ 3 0 0 mm. One of the functions of the focus mounting 1 0 2 is to prevent the diffusion of plasma, so that the reactive ions of the processing gas are effectively injected into the wafer s. As shown in Figure 2 As shown, when there is a flat port on the wafer S, it is best to also set the focus ring: 〇2 on the inside edge to form a shape similar to the wafer s. • 1 0 2 is composed of: two inner and outer rings The structure composed of the components 1 〇4, 106. The inner diameter D 丄 and the outer & D 2 of the focus ring 丄 2 are defined by the annular inner and outer members 1 〇4, 1 〇6 Between the inner and outer members 1 0 4 and 1 〇6, as shown in Fig. 4, due to the thermal expansion of each other, approximately i claws are formed in the radial direction The gap G. In addition, the cross-section of the inner and outer members 丄 〇4, 〇6 form a dagger-shaped complementary shape, and are joined together in an overlapping state to prevent the plasma from being drawn into the susceptor 14 from the gap G. The inner and outer members 104, 106 have upper surfaces on the same plane as the main surface of the wafer S. The average thickness of the surface accuracy of these upper surfaces is set to less than i. If this thickness is exceeded, It is easy to attach dust and is not easy to remove. The inner member 1 0 4 is made of carbon-based material such as amorphous carbon or S i C, which is the same as the traditional focus ring. The inner member 1 0 4 lies in a circle The upper edge of the shaped opening 1 〇8 is formed in a stage shape, therefore, the agricultural 1 〇4 has: the upper surface of the same surface as the main surface of the wafer s Mu} 2, and compared with the crystal

(請先閲讀背面之注意事項再填寫本頁) 裝- 訂 ·ν 經濟部中央搮準爲負工消费合作社印製 五、發明説明( =S的背面稍微低-點例如:約低1〜2 mm的高度之上 表面。度之上(Please read the precautions on the back before filling out this page) Binding-Order · ν The Central Ministry of Economic Affairs prints the description for the negative-worker consumer cooperative. 5. Invention description (= S's back is slightly lower-point for example: about 1 ~ 2 lower mm above the surface. above the degree

内侧構件! 〇 4的第!部份i工2的内徑D 直徑约大1〜2韻,例如對於8英叶的晶圓S而 ° ㈣2係被設定為2 0 1〜2 0 2 mm。另_方面 ’内側構件1 G 4的第2部份1 1 4係介人錄平台工8 的上面往外周圍伸出的晶圓s與電納i 4的凸 =。根據這個第2部份114的伸出,可以抑制在於 时圓S的周邊部之局部性的充電(c h 現象。 g e u P } 體的6係由:其主成份至少有—部份是與具 (etching t a r g e t Ί jLm 的材料所構成。例如:對於由鎮(w)、發化铸 )所成的配線用導電膜進行蝕刻時,外側構件1 〇 6最好 =盖來構成。這種作法乃是意圖:當進行㈣處埋中, 令晶圓S的周逢部與中央部處的反應生成物的單位面積的 殘留量更接近,以資提高蚀刻率、蚀刻異方 性的面内均―性。 π制特 如前所述,當進行蝕刻處理當中,因受到形成於處理 室1 2内的氣流之影響,在於晶圓S的中央部與周邊部處 的反應生成物的排除率不同。五應生成物會吸附於蝕斑身 氙亟I有作—為遭爲展的保護膜滅.蝕刻暖土蓝^ 立。因此,反應生成物的單位面積的殘留量乃成為決定蚀 刻率 '蚀刻異方性的重要因素。 本紙張尺度適用中國國家#準(CNS ) A4規格(210X297公釐) (諳先閲讀背面之注意事項再填寫本頁) 裝· 訂 15 經濟部中央標準局貝工消费合作社印製 A7 B7 五、發明説明(4) 基於這種觀點,以形成於8英吋晶圓S (直徑2 Ο Ο mm )上的W S i膜作為蝕刻對象物,並使用各種聚焦環 的樣品F 1〜F 4進行實驗。 所用的樣品F 1〜F 4全部都是内徑1 9 6 mm、外 徑2 6 Omm、寬度3 2mm,且被設定於其上表面位於 較之晶圓的背面稍微低一點的位置。樣品F 1整體係由非 晶質碳所構成,樣品F 2整體係由盖所構成的。樣品F 3 、F 4係本發明的晶圓,皆為由:隹逼踅邊|的所成的 1¾ 舞{構件以及 成。樣品 F 3 、F 4的外側構件的内徑分別設定成2 3 Ο ηι ηι、2 4 6 mm。 使用樣品F 1〜F 4,在於晶圓設定溫度6 0 °C、處 理壓力9 m T 〇 r r、R F能量2 5 0 W的條件下,以 5 5 / 1 3 S C CM的流量供應處理氣體C 1 q /S F。 i. yj ,對於形成於整個晶圓S面上的WS i膜進行蝕刻處理。 第5圖係顯示實驗結果。 如第5圖所示,使用由以往的典型材料所成的樣品 F 1時,蝕刻率在於晶圓S的周邊部趨於非常高。使用樣 品F 2時,則是相反地,雖然銀刻率在於晶圓S的周邊部 趨於很低,但是卻可以提高餘刻率的面内均一性。相對於 此,使用本發明的樣品之F 3、F 4則可以改善使用樣品 F 2時所見的問題,可以更為提高蝕刻率的面内均一性。Inside member! 〇 4th! The inner diameter D of the part 2 is about 1 ~ 2 mm larger. For example, for the 8-inch wafer S, the ° 2 system is set to 2 0 1 ~ 2 0 2 mm. On the other hand, the second part 1 1 4 of the inner member 1 G 4 is the convexity of the wafer s and the susceptor i 4 protruding from the upper surface of the human recording platform 8 to the outer periphery. According to the extension of the second part 114, it is possible to suppress the localized charge (ch phenomenon) in the peripheral part of the time circle S. The geu P} body's 6 series consists of: its main component has at least a part of it and ( Etching target Ί jLm is composed of materials. For example: when etching a conductive film for wiring made of town (w) and hair casting, the outer member 1 〇6 is preferably formed by a cover. This method is Intent: When burying at the site, the residual amount per unit area of the reaction product at the central part of the wafer S and the central part is closer to improve the in-plane uniformity of the etching rate and etching anisotropy. Π As mentioned above, during the etching process, due to the influence of the air flow formed in the processing chamber 12, the elimination rate of the reaction products at the central part and the peripheral part of the wafer S is different. The material will be adsorbed on the plaque body xenon. It is urgent to do something-to be extinguished by the protective film. The etching warm earth blue ^ stands. Therefore, the residual amount per unit area of the reaction product becomes the etch rate 'etching anisotropy Important factors. This paper scale is applicable # 准 (CNS) A4 specification (210X297mm) (know the precautions on the back and then fill in this page) Binding · Order 15 A7 B7 printed by the Beigong Consumer Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs 5. Invention description (4) Based on In this point of view, the WS i film formed on the 8-inch wafer S (diameter 2 Ο Ο mm) was used as an object to be etched, and experiments were performed using samples F 1 to F 4 of various focus rings. Sample F 1 used ~ F 4 has an inner diameter of 196 mm, an outer diameter of 26 mm, and a width of 32 mm, and is set so that its upper surface is located slightly lower than the back surface of the wafer. It is composed of crystalline carbon, and the entire sample F 2 is composed of a cover. The samples F 3 and F 4 are the wafers of the present invention, all of which are composed of: 隹 清 边 | The inner diameters of the outer members of samples F 3 and F 4 were set to 2 3 Ο ηι ηι, 2 4 6 mm. Samples F 1 to F 4 were used in that the wafer set temperature was 60 ° C and the processing pressure was 9 m T 〇 Under the conditions of rr and RF energy 2 5 0 W, process gas C 1 q / SF is supplied at a flow rate of 5 5/1 3 SC CM. i. yj, The WS i film on the surface of each wafer is etched. Figure 5 shows the experimental results. As shown in Figure 5, when using the sample F 1 made of the typical materials in the past, the etching rate is on the wafer S The peripheral part tends to be very high. When using sample F 2, on the contrary, although the silver engraving rate tends to be very low in the peripheral part of the wafer S, the in-plane uniformity of the remaining engraving rate can be improved. By using F 3 and F 4 of the sample of the present invention, the problems seen when using sample F 2 can be improved, and the in-plane uniformity of the etching rate can be further improved.

於使用樣品F 2、F 3、F 4時可以提高蝕刻率的面 内均一性的理由被認為有下述各點。利用含函素的N F 本紙張尺度適用中國國家標準(CNS〉Α4規格(210Χ297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝· ,π 經濟部中央揉準局員工消费合作社印製 A7 〜____ B7__ 五·、發明説明(w) 、S F 6、C 1 2等處理氣體來對於W膜、WS i膜進 行蝕刻的話,會產生鎢的由化物作為反應生成物。同樣地 ,露出於聚焦環的表面之w部份也會與處理氣體發生反應 而產生鎢的由化物作為反應生成物。這些反應生成物都會 吸附在蝕刻對象物的表面,會降低蝕刻率,並且具有提g 餘刻的異方性之作用。亦即,有氣流的影響而 -1^專基_廛生成物的排除率較高的晶屢_3_妁且邊部、裁教 氣故既樣的反應1龙物,提高晶圓的恩迹 部4s—Φ.主艮韵性。 但是,樣品F 2、F 3、F 4的實驗結果,其蝕刻率 的面内均一性有所不同。為了究明其理由,更進—步實驗 。結果’發現在於第1圖及第4圖所示的蚀刻裝置中,於 餘刻處理過程中,晶圓S與聚焦環1 〇 2之間存有溫度差 ’由於這種溫差而影響到蚀刻的處理。電漿發生之後,曰 圓S也是被一個由控制器2 8所控制的熱交換源2 6所冷 卻而抑制其溫度上升,相對地,聚焦環1 〇 2實質上並未 党到熱父換源2 6的冷卻作用,而是受到電漿的影響,:β 度急劇上升。 更具體言之,如第4圖所示,在於電納1 4中的熱交 換源2 6與晶圓S之間,即使在於眞空氣相時亦可確保熱 傳達經路ΗΤ Ρ 1。因此,於蝕刻處理中,形成在於靜電 吸盤2 2與晶圓S的背面之間的間隙,係被供應來自氣體 源3 2之具有熱傳達媒體的鈍氣。又,電納1 4係由複數 個組件所組成,如果這些組件之間存有間隙的時候,這此 本紙張尺度逋用中國國家棣準(CNS ) Μ規格(210X 297公釐) {請先閲讀背面之注意事項再填寫本頁} 裝· 、?τ 經濟部中央揉準局員工消费合作社印製 A7 B7五、發明説明(β) 間隙亦被供應熱傳達媒體氣體。 相對地,電納1 4中的熱交換源2 6與聚焦環1 0 2 之間的熱傳達經路ΗΤΡ 2,在於進行蝕刻處理的眞空氣 6與被載置於其上的聚焦環1 0 2之間係存有間隙,此一 間相當中,實質上係被截斷。亦即,在於電納1 4的凸緣 1隙係與被進行蝕刻處理的眞空氣相實質上相同的成為減 壓狀態。亦即,蝕刻處理中的凸緣1 6與聚焦環1 0 2之 間,熱傳達經路Η Τ Ρ 2除了點接觸部之外,其他部份係 成被截斷的狀態。 在於上述樣品F 2之整體以鎢所成的聚焦環中,蝕刻 率會在於晶圓S的周邊部降低的理由係被認為是:因為這 種聚焦環F: 2、的所引起的。亦即,被認為是:勺 壤樣是上m生^多-量功反應生成勒,這些反應生成 物將會過度地抑制與其相鄰的晶圓周邊部的蚀刻之結果。 相對地,根據樣品F 3、F 4,晶圓S與由鎢製環所 成的外侧構件之間係保有一小段距離。因此,由過熱的外 側構件所產生的反應生成物的一部份不至於抵達晶圓S的 周邊部’就被排氣出去。兩且’非晶質碳_製的为倒構件並 不會產生會吸附到银刻對象物之類的反應生成物。基於這 些理由,樣品F 3、F 4在於晶圓的周邊部和中央部處的 反應生成物的單位面積的殘留量很接近,而可以提高蚀刻 特性之面内均一性。 根據這種觀點,使用第1圖所示的蝕刻裝置,針對於 表1所示的配線用導電性金屬膜,進行以含有鹵素的蝕刻 1^1 Hi » (請先閱讀背面之注意事項再填寫本頁) 裝-The reason why the in-plane uniformity of the etching rate can be improved when samples F 2, F 3, and F 4 are used is considered to be as follows. Use of NF containing paper elements This paper standard is applicable to the Chinese National Standard (CNS> Α4 specification (210Χ297mm) (please read the precautions on the back before filling out this page). Preparation of A7 ~ ____ B7__ V. Description of the invention (w) When the W film or WS i film is etched by processing gases such as SF 6, C 1 2 and the like, tungsten compounds are generated as reaction products. Similarly, exposed The w part of the surface of the focus ring also reacts with the processing gas to produce tungsten as a reaction product. These reaction products will be adsorbed on the surface of the object to be etched, which will reduce the etching rate and have more than g The effect of the anisotropy of the engraved. That is, the effect of the air flow and the high rejection rate of the -1 ^ dedicated base_chan product _3_The side, the critique of the same reaction 1 Longwu improves the 4s-Φ. Main rhythm of the engraved part of the wafer. However, the experimental results of samples F 2, F 3, and F 4 differ in the in-plane uniformity of the etch rate. In order to investigate the reason , And more advanced experiments. The result is found in Figure 1 and In the etching apparatus shown in Fig. 4, during the remaining process, there is a temperature difference between the wafer S and the focus ring 102. This temperature difference affects the etching process. After the plasma occurs, the circle S is also cooled by a heat exchange source 26 controlled by a controller 28 to suppress its temperature rise. In contrast, the focus ring 102 does not substantially cool the heat source 26, and It is influenced by plasma: β degree rises sharply. More specifically, as shown in Figure 4, it is between the heat exchange source 26 in the susceptor 1 4 and the wafer S, even in the air phase It can also ensure the heat transfer path HTP 1. Therefore, in the etching process, the gap formed between the electrostatic chuck 2 2 and the back surface of the wafer S is supplied with a blunt heat transfer medium from the gas source 32 In addition, the susceptor 14 is composed of multiple components. If there is a gap between these components, this paper standard uses the Chinese National Standard (CNS) M specifications (210X 297 mm) { Please read the precautions on the back before filling out this page. Printed A7 B7 by the Consumer Cooperative of the Central Accreditation Bureau V. Description of invention (β) The gap is also supplied with heat transfer media gas. In contrast, the heat exchange source 2 6 in the susceptor 1 4 and the focus ring 1 0 2 The heat transfer path HTP 2 is that there is a gap between the engraved air 6 being etched and the focus ring 1 0 2 placed thereon, and this one is quite in the middle and is essentially cut off. That is, The gap of the flange 1 of the susceptor 14 is substantially the same as the phase of the etched air that has been etched. It becomes a decompressed state. That is, between the flange 16 and the focus ring 1 0 2 in the etching process, the heat Except for the point contact portion, the communication path ΗΤΡ 2 is cut off. In the focus ring made of tungsten as a whole of the above-mentioned sample F 2, the reason why the etching rate is reduced in the peripheral portion of the wafer S is considered to be because of this focus ring F: 2. That is, it is considered that the soil sample is generated by the reaction of multi-quantity-work work, and these reaction products will excessively inhibit the etching of the peripheral portion of the wafer adjacent to it. In contrast, according to samples F 3 and F 4, a small distance is maintained between the wafer S and the outer member made of a tungsten ring. Therefore, a part of the reaction product generated by the overheated outer member is exhausted without reaching the peripheral portion of the wafer S '. The "amorphous carbon" made of an inverted structure does not produce reaction products that will be adsorbed to silver engraved objects. For these reasons, the residual amount per unit area of the reaction products of the samples F 3 and F 4 in the peripheral portion and the central portion of the wafer is very close, and the in-plane uniformity of the etching characteristics can be improved. From this point of view, using the etching apparatus shown in Figure 1, the conductive metal film for wiring shown in Table 1 is etched with halogen 1 ^ 1 Hi »(please read the precautions on the back before filling in This page) Pack-

*1T 本紙張尺度逋用中國國家標準(CNS ) Α4規格(210Χ297公釐) 經濟部中央梂準局貝工消费合作社印製 A7 __ B7 五、發明説明(,Μ 氣體來處理的各種製程的實驗,以調查所期望的聚焦環的 形態。由於考慮到現實的製程,實驗中所使用的晶圓s的 主表面的設定溫度係為室溫(例如2 5 °C )〜1 5 0 °C, 在這個範圍内,晶圓S的主表面與聚焦環1 〇 2的上表面 的溫度差ΛΤ (°C )為5 0〜1 〇 (TC。 基__1 ‘對象物 蝕刻氣體 外侧構件 W、WS i NF3、s F6、c 1 2 W T i、τ i S i 'TIN C I 2、Η B r T i A 1、A 1 - S i—Cu C 1 2 > B C 1 3 A 1 在於上述的溫度條件下,可以獲得蝕刻特性良好的面 内均一性的條件為:從晶圓S的邊緣至聚焦環1 〇 2的上 表面(即露出面)的外侧構件1 〇 6的内側邊緣之間的距 離L 1 = 5〜3 Omm,而以1 5〜2 5mm為宜,且外 侧構件1 0 6的露出表面的寬度E 2係超過5 mm以上。 此外也得知,本發明的聚焦環1 〇 2當蝕刻對象物實質上 全面均等地分佈於晶圓S上的情況特別地有效。 距離L 1係根據溫度差ΛΤ (°C )的大小而改變, △ T愈大,所期望的距離l 1的値愈大。但是,距離l 1 幾乎不為晶圓的尺寸所影響。寬度E 2的上限,係以考慮 處理室1 2、電納1 4的尺寸優於蝕刻的特性。例如··就 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇χ297公釐)_丄9 (請先聞讀背面之注意事項再填寫本頁) 裝. 、π A7 B7 經濟部中央揉準局負工消費合作社印製 五、發明説明七ί) 8英叶的晶圓而言,被認為是寬度£ 2町於5 下為宜。 進而,針對於聚焦環1 0 2的上表面(即露出面)上 之聚焦環1 0 2以及外側構件丄〇 6的寬度£1…的 比値E 2/E 1進行檢討。結果得知,就8英叶的晶圓而 言,E2/El=〇. 15〜〇, 75,尤其以 …WO. 25〜。.75為佳广如上所述,藉由將聚焦環1 0 2製作成由_^丨^^ 1 0 4、1 0 6所紐成的禮擇特定你林^作 「如邊構生故鍊料,可五率、姓刳昱女,陟等舳射 j 二ϋ。此處,内側構件係由:即使與蝕刻氣 體接觸也實質上完全不會產生反應生成物的導電性材料例 如:非晶質碳、或至少由不會產生實質上會吸附到触刻對 象物上的反應生成物之導電性材料所形成。又,外側構件 則疋由.包含蚀刻對象物力主成份,且與蚀刻氣體接觸時 會產生實質上可吸附於蝕刻對象物的反應生成物的成份的 材料,最好由金屬材料所形成為宜。 第6圖係顯示聚焦環工〇 2的變形實施例。在於第^ 圖的聚焦環1 〇 2中’與第4圖的聚焦環工〇 2的内側構 件1 〇 4相對應的由非晶質碳等材料所成的環狀的基本構 件1 1 4係延伸橫貫聚焦環i 〇 2的整個寬度。與第4 的聚焦環1 0 2中的外側構件1 0 6對應的由鶴等材 成的環狀薄板構件116係被載置於基本構件114的外 周側表面上,且被接合於此處。基本構件i : 4的上表面 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝· 訂 Λ* 1T The size of this paper is printed with China National Standard (CNS) Α4 specification (210Χ297mm). The Central Bureau of Economic Affairs of the Ministry of Economic Affairs printed the A7 __ B7. 5. Description of the invention (Experiment of various processes for the treatment of M gas In order to investigate the shape of the desired focus ring. Due to the consideration of the actual process, the set temperature of the main surface of the wafer s used in the experiment is room temperature (for example, 25 ° C) ~ 1 5 0 ° C, Within this range, the temperature difference ΔT (° C) between the main surface of the wafer S and the upper surface of the focus ring 1 〇2 is 5 0 ~ 1 〇 (TC. Base __1 'object etching gas outer member W, WS i NF3, s F6, c 1 2 WT i, τ i S i 'TIN CI 2, Η B r T i A 1, A 1-S i—Cu C 1 2 > BC 1 3 A 1 at the above temperature Under the conditions, the conditions for obtaining in-plane uniformity with good etching characteristics are: the distance from the edge of the wafer S to the inner edge of the outer member 106 of the upper surface (ie, exposed surface) of the focus ring 102 L 1 = 5 ~ 3 Omm, preferably 1 5 ~ 2 5mm, and the width E 2 of the exposed surface of the outer member 106 is more than 5 mm or more It is also known that the focus ring 102 of the present invention is particularly effective when the object to be etched is substantially evenly distributed on the wafer S. The distance L 1 changes according to the size of the temperature difference ΔT (° C) , The larger the ΔT, the larger the desired distance l 1. However, the distance l 1 is hardly affected by the size of the wafer. The upper limit of the width E 2 is to consider the processing chamber 1 2, susceptance 1 4 The size is better than the characteristics of etching. For example, ··············································································· , Π A7 B7 Printed by the Ministry of Economic Affairs Central Bureau of Accreditation Consumer Cooperative Fifth, Invention Note VII) 8 Ying Ye wafers, is considered to be a width of £ 2 town under 5 is appropriate. Furthermore, for focus On the upper surface (ie exposed surface) of the ring 1 0 2, the width of the focus ring 1 0 2 and the outer member 〇6 width £ 1 ... The ratio E 2 / E 1 was reviewed. As a result, 8 In terms of wafers, E2 / El = 0.15 ~ 〇, 75, especially ... WO. 25 ~ ... 75 is Jiaguang as mentioned above, by adding The focus ring 1 0 2 is made of _ ^ 丨 ^^ 1 0 4 and 1 0 6 as a special gift for your forest ^ as "If the side structure is born, the chain material can be five-rate, surnamed Yu Yu, Zhi Etc. Here, the inner member is made of a conductive material that does not substantially generate a reaction product at all even when it comes into contact with the etching gas, such as amorphous carbon, or at least does not generate a substantially It is formed by the conductive material of the reaction product adsorbed on the object to be etched. In addition, the outer member is made of a material that contains the main component of the material to be etched, and generates a component that can substantially adsorb the reaction product of the object to be etched when it comes into contact with the etching gas, and is preferably formed of a metal material. Fig. 6 shows a modified embodiment of the focus ring 2. In the focus ring 1 〇2 in FIG. 2 ′, the ring-shaped basic member 1 1 4 made of amorphous carbon and the like corresponding to the inner member 1 〇4 of the focus ring 2 in FIG. 4 Extends across the entire width of the focus ring i 〇2. An annular thin plate member 116 made of a crane or the like corresponding to the outer member 106 of the fourth focus ring 102 is placed on the outer peripheral surface of the base member 114, and is joined thereto. Basic component i: The upper surface of 4 This paper scale is applicable to the Chinese National Standard (CNS) A4 specification (210X297mm) (please read the precautions on the back before filling in this page) Binding · Order Λ

經濟部中央標準局身工消费合作社印$L 係被設定成與晶圓S的主表面同一平面。基本構件1工4 的内側上部邊緣係形成階梯狀而變低,其形成一體的延長 部1 1 5係伸入到晶圓s下方,以防止晶圓s的周邊部的 充電現象。 第7圖係顯示聚焦環i 〇 2的另一種變形實施例。在 於弟7圖聚焦環1 〇2f·,與第4圖的聚焦環! 〇2的外 倒構件1 0 6相對應的由鎢等材料所成的環狀基本構件 1 2 6係延伸橫貫聚焦環〗0 2的整個寬度。與第4圖的 聚焦環1 0 2的内侧構件χ 〇 4相對應的由非晶質碳等材 料所成的環狀薄板構件丄2 4係被載置於基本構件i 2 6 的内周侧表面上,且被接合於此處。薄板構件i 2 4的上 表面係被設定成與晶圓S的主表面同一平面。基本構件 1 2 6的内端部1 2 7係伸入到晶圓S下方,以防止晶圓 S的周邊部的充電現象。 此外,第4圖、第6圖以及第7圖中,雖然係將聚焦 環的外側構件形成環狀,但是外側構件係由複數個扇形組 件所形成,也可以將這些扇形組件在於圓周方向上間歇地 配設。 第8圖係顯示本發明的第2實施例的電漿姓刻裝置的 斷面圖。上述的第1實施例的製程的溫度範圍係在於從室 溫例如2 5 °C〜1 5 0。(:;而第2實施例的製程的溫度範 圍則為從一1 0 0 °C〜6 0 °C。 第8圖所示的電槳蝕刻裝置2 0 1係具有由:鋁等材 料所成的内側框2 0 2以及外侧框2 0 3所構成的處理室 {請先閲讀背面之注意事項再填寫本頁) 裝· 訂 本紙張尺度逋用中國國家標準(CNS ) A4规格(210X297公釐) 21 經濟部中央樣準局貝工消费合作社印装 A7 -:~^--iZ__ 五、發明説明(β ) 2 0 4。内侧框2 0 2係由:圓筒壁部2 G 2 a、被設於 從圓筒壁部2 0 2 a的下端起往上方空出若干間隔的底部 2 0 2 b、以及被没於圓筒壁部2 〇 2 a下端外周的往外 折的緣部2 0 2 c所構成。另一方面,外側框2 〇 3係由 :圓筒壁部2 0 3 a以及頂部2 〇 3 b所構成,係被載置 於往外折的緣部2 0 2 c的上面以資將内側框2 〇 2覆蓋 成氣密狀態。 外側框4的圓筒壁部2 〇 3 a的上方係連接著一個可 從處理氣體源(亦即S F 6氣體源2 〇 5 a以及N 2氣 體源2 0 5 b )將S r 6和n 2的混合氣體經由主控制 器2 0 5 c導入到處理室2 〇 4内的氣體供給系2 〇 5。 在於圓筒壁部2 0 3 c的另一側下方係設有氣體排氣系 2 0 6,可利用未圖示的眞空泵浦將處理室2 〇 4抽眞 空。 外側框2 0 3的頂部2 〇 3 b的上方係設有用以在於 被處理基板即半導體晶圓S的表面形成水平磁場的磁場產 生裝置’例如將永久磁鐵7設置成可轉動自如。可利用域 鐵的水平磁場以及形成與水平磁場直交的電場而產生磁控 管放電。 如第8圖所示般,在於處理室2 〇 4内係配置有用以 載置晶圓S的電納總成2 0 8。電納總成2 〇 8係隔著複 數個絕緣構件2 0 9而被載置於内侧框2 〇 2的底部 2 0 2 b上。又,在於電納總成2 0 8的側面與内側框 本紙張尺度逋用中國國家標準(CNS ) Α4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝·The $ L printed by the Sangong Consumer Cooperative of the Central Bureau of Standards of the Ministry of Economic Affairs is set to be on the same plane as the main surface of the wafer S. The inner upper edge of the basic structure 1 4 is stepped and lowered, and its integral extension 1 15 extends below the wafer s to prevent the charging phenomenon of the peripheral portion of the wafer s. Fig. 7 shows another modified embodiment of the focus ring i 〇 2. In Yudi 7's focus ring 1 〇2f ·, and the focus ring of Figure 4! 〇2 outer inverted member 1 0 6 corresponding to the ring-shaped basic member made of tungsten and other materials 1 2 6 series extends across the entire width of the focus ring 0 02. A ring-shaped thin plate member made of amorphous carbon or the like corresponding to the inner member χ 〇4 of the focus ring 102 in FIG. 4 is placed on the inner peripheral side of the base member i 2 6 On the surface, and is joined here. The upper surface of the thin plate member i 2 4 is set on the same plane as the main surface of the wafer S. The inner end 1 2 7 of the basic member 1 2 6 extends under the wafer S to prevent the charging phenomenon of the peripheral portion of the wafer S. In addition, in FIGS. 4, 6, and 7, although the outer member of the focus ring is formed into a ring shape, the outer member is formed by a plurality of fan-shaped components, and these fan-shaped components may also be intermittent in the circumferential direction地 配 equipment. Fig. 8 is a cross-sectional view showing a plasma engraving device according to a second embodiment of the present invention. The temperature range of the process of the first embodiment described above is from room temperature, for example, 25 ° C to 150 °. (: The temperature range of the process of the second embodiment is from 100 ° C to 60 ° C. The propeller etching apparatus 200 shown in FIG. 8 is made of materials such as aluminum The processing chamber formed by the inner frame 2 0 2 and the outer frame 2 0 3 (please read the precautions on the back before filling in this page) The paper size of the book is in accordance with the Chinese National Standard (CNS) A4 specification (210X297 mm ) 21 Printed and printed A7-: ~ ^-iZ__ of Beigong Consumer Cooperative of the Central Prototype Bureau of the Ministry of Economic Affairs V. Description of invention (β) 2 0 4. The inner frame 2 0 2 is composed of: a cylindrical wall portion 2 G 2 a, a bottom portion 2 0 2 b provided with a space above from the lower end of the cylindrical wall portion 2 0 2 a, and a round shape The cylindrical wall portion 2 〇2 a is formed by an outwardly folded edge portion 2 0 2 c at the lower end periphery. On the other hand, the outer frame 2 〇3 is composed of: a cylindrical wall portion 2 0 3 a and a top portion 2 〇3 b, which is placed on the outwardly folded edge portion 2 0 2 c above the inner frame 2 〇2 covered in an airtight state. S r 6 and n are connected above the cylindrical wall portion 203a of the outer frame 4 from a processing gas source (ie, SF 6 gas source 205a and N 2 gas source 205b) The mixed gas of 2 is introduced into the gas supply system 205 in the processing chamber 204 via the main controller 205c. A gas exhaust system 206 is provided below the other side of the cylindrical wall portion 203c, and the processing chamber 204 can be evacuated by a vacant pump (not shown). A magnetic field generating device for forming a horizontal magnetic field on the surface of the semiconductor wafer S to be processed, such as the permanent magnet 7, is rotatably provided above the top portion 2 0 3 b of the outer frame 203. Magnetron discharge can be generated by using the horizontal magnetic field of iron and forming an electric field perpendicular to the horizontal magnetic field. As shown in FIG. 8, a susceptance assembly 308 for mounting the wafer S is arranged in the processing chamber 204. The susceptor assembly 2 0 8 is placed on the bottom 2 0 2 b of the inner frame 2 0 2 through a plurality of insulating members 2 0 9. In addition, the side and inside frames of the susceptor assembly 2008 are based on the Chinese National Standard (CNS) Α4 specification (210X297mm) (please read the precautions on the back before filling out this page).

、1T 經濟部中央棣準局員工消费合作社印製 A7 ____ B7 五、發明説明(7。) 2 0 2的圓筒壁部2 〇 2 &之間係介裝有一個例如:〇形 裱狀的絕緣構件2 1 〇。因此,電納總成2 〇 8係被保持 成與内側框2 0 2 (在外部接地)以及外侧框2 〇 3構成 絕緣狀態。 電納總成2 0 8具有3層構造。第1副電納2 〇 8 a 上係配置有靜電吸盤墊2 1 2,晶圓S係被保持於其上。 第1副電納2 0 8 a的載置面上係以包圍住靜電吸盤整 2 1 2以及晶圓S的方式,配置著一個由例如非晶質碳製 的聚焦環2 0 8 d,可將所產生的電漿有效地照射到被處 理面。靜電吸盤整2 1 2係由一斜聚酿亞胺樹脂薄膜 2 1 3及2 1 4貼.合而成的。其中係封入銅箔之類的薄導 電膜2 1 5。此導電膜2 1 5係經由導電線連接到直流電 源2 1 7,藉由從直流電源2 1 7施加電流,可利用所產 生的庫命力將晶圓S固定於電納上。 在於電納總成2 0 8的中層之第2副電納2 0 8 d係 設有用以調節晶圓S的溫度之加熱器2 2 2。加熱器 2 2 2係連接到未圖示的加熱器控制器,並配合來自用以 監視電納總成2 0 8的溫度之未圖示的溫度監视器的訊號 進行控制溫度。 第1副電納2 0 8 a係利用螺栓2 2 3之類的連結構 件以可裝脱自如之方式被固定於第2副電納2 0 8 b。藉 此,當第1副電納2 0 8 a被污染的時候,可以僅個別地 交換第1副電納2 0 8 a,而第2副電納2 0 8 b仍然被 連接於高頻電源2 2 4,因此,裝置的保養更為容易。 本紙張尺度逋用中國國家揉準(CNS ) M規格(2i〇x297公釐) (請先閲讀背面之注意事項再填寫本頁) 裝- 訂 A7 B7 如 87〇4 五、發明説明,(2/ ) 第1副電納2 0 8 a的側壁與内侧框2 〇 2的圓筒辟 部2 0 2 一内面之間,係、介裝有〇形封環之類的絕緣$ 件2 1 0,因此,被導入到處理室内的處理氣體不會到達 較之第2副電納2 0 8 b更下方,而可以防止電納:成 2 0 8的中層及下層(2 0 8 b以及2 0 8 c)受到污 染。 •在於電納總成2 0 8的下層之第3副電納2 〇 8 ^的 内部係設置有供以儲存例如:液態氮之類的冷媒2 2 5之 冷媒儲槽2 2 6。此一冷媒儲槽2 2 6係利用管路2 2 7 經過閥2 2 8連通到冷媒源2 2 9。冷媒错槽2 2 6内係 配置有未圖示的液面監視器,回應於來自該液面監視器的 訊號,開閉閥2 2 8,藉以控制冷媒儲槽2 2 6内的冷媒 2 2 5的供給量。 由第1、第2、第3副電納2 〇 8 a、2 0 8 b、 2 Ο 8 c以及聚焦環2 〇 8 d所组成的電納總成2 〇 8係 利用絕緣構件2 〇9以及2 1〇而與構成處理室2 〇 4的 内侧框2 0 2以及外側框2 〇 3保持絕緣。電納總成 2 〇 8係構成在於電氣性質上相同極性的陰極耦合,其位 於中層的第2副電納2 〇 8 b係經由匹配裝置2 3 0來連 接到高頻電源2 2 4。是以,利用電納總成2 0 8以及被 接地的外側框2 〇 3構成對向電極,藉由加諸高頻電力, 而可使得電極之間發生電漿放電。 其/入,佐以第2實施例説明實施蝕刻實驗的結果。 實驗中係以如第9圖八所示的在於厚9 〇 nm的氮化 (請先閲讀背面之注意事項再填寫本頁j 裝_ _ - - I- m JI.8 · 本紙張用巾8II轉_—( eNS } A4^· 210X297公釐) B7 B7 經濟部中央樣準局貝工消费合作社印裝 五、發明説明.(W ) 鈦膜Τ 1 N上累積一層厚3 〇 〇 n m的鎢膜WF,然後又 累積-層厚1 〇 〇 〇 nm的光触阻劑膜pR者作為飾刻的 對象物。處理氣體係使用SF 6㈣2的混合氣體,晶 圓的被處理面的溫度係低溫例如:附近,處理室内的 壓力定為數十πιΤο Γ r,高頻電源的電力定為3 〇 〇 w 程度之低電力。其蝕刻結果顯示於第j 2圖、第2 3圖以 及第1 4圖。 首先,以氮氣流量作為變數,調查鎢的蝕刻率E R以 及相對於光蝕阻劑之鎢蝕刻S尺的選擇比之變化。結果, 得知:如第1 1圖所示般,相對於5 F 6,若添加較多量 的氮氣的話,鴒的蝕刻率E R以及相對於光蝕阻劑之鎢蝕 刻SR的選擇比都變低。亦即,藉由使用:相對於SF 6 的氮氣添加量為1%〜3 〇%,尤其是〇%,或 者更佳為2 %〜1 〇 %的混合氣體當作處體氣體,係可獲 得較高的鎢的蝕刻率以及相對於光蝕阻劑之鎢蝕刻的選擇 比0 進而,調查利用第12圖A、第12圖B所示的基準 進行測定過鎢蝕刻率後的下切量^; c R、及尺寸變換差( CD損失)'及氮氣流量變化之間的關係。此處,UCR 二b/aX10 0 (%)(參考第12圖八),或者^^^ R二d/CX100 (%)(參考第12圖b)。如第 1 3圖所示,可知:藉由添加i %以上,最好是2 %以上 的氮氣到S F 6中的話,可以如第9圖C所示的順向傾 斜形狀乃至於垂直形狀的良好形狀實施鎢的蝕刻。如果以 本紙伕尺度適用中國國家梂準(CNS ) A4規格(2丨0X297公着) Γ τ 丨(裝 訂 ---*—^ V (請先閱讀背面之注意事項再填寫本頁) 、發明説明(Η) 同樣的條件,只利用s F 爽袍、 + Λ 川b Ρ 6采進仃蝕刻的時候,則會發 生如弟9圖B所示的下切形狀。 人根據下列數式測定鶏蚀刻後的蚀刻率之晶圓面 均性’並調查與氮氣流量變化之間的關係。此處, UN!代表钱刻率之面内均—性(±%);MxER代表 最大蝕刻率;M i ER代表最小蝕刻率。 UNI^ (MxER-Mi ER) κ (MxER + M i E R ) X 1 〇 〇 結果,可知根據第2實施例,藉由添加j %以上,最好 疋2%以上的氮氣到SF 6中的話,可以提高面内均— 性,且可獲得如第i 〇圖所示的良好的蝕刻率的晶圓 分佈。 内 (請先閱讀背面之注意事項再填寫本頁) 裝 訂 Μ 經濟部中央揉準局貝工消费合作社印製 本紙張尺度逍用中國國家標準(CNS ) A4规格(210X297公釐)-26、 1T Printed by the Consumer Cooperative of the Central Bureau of Economics and Trade of the Ministry of Economic Affairs A7 ____ B7 5. Description of the invention (7.) 2 0 2 The cylindrical wall part 2 〇2 & intermediary is equipped with an example: 〇-shaped mounting The insulating member 2 1 〇. Therefore, the susceptor assembly 2 0 8 system is maintained in an insulated state from the inner frame 2 0 2 (grounded externally) and the outer frame 2 3. The susceptor assembly 208 has a 3-layer structure. An electrostatic chuck pad 2 1 2 is arranged on the first secondary susceptor 2 0 8 a, and the wafer S system is held thereon. On the mounting surface of the first secondary susceptor 2 0 8 a, a focusing ring 2 0 8 d made of, for example, amorphous carbon is arranged so as to surround the electrostatic chuck 2 1 2 and the wafer S. The generated plasma is effectively irradiated to the surface to be treated. The electrostatic chuck 2 1 2 is formed by laminating an oblique polyimide resin film 2 1 3 and 2 1 4 together. Among them is a thin conductive film 21 5 encapsulated with copper foil or the like. The conductive film 21 5 is connected to the DC power source 2 17 via a conductive wire. By applying current from the DC power source 21 7, the wafer S can be fixed to the susceptor by the generated battery life. The second secondary susceptor 2 0 8 d in the middle layer of the susceptor assembly 2 0 8 is provided with a heater 2 2 2 for adjusting the temperature of the wafer S. The heater 2 2 2 is connected to a heater controller (not shown), and cooperates with a signal from a temperature monitor (not shown) to monitor the temperature of the susceptor assembly 208 to control the temperature. The first secondary susceptor 2 0 8 a is fixed to the second secondary susceptor 2 0 8 b by means of connecting structures such as bolts 2 2 3 in a detachable manner. In this way, when the first secondary susceptor 2 0 8 a is contaminated, only the first secondary susceptor 2 0 8 a can be exchanged individually, while the second secondary susceptor 2 0 8 b is still connected to the high-frequency power supply 2 2 4 Therefore, the maintenance of the device is easier. This paper uses the Chinese National Standard (CNS) M specifications (2i〇x297mm) (Please read the precautions on the back before filling out this page) Binding-Order A7 B7 such as 87〇4 V. Invention description, (2 /) Between the side wall of the first secondary susceptor 2 0 8 a and the inner surface of the cylindrical part 2 0 2 of the inner frame 2 0 2, an insulation such as an O-ring is installed and interposed $ 2 2 0 Therefore, the processing gas introduced into the processing chamber will not reach below the second secondary susceptance 2 0 8 b, but can prevent susceptibility: into the middle and lower layers of 2 0 8 (2 0 8 b and 2 0 8 c) Contaminated. • A refrigerant storage tank 2 2 6 for storing a refrigerant 2 2 5 such as liquid nitrogen is provided inside the third sub-electric susceptor 2 0 8 ^ in the lower layer of the susceptor assembly 208. This refrigerant storage tank 2 2 6 is connected to the refrigerant source 2 2 9 through the valve 2 2 8 through the pipeline 2 2 7. A liquid level monitor (not shown) is arranged in the refrigerant miscellaneous tank 2 2 6. In response to the signal from the liquid level monitor, the valve 2 2 8 is opened and closed to control the refrigerant 2 2 5 in the refrigerant storage tank 2 2 6 Of supply. The susceptor assembly 2 〇8 consisting of the first, second, and third secondary susceptors 2 〇8 a, 2 0 8 b, 2 Ο 8 c, and focus ring 2 〇8 d uses an insulating member 2 〇9 And 2 10 are insulated from the inner frame 202 and the outer frame 230 that constitute the processing chamber 204. The susceptor assembly 2 0 8 system is constituted by cathode coupling of the same polarity in electrical properties, and the second sub susceptor 2 0 8 b located in the middle layer is connected to the high-frequency power supply 2 2 4 via the matching device 2 3 0. Therefore, the counter electrode is formed by the susceptor assembly 208 and the grounded outer frame 203, and by applying high-frequency power, plasma discharge can occur between the electrodes. In addition, the second example will be used to explain the results of the etching experiment. In the experiment, as shown in Figure 8 of Figure 9, the thickness of the nitride is 9 〇nm (please read the precautions on the back and then fill in this page j 装 _ _--I-m JI.8 · this paper towel 8II Transfer _— (eNS} A4 ^ · 210X297 mm) B7 B7 Printed by the Central Sample Bureau of the Ministry of Economic Affairs, Beigong Consumer Cooperative Fifth, the description of the invention. (W) A layer of tungsten with a thickness of 3,000 nm is accumulated on the titanium film Τ 1 N Film WF, and then accumulate-a layer with a thickness of 1000nm photoresist film pR as the object of decoration. The processing gas system uses a mixed gas of SF 6 (2), and the temperature of the processed surface of the wafer is low temperature, for example : Nearby, the pressure in the processing chamber is set to tens of πιΤο Γ r, and the power of the high-frequency power supply is set to a low power of about 3 〇0w. The etching results are shown in Figures j2, 23, and 14 First, using the flow rate of nitrogen as a variable, investigate the change of the etching rate ER of tungsten and the selection ratio of tungsten etching scale with respect to the photoresist. As a result, it is learned that, as shown in FIG. F 6. If more nitrogen is added, the etching rate of ER and the selection of tungsten etching SR relative to photoresist The selectivity ratio becomes lower. That is, by using: the amount of nitrogen added relative to SF 6 is 1% ~ 30%, especially 0%, or more preferably 2% ~ 10% of the mixed gas as a place The body gas can obtain a higher etching rate of tungsten and a selectivity ratio of tungsten etching relative to the photoresist of 0. Furthermore, the investigation was carried out using the criteria shown in FIGS. 12A and 12B to measure the tungsten etching rate After the amount of undercut ^; c R, and the relationship between the size conversion difference (CD loss) and the nitrogen flow rate change. Here, UCR II b / aX10 0 (%) (refer to Figure 12, Figure 8), or ^^ ^ R2d / CX100 (%) (refer to Figure 12 b). As shown in Figure 13, it can be seen that by adding more than i%, preferably 2% or more nitrogen to SF 6, it can be as Etching of tungsten is performed on the oblique and even vertical shapes shown in Figure 9C. If the paper size is applied to the Chinese National Standard (CNS) A4 specification (2 丨 0X297 public) Γ τ 丨 (Binding --- * — ^ V (please read the precautions on the back before filling in this page), invention description (Η) The same conditions, only use s F Shuang robe, + Λ 川 b Ρ 6 mining During the etching, the undercut shape as shown in Fig. B of Fig. 9 occurs. The person measured the wafer surface uniformity of the etch rate after etched according to the following formula and investigated the relationship with the change in nitrogen flow rate. Where, UN! Represents the in-plane homogeneity of the money engraving rate (±%); MxER represents the maximum etching rate; M i ER represents the minimum etching rate. UNI ^ (MxER-Mi ER) κ (MxER + M i ER) X 1 〇〇 As a result, according to the second embodiment, by adding j% or more, preferably 2% or more nitrogen to SF 6, can improve the in-plane uniformity, and can be obtained as shown in Figure i 〇 Shows the wafer distribution with good etch rate. Inside (please read the precautions on the back before filling in this page) Binding Μ Printed by Beigong Consumer Cooperative of the Central Bureau of Economics and Trade of the Ministry of Economic Affairs The paper size is free of Chinese National Standard (CNS) A4 (210X297mm) -26

Claims (1)

298704 叫靈 六、申請專利範圍 第84102573號專利申請案 中文申請專利範圍修正本 民國85年11月修正 1 . 一種使用槳來對於某板的主表面上的 + 進行蝕刻處理的係具備: 規劃出供以收..容ϋΙ板且進Jfi1處埋的真空處理空間 之處理!、及 用以導入已經_重擴化的蝕刻氣腾到上述處理室內之进 給系、及 用以對於上述處理室內進行棑氣之排、及 配設於上述處理室內之互相對向的二里對、及 配設於上述處理室內,以使上述基板的主表面露出在 上述處理空間內之方式來支撐上述基板之支撐構件、及 以令其產生供上述蝕刻氣體電漿化時所用的-€摄之方 式來供應電壓到上述對向電極間之源、及 經濟部中央標準局貝工消費合作社印製 (請先閱讀背面之注意事項再填寫本頁) 具有用以包圍上述基板的主表面之表面之暖、及 上述聚焦環的上述表面係露出在上述處理空間且係由 分別位於的.第盞i包显甩產面所構成、及 上述第'1包圍用氣'面係由導重&龙省』丘龙_成、及 上述第由立有L_h.l蝕屬凰象肩主虚及 H並AJB1歷成· 2.如申請專利範圍第1項之裝置,其中上述第1包 圍用表面係由:以碳爲主的材料所形成者》_ 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 8 8 8 8 ABCD 經濟部中央標準局員工消費合作社印製 々、申請專利範圍 3. 如申請專利範圍第1項之裝置,其中具備: 上述支撐構件的輪廓係被設定成小於上述基板,而被 支撐於上述支撐構件上的上述基板的周邊部係從上述支撐 構件突伸出來;以及 上述H環係具有從上述支撐構件突伸出來且延伸到 上述基板的同邊部JL方之部份。 4. 如申請專利範圍第1項之裝置,其中上述第1包 圍用表面係與上述基板的上述主表面J1L=.牵面。 5. 如申請專利範圍第1項之裝置,其中具備: 被內藏於上述支撐構件內之供以和上述基板進行熱交 換之;及 供以藉由控制上述熱交換源的溫度以設定上述基板的 上述主表面的齓.度之控 J!L^ ;及 爲了維持真空氣相中的從上述熱交換源至上述基板的 上述主表面之間的_^_&達_經.篇,而用以將屋^^藍麗_膣供 給到形成在上述支撐構件與上述基板之間的間隙之供給構 件;及 於上述電漿生成過程中,上述基板的上述主表面的溫 度係被設定成較之上述聚焦環的上述第包ϋ表里更 j ;及 於上述電漿生成過程中,以可使得玉上述第包圍用 良面連上述基板的上主表面上的上述反應生成物的擴散 量被..抑i的方式,來設定上述第J+包盟與上述基板的 上述皇表面之斑距離_ 本紙張尺度適用中國國家標率(CNS ) A4规格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂- -2 - 經濟部中央標準局員工消費合作社印製 A8 B8 C8 D8 六、申請專利範圍 6. 如申請專利範圍第Ji項之裝置,其中上述基板的 上述主表面與上述聚焦環的4:述第2包圍用表面之間的距 離被設定成5mm〜3 Omm » 7. 如申請專利範圍第6項之裝置,其中上述基板的 上述主表面與上述聚焦環之上述第_2包圍1表1之間的上 述距離*在於上述基板的上述_主表面的整個周圍,實質上 都保持一定。 8. 如申請專利範圍第6項之裝置,其中上述鮏刻對 象物係由形成於上述某板上的金..屬J嘆所成,上述唾i氣體 係由含獻素氣JI所成者。 9. 如申請專利範圍第1項之裝置,其中上述蝕刻對 差肩係由:W、i之中選擇的一種所成,上述第? „包 圍_用„轰係由I所形成者。 1 0.如申請專利範圍第1項之裝置,其中上述刻 對象物係由:Ti 、TiSi 、TiN之中選擇的一種所 成,上述策..H里用表面係由ϋ丄所形成者。 11.如申請專利範圍第1項之裝置,其中上述刻 置皇胸係由:4. .1、A 1 - S i - C u之中選擇的一種所 成,上述第2包圔用表面係由_^ _1 _所形成者。 本紙张尺度逋用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 訂 -3 -298704 named Ling Liu. Patent application No. 84102573 Patent application Chinese application Patent scope amendment Amended in November 1985. 1. A system that uses paddles to etch + on the main surface of a board: Plan out For receiving .. to accommodate the ϋΙ board and enter the vacuum treatment space buried at Jfi1! , And the feed system for introducing the etched gas that has been re-expanded into the above-mentioned processing chamber, and the exhaust gas discharge for the above-mentioned processing chamber, and the mutually opposed two miles arranged in the above-mentioned processing chamber A pair, and a supporting member arranged in the processing chamber to support the substrate so that the main surface of the substrate is exposed in the processing space, and to generate it for use in plasma etching of the etching gas-€ The way to supply voltage to the source between the above counter electrodes, and printed by the Beigong Consumer Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs (please read the precautions on the back before filling out this page). It has a main surface to surround the above substrate The warmth of the surface, and the surface of the focus ring are exposed in the processing space and are located separately. The first i-pack display surface is formed, and the first '1 surrounding gas' surface is composed of the guide weight &; Dragon Province "Qiulong _cheng, and the above mentioned by the standing L_h.l eclipse phoenix shoulder main virtual and H and AJB1 success. 2. If the device of the patent application of the first item, wherein the above 1 is surrounded by The surface is made of carbon The main material is formed by "_ This paper scale is applicable to the Chinese National Standard (CNS) A4 specification (210X297 mm) 8 8 8 8 ABCD Printed by the Employee Consumer Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs, patent application scope 3. If applying for a patent The device according to item 1 of the scope, comprising: the outline of the support member is set smaller than the substrate, and the peripheral portion of the substrate supported on the support member protrudes from the support member; and the H ring It has a portion that protrudes from the support member and extends to the side of the same side of the substrate. 4. The device as claimed in item 1 of the patent scope, wherein the first surrounding surface is in contact with the main surface J1L =. 5. The device as claimed in item 1 of the patent scope, which includes: built in the support member for heat exchange with the substrate; and for setting the substrate by controlling the temperature of the heat exchange source Of the main surface of the above. Degree of control J! L ^; and in order to maintain the vacuum gas phase from the heat exchange source to the main surface of the substrate between the main surface _ ^ _ & up_jing. In order to supply the housing member ^^ 蓝 丽 _ 膣 to the supply member formed in the gap between the support member and the substrate; and during the plasma generation process, the temperature of the main surface of the substrate is set to The first package of the focus ring ϋ table back and more j; and in the plasma generation process, so that the jade is surrounded by the first good surface with the surface of the substrate on the upper main surface of the diffusion amount of the reaction product is. .Yi way to set the spot distance between the above J + package and the above surface of the above substrate _ This paper scale is applicable to China National Standard (CNS) A4 specification (210X297mm) (Please read the notes on the back first (Fill in this page again) Order--2-A8 B8 C8 D8 printed by the Staff Consumer Cooperative of the Central Bureau of Standards of the Ministry of Economic Affairs 6. Scope of patent application 6. For example, the device of patent application Ji, in which the above-mentioned main surface of the substrate and the above-mentioned focus ring 4: The distance between the second enveloping surface is set to 5mm ~ 3 Omm »7. The device as claimed in item 6 of the patent application, wherein the main surface of the substrate and the second envelop of the focus ring The distance * between them lies in the entire circumference of the main surface of the substrate, which is substantially constant. 8. The device as claimed in item 6 of the patent application, wherein the object to be engraved is made of gold formed on a certain plate above. It belongs to J Tan, and the above-mentioned saliva system is made of a gas containing JI . 9. The device as claimed in item 1 of the patent scope, in which the above-mentioned etched shoulder is made of one selected from: W and i. "Enclosure_Use" is formed by I. 1 0. The device as claimed in item 1 of the patent application, wherein the above-mentioned engraved object is made of one selected from Ti, TiSi, and TiN, and the above-mentioned surface for H.H. is made of ϋ 丄. 11. The device as claimed in item 1 of the patent scope, wherein the above-mentioned engraved royal breast is made of: 4.1.1, A 1-S i-C u, and the surface of the second package is used Formed by _ ^ _1 _. The size of this paper adopts the Chinese National Standard (CNS) A4 specification (210X297mm) (please read the precautions on the back before filling in this page) Order -3-
TW084102573A 1993-12-29 1995-03-17 TW298704B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP34983293 1993-12-29
JP03364594A JP3257741B2 (en) 1994-03-03 1994-03-03 Plasma etching apparatus and method

Publications (1)

Publication Number Publication Date
TW298704B true TW298704B (en) 1997-02-21

Family

ID=51565514

Family Applications (1)

Application Number Title Priority Date Filing Date
TW084102573A TW298704B (en) 1993-12-29 1995-03-17

Country Status (1)

Country Link
TW (1) TW298704B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105977126A (en) * 2011-05-31 2016-09-28 应用材料公司 Aperture member for an plasma etch chamber

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105977126A (en) * 2011-05-31 2016-09-28 应用材料公司 Aperture member for an plasma etch chamber

Similar Documents

Publication Publication Date Title
US5382311A (en) Stage having electrostatic chuck and plasma processing apparatus using same
TW475912B (en) Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
KR100745942B1 (en) Inductive coupling plasma processing apparatus
KR0151769B1 (en) Plasma etching apparatus
TW564495B (en) Plasma treatment apparatus
US5683537A (en) Plasma processing apparatus
TW465000B (en) Semiconductor workpiece processing apparatus and method
TW578449B (en) Plasma generating apparatus having an electrostatic shield
TW494490B (en) Integrator and plasma processing apparatus
US20060081337A1 (en) Capacitive coupling plasma processing apparatus
JP4610191B2 (en) Procedure and apparatus for generating plasma
TW201201245A (en) Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
TW201012311A (en) Plasma generating apparatus and plasma processing apparatus
TW200926331A (en) Plasma processing apparatus of batch type
TW201207935A (en) Movable ground ring for a plasma processing chamber
JP6804392B2 (en) Plasma processing equipment and gas shower head
JP2000340513A (en) Antenna coil assembly for substrate treatment chamber
JPH04279044A (en) Sample-retention device
TW200947603A (en) Substrate mounting stand for plasma processing device, plasma processing device, and insulating coating deposition method
TW298704B (en)
TW406346B (en) Method and apparatus for cooling a workpiece using an electrostatic chuck
JP4566373B2 (en) Oxide film etching method
JP3276023B2 (en) Control method of plasma processing apparatus
JP3193815B2 (en) Plasma processing apparatus and control method therefor
JPH07106096A (en) Plasma processing device