TW202340879A - 高吸收性含金屬光阻的顯影策略 - Google Patents

高吸收性含金屬光阻的顯影策略 Download PDF

Info

Publication number
TW202340879A
TW202340879A TW111148087A TW111148087A TW202340879A TW 202340879 A TW202340879 A TW 202340879A TW 111148087 A TW111148087 A TW 111148087A TW 111148087 A TW111148087 A TW 111148087A TW 202340879 A TW202340879 A TW 202340879A
Authority
TW
Taiwan
Prior art keywords
silane
methyl
chloride
silyl
acid
Prior art date
Application number
TW111148087A
Other languages
English (en)
Inventor
吳呈昊
艾瑞克 卡爾文 漢森
蒂莫西 威廉 魏德曼
傑森 菲利浦 科伊爾
雷蒙 尼古拉斯 弗爾季什
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202340879A publication Critical patent/TW202340879A/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

本發明係關於使用酸以顯影或處理包含具有高圖案化輻射吸收截面之兩個或更多元素的輻射敏感膜。酸可用於透過負型顯影製程來形成圖案或透過進一步去除殘留阻劑成分來處理顯影圖案。

Description

高吸收性含金屬光阻的顯影策略
本發明係關於使用酸以顯影或處理包含具有高圖案化輻射吸收截面之兩個或更多元素的輻射敏感膜。該酸可用於透過負型顯影製程來形成圖案或透過進一步去除殘留阻劑成分來處理顯影圖案。
隨著半導體製造持斷發展,特徵部尺寸不斷縮小,且需新的處理方法。正進展之領域係在圖案化方面,例如使用對微影輻射敏感之光阻材料。
本文所提供的背景描述係為了概述本發明脈絡之目的。本案發明人的成果(在此先前技術段落中所述之範圍內)、以及在申請時可能未以其他方式認定為先前技術之描述態樣,並未明示或默示地被承認為相對於本發明的先前技術。
本文之諸多實施例係關於顯影或處理基板上光阻(PR)材料的方法、材料、設備及系統。尤其,PR膜包含兩個或更多高吸收性元素。雖然具有此等元素組合可增強膜的吸收效能,但在顯影期間去除或溶解此等元素可能具有挑戰性。本文描述採用酸(以蒸氣或液體形式)去除具有兩個或更多高吸收性元素之此等膜的方法及製程。此等元素之非限定示例包括錫(Sn)、銦(In)、鉍(Bi)、銻(Sb)、碲(Te)、其氧化物、其合金或其組合。
在一實例中,酸可用於透過負型顯影製程來形成圖案。當對有機金屬光阻(PR)採用負型顯影時,可處理輻射曝光區以提供緻密、含金屬氧化物 (MOx)網路。酸可用為乾式顯影製程或濕式顯影製程以去除未曝光區。使用酸可助於去除此等區域,即使其可能具有兩個或更多不同高吸收性元素。
在另一實例中,可採用酸透過進一步去除殘留阻劑成分來處理顯影圖案。使用酸可助於去除顯影後殘留之任何殘留物質,即使此等物質具有兩個或更多高吸收性元素。例如,此等殘留物質可能包括揮發性金屬物質。在此,酸可提供為乾式處理製程或濕式處理製程。
據此,在第一態樣中,本發明以方法為特徵,包括:將輻射敏感阻膜曝光至圖案化輻射源,因而提供具有曝光區及未曝光區之曝光阻膜,其中阻膜包括具有高圖案化輻射吸收截面之兩個或更多元素;透過去除未曝光區以對曝光阻膜顯影,因而透過負型顯影製程形成圖案;以及視情況地處理該圖案。在一些實施例中,該方法包括:在酸存在下進行所述顯影,或在酸存在下進行所述處理該圖案。
在一些實施例中,該方法包括在酸存在下進行所述顯影。在特定實施例中,所述顯影包括乾式顯影製程。
在一些實施例中,所述顯影包括將酸的蒸氣遞送至曝光膜。非限定酸包括無機酸、含鹵素的酸、鹵化氫、有機酸、磷含氧酸、硫含氧酸、羧酸、或鹵化矽烷(silyl halide)。在特定實施例中,無機酸選自由氯化氫(HCl)、溴化氫(HBr)、碘化氫(HI)及其組合所組成之群組。在一些實施例中,有機酸包括至少約15托耳的蒸氣壓。在其他實施例中,有機酸包括甲酸、醋酸、三氟醋酸、或其組合。
在一些實施例中,所述顯影包括將配置成提供酸之反應物的蒸氣遞送至顯影膜。配置成提供酸之非限定反應物包括鹵化硼烷,包括三氯硼烷(BCl 3)、三溴硼烷(BBr 3)、三碘硼烷(BI 3);鹵化矽烷,包括四氯矽烷(SiCl 4)、四溴矽烷(SiBr 4)、四碘矽烷(SiI 4);鹵化三烷基矽烷(trialkyl silyl halide),包括氯化三甲基矽烷(trimethylsilyl chloride)、溴化三甲基矽烷(trimethylsilyl bromide)、碘化三甲基矽烷(trimethylsilyl iodide)、氯化三乙基矽烷(triethylsilyl chloride)、溴化三乙基矽烷(triethylsilyl bromide)、碘化三乙基矽烷(triethylsilyl iodide)、氯化三丙基矽烷(tripropylsilyl chloride)、溴化三丙基矽烷 (tripropylsilyl bromide)、碘化三丙基矽烷(tripropylsilyl iodide)、氯化三異丙基矽烷(triisopropylsilyl chloride)、溴化三異丙基矽烷(triisopropylsilyl bromide)、碘化三異丙基矽烷(triisopropylsilyl iodide)、氯化三丁基矽烷 (tributylsilyl chloride)、溴化三丁基矽烷(tributylsilyl bromide)、碘化三丁基矽烷 (tributylsilyl iodide)、氯化三異丁基矽烷(triisobutylsilyl chloride)、溴化三異丁基矽烷(triisobutylsilyl bromide)、碘化三異丁基矽烷(triisobutylsilyl iodide)、氯化三仲丁基矽烷(trisecbutylsilyl chloride)、溴化三仲丁基矽烷(trisecbutylsilyl bromide)、碘化三仲丁基矽烷 (trisecbutylsilyl iodide)、氯化三叔丁基矽烷(tritertbutylsilyl chloride)、溴化三叔丁基矽烷(tritertbutylsilyl bromide)、碘化三叔丁基矽烷(tritertbutylsilyl iodide)、氯化二甲基-乙基-矽烷(dimethyl-ethyl-silyl chloride)、氯化二甲基-丙基-矽烷(dimethyl-propyl-silyl chloride)、氯化二甲基-異丙基-矽烷(dimethyl-isopropyl-silyl chloride)、氯化二甲基-丁基-矽烷(dimethyl-butyl-silyl chloride)、氯化二甲基-異丁基-矽烷(dimethyl-isobutyl-silyl chloride)、氯化-二甲基-仲丁基-矽烷(dimethyl-secbutyl-silyl chloride)、氯化二甲基-叔丁基-矽烷 (dimethyl-tertbutyl-silyl chloride)、溴化二甲基-叔丁基-矽烷 (dimethyl-tertbutyl-silyl bromide)、碘化二甲基-叔丁基-矽烷(dimethyl-tertbutyl-silyl iodide)、氯化甲基-二乙基-矽烷(methyl-diethyl-silyl chloride)、溴化甲基-二乙基-矽烷(methyl-diethyl-silyl bromide)、碘化甲基-二乙基-矽烷 (methyl-diethyl-silyl iodide)、氯化甲基-二丙基-矽烷(methyl-dipropyl-silyl chloride)、氯化甲基-二異丙基-矽烷 (methyl-diisopropyl-silyl chloride)、氯化甲基-二丁基-矽烷 (methyl-dibutyl-silyl chloride)、氯化甲基-二異丁基-矽烷(methyl-diisobutyl-silyl chloride)、氯化甲基-二仲丁基-矽烷(methyl-disecbutyl-silyl chloride)、氯化甲基-二叔丁基-矽烷(methyl-ditertbutyl-silyl chloride)、溴化甲基-二叔丁基-矽烷 (methyl-ditertbutyl-silyl bromide)、碘化甲基-二叔丁基-矽烷 (methyl-ditertbutyl-silyl iodide);二烷基二鹵矽烷(dialkyl dihalo silane),包括 : 二甲基二氯矽烷(dimethyldichloro silane)、二甲基二溴矽烷(dimethyldibromo silane)、二甲基二碘矽烷(dimethyldiiodo silane)、二乙基二氯矽烷(diethyldichloro silane)、二乙基二溴矽烷(diethyldibromo silane)、二乙基二碘矽烷(diethyldiiodo silane)、二丙基二氯矽烷(dipropyldichloro silane)、二丙基二溴矽烷(dipropyldibromo silane)、二丙基二碘矽烷(dipropyldiiodo silane)、二異丙基二氯矽烷(diisopropyldichloro silane)、二異丙基二溴矽烷(diisopropyldibromo silane)、二異丙基二碘矽烷(diisopropyldiiodo silane)、二丁基二氯矽烷(dibutyldichloro silane)、二丁基二溴矽烷(dibutyldibromo silane)、二丁基二碘矽烷 (dibutyldiiodo silane)、二異丁基二氯矽烷(diisobutyldichloro silane)、二異丁基二溴矽烷(diisobutyldibromo silane)、二異丁基二碘矽烷(diisobutyldiiodo silane)、二仲丁基二氯矽烷(disecbutyldichloro silane)、二仲丁基二溴矽烷(disecbutyldibromo silane) 、二仲丁基二碘矽烷(disecbutyldiiodo silane)、二叔丁基二氯矽烷(ditertbutyldichloro silane)、二叔丁基二溴矽烷(ditertbutyldibromo silane)、二叔丁基二碘矽烷(ditertbutyldiiodo silane)、甲基-乙基-二氯矽烷(methyl-ethyl-dichloro silane)、甲基-乙基-二溴矽烷(methyl-ethyl-dibromo silane)、甲基-乙基-二碘矽烷(methyl-ethyl-diiodo silane)、甲基-丙基-二氯矽烷(methyl-propyl-dichloro silane)、甲基-異丙基-二氯矽烷(methyl-isopropyl-dichloro silane)、甲基-丁基 -二氯矽烷(methyl-butyl-dichloro silane)、甲基-異丁基-二氯矽烷(methyl-isobutyl-dichloro silane)、甲基-仲丁基-二氯矽烷(methyl-secbutyl-dichloro silane)、甲基-叔丁基-二氯矽烷(methyl-tertbutyl-dichloro silane)、甲基-叔丁基-二溴矽烷(methyl-tertbutyl-dibromide silane)、甲基-叔丁基-二碘矽烷(methyl-tertbutyl-diiodo silane);或其組合。
在一些實施例中,所述顯影進一步包括遞送含氧劑的蒸氣。非限定含氧劑包括氧(O 2)、臭氧(O 3)、過氧化氫(H 2O 2)、或包含一或更多氧原子之其他試劑。
在一些實施例中,所述顯影包括濕式顯影製程。在特定實施例中,所述顯影包括遞送於溶劑中的酸。非限定酸包括無機酸(例如氯化氫(HCl)、溴化氫(HBr)、碘化氫(HI)、磷酸、硫酸及其組合)、含鹵素的酸、鹵化氫、有機酸(例如甲酸、醋酸、三氟醋酸、草酸、檸檬酸及其組合)、磷含氧酸、硫含氧酸、羧酸、本文所述之任一者、任何此些的組合。非限定溶劑包括水性溶劑或有機溶劑,例如水、醇、酮、醚、酯、或其組合。
在一些實施例中,所述顯影係在約-10℃至200℃之溫度下執行以去除存在於未曝光區內的揮發性產物。在進一步實施例中,該方法包括(例如在所述顯影之後):進行基於電漿的蝕刻製程。在其他實施例中,該方法包括(例如在所述顯影之後) : 進行顯影後烘烤(PEB)。
在一些實施例中,該方法包括在酸存在下進行所述處理該圖案。非限定酸包括本文所述之任一者,例如無機酸、含鹵素的酸、鹵化氫、有機酸、磷含氧酸、硫含氧酸、羧酸、或任何此些的組合。
在一些實施例中,所述顯影包括使用酸性顯影劑。視情況地,此等酸性顯影劑可用於提供圖案,可接著在酸存在下處理圖案,如本文所述。在特定實施例中,所述顯影包括使用基於蒸氣的酸性蝕刻劑。非限定基於蒸氣的酸性蝕刻劑包括氯化氫(HCl);溴化氫(HBr);三氯硼烷(BCl 3);四氯化矽(SiCl 4);氫氣(H 2)與氯氣(Cl 2)之組合;氫氣(H 2)與溴氣(Br 2)之組合;鹵化三烷基矽烷(trialkyl silyl halide),包括氯化三甲基矽烷(trimethylsilyl chloride)、溴化三甲基矽烷(trimethylsilyl bromide)、碘化三甲基矽烷(trimethylsilyl iodide)、氯化三乙基矽烷(triethylsilyl chloride)、溴化三乙基矽烷(triethylsilyl bromide)、碘化三乙基矽烷(triethylsilyl iodide)、氯化三丙基矽烷(tripropylsilyl chloride)、溴化三丙基矽烷 (tripropylsilyl bromide)、碘化三丙基矽烷(tripropylsilyl iodide)、氯化三異丙基矽烷(triisopropylsilyl chloride)、溴化三異丙基矽烷(triisopropylsilyl bromide)、碘化三異丙基矽烷(triisopropylsilyl iodide)、氯化三丁基矽烷 (tributylsilyl chloride)、溴化三丁基矽烷(tributylsilyl bromide)、碘化三丁基矽烷 (tributylsilyl iodide)、氯化三異丁基矽烷(triisobutylsilyl chloride)、溴化三異丁基矽烷(triisobutylsilyl bromide)、碘化三異丁基矽烷(triisobutylsilyl iodide)、氯化三仲丁基矽烷(trisecbutylsilyl chloride)、溴化三仲丁基矽烷(trisecbutylsilyl bromide)、碘化三仲丁基矽烷 (trisecbutylsilyl iodide)、氯化三叔丁基矽烷(tritertbutylsilyl chloride)、溴化三叔丁基矽烷(tritertbutylsilyl bromide)、碘化三叔丁基矽烷(tritertbutylsilyl iodide)、氯化二甲基-乙基-矽烷(dimethyl-ethyl-silyl chloride)、氯化二甲基-丙基-矽烷(dimethyl-propyl-silyl chloride)、氯化二甲基-異丙基-矽烷(dimethyl-isopropyl-silyl chloride)、氯化二甲基-丁基-矽烷(dimethyl-butyl-silyl chloride)、氯化二甲基-異丁基-矽烷(dimethyl-isobutyl-silyl chloride)、氯化-二甲基-仲丁基-矽烷(dimethyl-secbutyl-silyl chloride)、氯化二甲基-叔丁基-矽烷 (dimethyl-tertbutyl-silyl chloride)、溴化二甲基-叔丁基-矽烷 (dimethyl-tertbutyl-silyl bromide)、碘化二甲基-叔丁基-矽烷(dimethyl-tertbutyl-silyl iodide)、氯化甲基-二乙基-矽烷(methyl-diethyl-silyl chloride)、溴化甲基-二乙基-矽烷(methyl-diethyl-silyl bromide)、碘化甲基-二乙基-矽烷 (methyl-diethyl-silyl iodide)、氯化甲基-二丙基-矽烷(methyl-dipropyl-silyl chloride)、氯化甲基-二異丙基-矽烷 (methyl-diisopropyl-silyl chloride)、氯化甲基-二丁基-矽烷 (methyl-dibutyl-silyl chloride)、氯化甲基-二異丁基-矽烷(methyl-diisobutyl-silyl chloride)、氯化甲基-二仲丁基-矽烷(methyl-disecbutyl-silyl chloride)、氯化甲基-二叔丁基-矽烷(methyl-ditertbutyl-silyl chloride)、溴化甲基-二叔丁基-矽烷 (methyl-ditertbutyl-silyl bromide)、碘化甲基-二叔丁基-矽烷 (methyl-ditertbutyl-silyl iodide);二烷基二鹵矽烷(dialkyl dihalo silane),包括 : 二甲基二氯矽烷(dimethyldichloro silane)、二甲基二溴矽烷(dimethyldibromo silane)、二甲基二碘矽烷(dimethyldiiodo silane)、二乙基二氯矽烷(diethyldichloro silane)、二乙基二溴矽烷(diethyldibromo silane)、二乙基二碘矽烷(diethyldiiodo silane)、二丙基二氯矽烷(dipropyldichloro silane)、二丙基二溴矽烷(dipropyldibromo silane)、二丙基二碘矽烷(dipropyldiiodo silane)、二異丙基二氯矽烷(diisopropyldichloro silane)、二異丙基二溴矽烷(diisopropyldibromo silane)、二異丙基二碘矽烷(diisopropyldiiodo silane)、二丁基二氯矽烷(dibutyldichloro silane)、二丁基二溴矽烷(dibutyldibromo silane)、二丁基二碘矽烷 (dibutyldiiodo silane)、二異丁基二氯矽烷(diisobutyldichloro silane)、二異丁基二溴矽烷(diisobutyldibromo silane)、二異丁基二碘矽烷(diisobutyldiiodo silane)、二仲丁基二氯矽烷(disecbutyldichloro silane)、二仲丁基二溴矽烷(disecbutyldibromo silane) 、二仲丁基二碘矽烷(disecbutyldiiodo silane)、二叔丁基二氯矽烷(ditertbutyldichloro silane)、二叔丁基二溴矽烷(ditertbutyldibromo silane)、二叔丁基二碘矽烷(ditertbutyldiiodo silane)、甲基-乙基-二氯矽烷(methyl-ethyl-dichloro silane)、甲基-乙基-二溴矽烷(methyl-ethyl-dibromo silane)、甲基-乙基-二碘矽烷(methyl-ethyl-diiodo silane)、甲基-丙基-二氯矽烷(methyl-propyl-dichloro silane)、甲基-異丙基-二氯矽烷(methyl-isopropyl-dichloro silane)、甲基-丁基 -二氯矽烷(methyl-butyl-dichloro silane)、甲基-異丁基-二氯矽烷(methyl-isobutyl-dichloro silane)、甲基-仲丁基-二氯矽烷(methyl-secbutyl-dichloro silane)、甲基-叔丁基-二氯矽烷(methyl-tertbutyl-dichloro silane)、甲基-叔丁基-二溴矽烷(methyl-tertbutyl-dibromide silane)、甲基-叔丁基-二碘矽烷(methyl-tertbutyl-diiodo silane);或其組合。
在一些實施例中,所述處理包括去除一殘留物質,其含有具有該高圖案化輻射吸收截面之該兩個或更多元素中的至少一者。在特定實施例中,所述處理包括乾式製程。例如,所述處理可包括將酸或配置成提供酸之反應物的蒸氣遞送至曝光膜。
在其他實施例中,所述處理包括濕式製程。在特定實施例中,所述處裡包括遞送於溶劑中的酸。非限定酸包括無機酸、含鹵素的酸、鹵化氫、有機酸、磷含氧酸、硫含氧酸、羧酸、本文所述之任一者、或任何此些的組合。
在一些實施例中,該方法進一步包括(在所述處理之後):用溶劑(例如本文所述之任一溶劑)清洗該圖案。在此等清洗之後,可進行可選之乾燥操作。
在第二態樣中,本發明涵蓋一方法,包括:將輻射敏感阻膜曝光至圖案化輻射源,因而提供具有曝光區及未曝光區之曝光阻膜,其中阻膜包括具有高圖案化輻射吸收截面之兩個或更多元素;透過用酸去除未曝光區以對曝光阻膜顯影,因而透過負型乾式顯影製程形成圖案;以及用基於電漿的蝕刻製程處理該圖案。
在一些實施例中,所述顯影包括含氧劑(例如本文所述之任一者)。
在一些實施例中,該方法進一步包括(在所述顯影之後):進行顯影後烘烤(PDB)。
在一些實施例中,阻膜包括極紫外光(EUV)敏感光阻層或EUV敏感無機光阻層。在進一步實施例中,圖案化輻射源包括EUV輻射源。
在一些實施例中,該方法進一步包括(在所述曝光之前):將輻射敏感阻膜塗佈於基板之表面上;以及視情況地,在阻膜與基板之間提供輻射吸收層。在其他實施例中,該方法進一步包括(在所述曝光之前):提供輻射吸收層於基板之表面上。
在一些實施例中,該方法進一步包括:將輻射敏感阻膜塗佈於輻射吸收層之表面上。在特定實施例中,所述塗佈包括:將包含具有式( I)、( II)之結構的一或更多前驅物或本文所述之任一前驅物提供至基板之表面。在其他實施例中,所述塗佈包括:提供包含有碲(Te)之第一前驅物及包含有錫(Sn)之第二前驅物至基板之表面,其中第一與第二前驅物各自以任何順序或同時提供至基板。
在另其他實施例中,所述提供輻射吸收層包括提供第一前驅物,而所述塗佈輻射敏感阻膜包括提供第二前驅物,其中第一前驅物包括具有高EUV吸收截面之第一原子,其中第二前驅物包括具有高EUV吸收截面之第二原子,且其中第一原子與第二原子不同。在特定實施例中,第一原子包括碲(Te)、鉍(Bi)、銦(In)、或銻(Sb);而第二原子包括錫(Sn)。
在第三態樣中,本發明涵蓋處理基板的設備,該設備包括:一或更多製程腔室,每一製程腔室可選地包括一吸盤或一基座;進入製程腔室之一或更多氣體入口及相關流量控制硬體;以及一控制器,其具有至少一處理器及一記憶體。
在一些實施例中,該至少一處理器與記憶體彼此通訊連接;該至少一處理器至少可操作地與流量控制硬體連接,以及記憶體儲存電腦可執行指令,用於控制該至少一處理器以至少控制流量控制硬體且用於引起本文所述方法的任一者。
在第四態樣中,本發明以用於形成阻膜的設備為特徵,該設備包括:一沉積模組;一圖案化模組;一顯影模組;一可選處理模組;以及一控制器,其包括一或更多記憶體裝置、一或更多處理器、以及編有指令(包括機器可讀指令)的系統控制軟體。
在一些實施例中,沉積模組包括用於沉積阻膜(例如,圖案化輻射敏感膜,如EUV敏感膜)的腔室,其中腔室可配置成容置半導體基板。在其他實施例中,圖案化模組包括具有小於300 nm波長輻射源(例如,其中該源可為小於30 nm波長輻射源)的黃光微影工具。在一些實施例中,顯影模組包括用於顯影阻膜的腔室。在其他實施例中,處理模組包括用於處理阻膜(例如具有圖案之阻膜)的腔室。
在進一步實施例中,指令包括用於(例如,在沉積模組中)使前驅物在可選相對反應物存在下沉積於半導體基板頂表面上以形成阻膜的機器可讀指令。在一些實施例中,此等沉積可形成圖案化輻射敏感膜作為阻膜。
在一些實施例中,指令包括用於(例如,在圖案化模組中)直接透過圖案化輻射曝光(例如透過EUV曝光)以小於300 nm解析度(例如,或以小於30 nm解析度)使阻膜圖案化因而形成具有輻射曝光區與輻射未曝光區之曝光膜的機器可讀指令。在其他實施例中,曝光膜具有EUV曝光區與EUV未曝光區。
在其他實施例中,指令包括用於(例如,在顯影模組中)使膜顯影以去除輻射曝光區或輻射未曝光區以在阻膜內提供圖案的機器可讀指令。在特定實施例中,機器可讀指令包括用於去除EUV曝光區或EUV未曝光區的指令。在一些實施例中,此等顯影可包括將一或更多酸遞送至顯影模組。
在另其他實施例中,指令包括用於(例如,在處理模組中)使膜進行處理以從膜中去除殘留物質的機器可讀指令。在一些實施例中,此等處理可包括將一或更多酸遞送至處理模組。
在本文任一實施例中,酸包括無機酸、含鹵素的酸、鹵化氫、有機酸、磷含氧酸、硫含氧酸、或羧酸。此等酸可提供於乾式製程(例如為蒸氣)或濕式製程(例如為液體)內。
在本文任一實施例中,配置成提供酸之反應物包括鹵化硼烷,包括三氯硼烷(BCl 3)、三溴硼烷(BBr 3)、三碘硼烷(BI 3);鹵化矽烷,包括四氯矽烷(SiCl 4)、四溴矽烷(SiBr 4)、四碘矽烷(SiI 4);鹵化三烷基矽烷(trialkyl silyl halide),包括氯化三甲基矽烷(trimethylsilyl chloride)、溴化三甲基矽烷(trimethylsilyl bromide)、碘化三甲基矽烷(trimethylsilyl iodide)、氯化三乙基矽烷(triethylsilyl chloride)、溴化三乙基矽烷(triethylsilyl bromide)、碘化三乙基矽烷(triethylsilyl iodide)、氯化三丙基矽烷(tripropylsilyl chloride)、溴化三丙基矽烷 (tripropylsilyl bromide)、碘化三丙基矽烷(tripropylsilyl iodide)、氯化三異丙基矽烷(triisopropylsilyl chloride)、溴化三異丙基矽烷(triisopropylsilyl bromide)、碘化三異丙基矽烷(triisopropylsilyl iodide)、氯化三丁基矽烷 (tributylsilyl chloride)、溴化三丁基矽烷(tributylsilyl bromide)、碘化三丁基矽烷 (tributylsilyl iodide)、氯化三異丁基矽烷(triisobutylsilyl chloride)、溴化三異丁基矽烷(triisobutylsilyl bromide)、碘化三異丁基矽烷(triisobutylsilyl iodide)、氯化三仲丁基矽烷(trisecbutylsilyl chloride)、溴化三仲丁基矽烷(trisecbutylsilyl bromide)、碘化三仲丁基矽烷 (trisecbutylsilyl iodide)、氯化三叔丁基矽烷(tritertbutylsilyl chloride)、溴化三叔丁基矽烷(tritertbutylsilyl bromide)、碘化三叔丁基矽烷(tritertbutylsilyl iodide)、氯化二甲基-乙基-矽烷(dimethyl-ethyl-silyl chloride)、氯化二甲基-丙基-矽烷(dimethyl-propyl-silyl chloride)、氯化二甲基-異丙基-矽烷(dimethyl-isopropyl-silyl chloride)、氯化二甲基-丁基-矽烷(dimethyl-butyl-silyl chloride)、氯化二甲基-異丁基-矽烷(dimethyl-isobutyl-silyl chloride)、氯化-二甲基-仲丁基-矽烷(dimethyl-secbutyl-silyl chloride)、氯化二甲基-叔丁基-矽烷 (dimethyl-tertbutyl-silyl chloride)、溴化二甲基-叔丁基-矽烷 (dimethyl-tertbutyl-silyl bromide)、碘化二甲基-叔丁基-矽烷(dimethyl-tertbutyl-silyl iodide)、氯化甲基-二乙基-矽烷(methyl-diethyl-silyl chloride)、溴化甲基-二乙基-矽烷(methyl-diethyl-silyl bromide)、碘化甲基-二乙基-矽烷 (methyl-diethyl-silyl iodide)、氯化甲基-二丙基-矽烷(methyl-dipropyl-silyl chloride)、氯化甲基-二異丙基-矽烷 (methyl-diisopropyl-silyl chloride)、氯化甲基-二丁基-矽烷 (methyl-dibutyl-silyl chloride)、氯化甲基-二異丁基-矽烷(methyl-diisobutyl-silyl chloride)、氯化甲基-二仲丁基-矽烷(methyl-disecbutyl-silyl chloride)、氯化甲基-二叔丁基-矽烷(methyl-ditertbutyl-silyl chloride)、溴化甲基-二叔丁基-矽烷 (methyl-ditertbutyl-silyl bromide)、碘化甲基-二叔丁基-矽烷 (methyl-ditertbutyl-silyl iodide);二烷基二鹵矽烷(dialkyl dihalo silane),包括 : 二甲基二氯矽烷(dimethyldichloro silane)、二甲基二溴矽烷(dimethyldibromo silane)、二甲基二碘矽烷(dimethyldiiodo silane)、二乙基二氯矽烷(diethyldichloro silane)、二乙基二溴矽烷(diethyldibromo silane)、二乙基二碘矽烷(diethyldiiodo silane)、二丙基二氯矽烷(dipropyldichloro silane)、二丙基二溴矽烷(dipropyldibromo silane)、二丙基二碘矽烷(dipropyldiiodo silane)、二異丙基二氯矽烷(diisopropyldichloro silane)、二異丙基二溴矽烷(diisopropyldibromo silane)、二異丙基二碘矽烷(diisopropyldiiodo silane)、二丁基二氯矽烷(dibutyldichloro silane)、二丁基二溴矽烷(dibutyldibromo silane)、二丁基二碘矽烷 (dibutyldiiodo silane)、二異丁基二氯矽烷(diisobutyldichloro silane)、二異丁基二溴矽烷(diisobutyldibromo silane)、二異丁基二碘矽烷(diisobutyldiiodo silane)、二仲丁基二氯矽烷(disecbutyldichloro silane)、二仲丁基二溴矽烷(disecbutyldibromo silane) 、二仲丁基二碘矽烷(disecbutyldiiodo silane)、二叔丁基二氯矽烷(ditertbutyldichloro silane)、二叔丁基二溴矽烷(ditertbutyldibromo silane)、二叔丁基二碘矽烷(ditertbutyldiiodo silane)、甲基-乙基-二氯矽烷(methyl-ethyl-dichloro silane)、甲基-乙基-二溴矽烷(methyl-ethyl-dibromo silane)、甲基-乙基-二碘矽烷(methyl-ethyl-diiodo silane)、甲基-丙基-二氯矽烷(methyl-propyl-dichloro silane)、甲基-異丙基-二氯矽烷(methyl-isopropyl-dichloro silane)、甲基-丁基 -二氯矽烷(methyl-butyl-dichloro silane)、甲基-異丁基-二氯矽烷(methyl-isobutyl-dichloro silane)、甲基-仲丁基-二氯矽烷(methyl-secbutyl-dichloro silane)、甲基-叔丁基-二氯矽烷(methyl-tertbutyl-dichloro silane)、甲基-叔丁基-二溴矽烷(methyl-tertbutyl-dibromide silane)、甲基-叔丁基-二碘矽烷(methyl-tertbutyl-diiodo silane);或其組合。另其他反應物描述於本文中。
在本文任一實施例中,圖案化輻射敏感膜包括極紫外光(EUV)敏感膜、EUV敏感無機光阻層、深紫外光(DUV)敏感膜、光阻膜或可光圖案化膜。
在本文任一實施例中,阻膜包括錫(Sn)、銦(In)、鉍(Bi)、銻(Sb)、碲(Te)、其氧化物、其合金、或其組合。
在本文任一實施例中,阻膜包括化學氣相沉積(CVD)膜、旋塗膜、有機金屬氧化物膜、有機氧化金屬氫氧化物膜、氧化錫膜、或有機氧化錫膜。
在本文任一實施例中,前驅物(例如以提供阻膜)包括具有式( I)之結構: M aR b( I), 其中:M為具有高EUV吸收截面之金屬或原子;每一R獨立為H、鹵素、視情況取代之烷基、視情況取代之環烷基、視情況取代之環烯基、視情況取代之烯基、視情況取代之炔基、視情況取代之烷氧基、視情況取代之烷醯氧基、視情況取代之芳基、視情況取代之胺基、視情況取代之雙(三烷基矽烷基)胺基、視情況取代之三烷基矽烷基、側氧基(oxo)、陰離子配位基、中性配位基或多牙配位基;a≥1;且b≥1。
在本文任一實施例中,前驅物(例如以提供阻膜)包括具有式( II)之結構: M aR bL c( II), 其中:M為具有高EUV吸收截面之金屬或原子;每一R獨立為鹵素、視情況取代之烷基、視情況取代之芳基、視情況取代之胺基、視情況取代之烷氧基、或L;每一L獨立為配位基、陰離子配位基、中性配位基、多牙配位基、離子或與相對反應物具反應性之其他部分,其中R及L與M一起可視情況地形成雜環基或其中R與L一起可視情況地形成雜環基;a≥1;b≥1;且c≥1。
在本文任一實施例中,前驅物(例如以提供阻膜)包括一或更多前驅物選自由:InR 3,其中每一R獨立為鹵素、視情況取代之C 1-12烷基或二酮根;SnR 2或SnR 4,其中每一R獨立為鹵素、視情況取代之C 1-12烷氧基、視情況取代之胺基、視情況取代之芳基、環戊二烯基、或二酮根;BiR 3,其中每一R獨立為鹵素、視情況取代之C 1-12烷基、單-C 1-12烷基胺基、二-C 1-12烷基胺基、視情況取代之芳基、視情況取代之雙(三烷基矽烷基)胺基、或二酮根;SbR 3,其中每一R獨立為鹵素、視情況取代之C 1-12烷基、視情況取代之C 1-12烷氧基、或視情況取代之胺基;或TeR 2或TeR 4,其中每一R獨立為鹵素、視情況取代之C 1-12烷基、視情況取代之C 1-12烷氧基、視情況取代之芳基羥基、側氧基或視情況取代之三烷基矽烷基。
在本文任一實施例中,所述提供阻膜或所述沉積阻膜進一步包括提供相對反應物。非限定相對反應物包括氧或硫屬化物(chalcogenide)前驅物以及本文所述之任一者(例如,含氧相對反應物,包括氧(O 2)、臭氧(O 3)、水、過氧化物、過氧化氫、氧電漿、水電漿、醇、二羥基醇、多羥基醇、氟化二羥基醇、氟化多羥基醇、氟化二醇(fluorinated glycols)、甲酸及其他羥基部分之來源、以及其組合)。
本發明之其他特徵及優點將根據以下描述及請求項變得顯而易見。
在此詳細參考本發明之具體實施例。具體實施例之示例於隨附圖式中示出。儘管本發明將結合此些具體實施例加以描述,但將理解的是,其並非用於將本發明限制於此等具體實施例。相反地,其旨在於涵蓋可包含於本發明之精神及範疇內的替代、修改及均等者。於下文描述中,闡述了許多具體細節以對本發明提供透徹的瞭解。本發明可在沒有此些具體細節之一些或全部者下實行。在其他實例中,不再詳細描述眾所周知的製程操作,以免不必要地模糊本發明。
本發明係關於處理包含有兩個或更多高吸收性元素之光阻(PR)膜的方法。不同的原子可展現對輻射吸收的不同敏感性。透過增加膜內高吸收性元素的濃度,可增強膜的吸收特性。此等元素的非限定性示例包括錫(Sn)、銦(In)、鉍(Bi)、銻(Sb)、碲(Te)以及本文所述的其他元素。此等膜亦可配置成包括含有此等元素的吸收層,且本文之方法及製程可用於對具有此等吸收層的膜顯影。
可進一步改善PR膜中輻射敏感性之另一策略是建立膜組成呈垂直漸變的膜,其導致深度相依之輻射敏感性。在具有高吸收係數之均質PR中,降低整個膜深度之光強度會採用更高的輻射劑量,以確保底部充分曝光。透過相對於膜頂部在膜底部處增加具高輻射吸收率之原子的密度(即,透過產生輻射吸收增加的梯度),變得可更有效地使用可用的光子,並朝更高吸收膜之底部更均勻地分佈吸收(及二次電子之效應)。本文之方法及製程可用於對此等梯度膜顯影。圖案化結構及膜的其他示例描述於 1A-1D中。
尤其,本文之方法使用酸作為乾式製程或濕式製程之一部分來顯影或處理具有兩個或更多高吸收性元素的膜。兩個或更多不同元素的存在可改善輻射吸收,但去除包含此等元素之非所欲區域可能具有挑戰性。以具有兩個元素(例如錫(Sn)及碲(Te))之雙金屬膜為例,含Te物質可能難以去除,因為此等物質可能展現低揮發性。此外,大多數溶劑不溶解含Sn物質與含Te物質兩者。本文描述的是含酸顯影製程(例如,以去除輻射未曝光區域)及含酸處理製程(例如,以去除顯影後殘留的含金屬物質),其中此等製程可為濕式或乾式。
在一非限定實施例中,本發明涵蓋用於高吸收性含金屬光阻(PR)膜(例如,含有Sn及Te的雙金屬PR)之乾式顯影方法。在特定非限定實施例中,PR膜與極紫外光(EUV)微影結合使用。摻入膜中之此等高吸收性金屬元素(例如,Te、銻(Sb)、鉍(Bi)及類似者)的存在可進一步提高EUV吸收並可能降低微影成本。
摻入新元素一般會改變PR之組成及特性,且此等改變可能需要額外的顯影方法。透過使用酸的蒸氣(例如,無機酸;鹵化氫,例如氯化氫(HCl)、溴化氫(HBr)及類似者;有機酸,例如三氟醋酸或本文所述的其他酸),此等雙金屬PR膜(或具有兩個或更多高吸收性元素的其他膜)可被顯影,因而產生負型圖案。
在一些實施例中,顯影包括提供包含有酸或包含有配置成提供酸之反應物的蒸氣。本文描述非限定酸及試劑。 在一實例中,酸包括含鹵素的酸(例如,HBr、HCl、HI、HF及類似者),且試劑包括可提供此等酸之彼等。此等試劑之示例包括鹵化硼(例如BX 3,其中X為F、Cl、Br 或 I)、鹵化矽(例如SiX 4,其中X為F、Cl、Br 或 I)、鹵化氫(例如HX,其中X為F、Cl、Br 或 I)、氫氣(H 2)及鹵素氣體(例如X 2,其中X為F、Cl或Br),以及其組合(例如,H 2與X 2的組合)。
在一實施例中,無機酸分子的蒸氣(例如HCl、HBr,及可能HI)以及可分解或水解產生此些酸性分子之分子(如BCl 3或SiCl 4),能夠選擇性地去除未曝光膜(例如未曝光之有機錫碲膜),因而產生負型圖案。然而,某些元素之反應產物(例如,鹵化碲,如TeCl 2及/或TeBr 2)具有遠低於其他元素(例如,鹵化錫,如SnCl 4及/或SnBr 4)的揮發性。在沉積製程期間(例如,高達 200°C)或顯影後硬烘烤步驟期間,可透過利用更高溫度來去除較低揮發性的物質,以汽化反應產物。
除了無機酸分子,酸可為有機酸。在特定實施例中,酸具有高蒸氣壓並選擇性地去除未曝光膜。有機酸之非限定示例包括三氟醋酸、甲酸、醋酸及類似者。
在某些實施例中,顯影可包括使用酸結合含氧劑(例如,氧氣(O 2)、臭氧(O 3)、過氧化氫(H 2O 2)及類似者)以產生更一致的蝕刻結果。
在顯影製程中可應用任何有用的製程條件。例如, 製程可在小於約3托耳(例如,從10毫托耳至2托耳)壓力下、從約-10°C至150°C(例如,-10°C至100°C或0°C至150°C)溫度下、以及1至1000每分鐘標準立方公分(sccm)(例如約1至500 sccm)流率下進行。
此外,顯影可包括任何有用的溫度。對於乾式顯影製程,操作溫度可包括達150°C之彼等,以去除未曝光區中之揮發性反應產物(例如,鹵化碲、醋酸碲及其他含金屬或類金屬物質)。在另一實施例中,製程可包括對更易揮發的物質進行顯影後烘烤(PDB)操作(例如,高達250°C溫度之PDB)。
由於一些含金屬或類金屬物質之揮發性較低,乾式顯影製程會導致殘留的阻劑成分留在基板上。為了去除此等成分,可在顯影之後視情況地執行基於電漿的蝕刻操作。電漿可包括任何有用的化學物質、惰性氣體或反應性氣體 : 碳氟化合物,包括C xF yH z(其中x為1-6,y為1-12,z為0-12)、C xF y(其中x為1-6,y為2-12)、四氟化碳(CF 4)、六氟-2-丁炔(C 4F 6)、氟甲烷(CH 3F)、二氟甲烷(CH 2F 2)、三氟甲烷(CHF 3)、八氟環丁烷(C 4F 8)及其組合;氫氣(H 2);含硼氣體, 例如氯化硼(BCl 3)、三氟化硼(BF 3)、硼烷(BH 3)、乙硼烷(B 2H 6)及類似者;鹵化氫, 例如氯化氫(HCl)、溴化氫(HBr)及類似者;含鹵素氣體,例如氯(Cl 2)、三氟化氮(NF 3)、溴(Br 2)、氟(F 2)及類似者;含氧氣體,例如氧(O 2)、臭氧(O 3)、二氧化碳(CO 2)、一氧化碳(CO)、氧化亞氮(N 2O)、一氧化氮(NO)及類似者;惰性氣體,例如氬(Ar)、氮(N 2)、氦(He)、氪(Kr)及類似者;以及其組合。
此等電漿可為遠端電漿或原位電漿,其可為感應耦合式電漿(ICP)或電容耦合式電漿(CCP)。此外,電漿條件包括本文所述之任一者,包括ICP,設定於約50 W與約2000 W之間的電漿;約0 V與500 V之間的施加偏壓。
在一非限定實施例中,本文之方法及製程包括全乾式製程,其中沉積、曝光及顯影僅包含乾式製程。在另一實施例中,該等方法及製程包括乾式沉積、乾式曝光、乾式顯影及顯影後圖案之乾式處理。在另其他實施例中,可實施一或更多濕式製程,例如在包括以下的方法中:乾式沉積、乾式曝光及濕式顯影;濕式沉積、乾式曝光及乾式顯影;濕式沉積、乾式曝光及濕式顯影;乾式沉積、乾式曝光、濕式顯影及顯影後圖案之濕式處理;乾式沉積、乾式曝光、濕式顯影及顯影後圖案之乾式處理;濕式沉積、乾式曝光、乾式顯影及顯影後圖案之濕式處理;濕式沉積、乾式曝光、乾式顯影及顯影後圖案之乾式處理;濕式沉積、乾式曝光、濕式顯影及顯影後圖案之濕式處理;以及濕式沉積、乾式曝光、濕式顯影及顯影後圖案之乾式處理。
在另一非限定實施例中,本發明涵蓋用於高吸收性含金屬PR膜(例如,含有Sn及Te的雙金屬PR)之濕式顯影方法。尤其,濕式顯影包括將溶劑中的酸遞送至膜。非限定酸及溶劑包括本文中之任一者。在一實施例中, 酸為無機酸、含鹵素酸、鹵化氫、有機酸、磷含氧酸、硫含氧酸、羧酸或鹵化矽烷。在另一實施例中,溶劑為水性溶劑、有機溶劑及其組合或混合物。
使用於不同溶劑或溶劑混合物中之酸溶液,可顯影此高吸收性PR膜,因而產生負型圖案。酸濃度及溶劑/溶劑混合物之類型會影響選擇性、蝕刻速率,進而影響阻劑的曝光敏感性。
在不希望受限於機制下,此類型之高吸收性阻劑的濕式顯影策略依賴於曝光及曝光後烘烤(PEB)時的溶解度轉換。例如,透過將如Te之元素摻入膜中,吾等已證明相較於不含Te的膜,其EUV吸收增強。然而,未曝光及曝光的Sn-Te膜可能不溶於大多數常見的有機溶劑。本文描述的是於不同溶劑或溶劑混合物中之酸溶液可選擇性地去除未曝光SnTe阻劑材料,因而產生負型圖案。此等酸溶液可用於包含兩個或更多高吸收性元素之本文所述的任一膜中。在一些非限定實施例中,濕式顯影包括PEB操作。
非限定酸包括本文所述之任一者。在一實施例中,酸為無機酸,例如鹵化氫、磷含氧酸或硫含氧酸,其於約0.01%(體積/體積)或更大濃度下展現選擇性及較高蝕刻速率。較弱的酸(例如有機酸)可在較高濃度下使用(例如,溶劑中高達約10% (體積/體積)或15%(體積/體積)的酸)。
諸多溶劑可組合於一或更多酸內。具上述酸之水性與諸多有機溶劑(例如,異丙醇(IPA)、2-庚酮、丙二醇甲醚(PGME)、丙二醇甲醚乙酸酯 (PGMEA)、乙酸正丁酯等)可作為濕式顯影劑。溶劑/溶劑混合物之選擇會影響選擇性及蝕刻速率。另其他非限定溶劑包括醇(例如,異丙醇(IPA))、酮(例如,2-庚酮、環己酮或丙酮)、酯(例如,乙酸正丁酯、γ-丁內酯或乙基 3-乙氧基丙酸酯(EEP))、或醚,例如乙二醇醚(例如,丙二醇甲醚(PGME)或丙二醇甲醚乙酸酯(PGMEA))、及其組合。 圖案化結構
本文之方法及製程可用於顯影或處理本文所述的阻膜。在一實施例中,將阻膜提供於圖案化結構內,該結構因此可包括設置於基板表面上的阻膜(或成像層)。在其他實施例中,圖案化結構包括阻膜、基板以及設置於阻膜與基板之間的輻射吸收層。在特定實施例中,輻射吸收層的存在對阻膜提供增強的輻射吸收率及/或圖案化效能。在其他實施例中,阻膜包括增加輻射吸收率及/或圖案化效能的原子。
一般而言,穿過層之光子吸收取決於深度。當均質層或膜暴露於輻射時,由於較少光子到達該下部,故該層之下部比同一層之上部暴露於更低劑量的輻射。因此,為了確保層的整個深度上有足夠且均勻曝光,該層必須提供足夠的輻射透射率。 如 1A中所見,均質阻膜102設置於基板101之頂表面上。在暴露於輻射時,穿過均質層之光吸收105取決於深度,朝向基板(及層102的下部)處有較低值105a,而遠離基板(及在層102的上部)處則有較高值105b。 在非限定實例中, 1A之阻膜102為具有30%相對低EUV吸收且70%透射的均質有機氧化錫光阻。
為了增加吸收,一項策略可包括採用較高輻射吸收材料。然而,此等策略會提供較不有效的阻劑。例如, 1B之圖案化結構可為具有90%相對高EUV吸收且10%透射的均質膜112。由於EUV吸收及所致之化學反應將主要發生於光阻頂部,故此光阻一般將對圖案化應用來說效果較差。
可進一步改善阻膜中EUV敏感性之另一策略是建立膜組成呈垂直漸變的膜,其導致深度相依之EUV敏感性。在具有高吸收係數之均質PR中,降低整個膜深度之光強度需更高的輻射劑量,以確保底部充分曝光。透過相對於膜頂部在膜底部處增加具高EUV吸收率之原子的密度(即,透過產生EUV吸收增加的梯度),變得可更有效地使用可用的EUV光子,並朝更高吸收膜之底部更均勻地分佈吸收(及二次電子之效應)。
漸變膜可包括任何有用的原子或濃度梯度。在一實例中,漸變膜的特徵在於至少第一濃度的第一金屬(M1)及第二濃度的第二金屬(M2),其中M1與M2不同。在另一實例中,漸變膜的特徵在於具有高EUV吸收截面之一或更多原子的濃度梯度。在又另一實例中,漸變膜的特徵在於第一金屬(M1)的濃度在整個膜層中垂直變化。具有高EUV吸收截面之非限定原子包括碘(I)、碲(Te)、銦 (In)、錫(Sn)、銻(Sb)、鉍(Bi)或其組合。在又另一實例中,漸變膜的特徵在於碳含量(例如,烷基含量)的濃度梯度。
1C提供具有漸變膜之示例性圖案化結構。尤其,該結構可包括具有頂表面之基板121(例如,半導體基板)及設置於基板121頂表面上的膜122,其中膜122具有以EUV吸光度及/或金屬含量及/或金屬類型之變化為特徵的垂直梯度。曝光至輻射後,穿過梯度層之光吸收125取決於深度,且具有朝基板(與層122的下部中)之較低值125a及遠離基板(與層122 的上部中)之較高值125b。總的來說,梯度在阻層122的之頂部與下部之間提供更均勻的吸收125。
在一實例中,梯度膜122可包括第一濃度之第一金屬(M1)於膜頂部中以及第二濃度之第二金屬(M2)於膜底部中,其中M1與M2不同。在一實例中,第一濃度大於第二濃度。在另一實例中,第一濃度小於第二濃度。非限定梯度包括線性梯度、指數梯度、S形梯度等。在特定實施例中,EUV響應式有機部分的梯度密度膜在膜之所有深度處可產生EUV曝光區之更均質的膜特性,其可能改善顯影製程、改善EUV敏感性及/或改善圖案化品質(例如,具有改善之線寬粗糙度(LWR)及/或線邊緣粗糙度 (LER))。
在許多例子中,沉積在基板上之阻劑材料包括沿阻膜厚度的組成梯度。在一些此等例子中,光阻材料除了M1之外還包括M2,其中M2為具有高EUV-吸收截面之金屬且不同於M1, 其中光阻材料中之組成梯度在光阻材料內不同垂直位置處提供不同的 M1:M2比率。在一些實施例中,阻劑材料中之組成梯度可在阻劑材料內不同垂直位置處提供不同比率的M1:R (配位基)。在一些此等例子中,鍵結至R之金屬原子的百分比可在光阻材料內不同垂直位置處變化。
在一些實施例中,光阻材料中的組成梯度可在光阻材料內不同垂直位置處提供不同濃度的碘(I)、碲(Te)或具有高輻射吸收截面之另一原子。在諸多實施例中,組成梯度可在光阻材料底部提供比光阻材料頂部更高密度的高EUV吸收性元素,底部在頂部之前沉積。I及Te可以任何有用的方式引入。在一實例中,在前驅物沉積期間,碘可以碘化氫(HI)或合適的烷基碘引入,其中碘源之流率可在整個沉積過程中變化或在沉積期間之特定時間引入。在另一實例中,碘可在電漿之可選存在下以碘蒸氣(I 2)引入。在又另一實例中,碲可在沉積期間以前驅物或相對反應物引入,其中碲源之流率可在整個沉積過程中變化或在沉積期間之特定時間引入。
類似的策略(亦使用三(叔丁氧基)異丙基錫及水作為基礎化學物質)可用於碘的梯度引入,其使用低濃度HI(或合適的烷基碘)連同水一起在界面附近達成碘的梯度摻雜。可以此方式使用其他含Sn前驅物及含I反應物。
又另一策略涉及對水引入可替代(或額外)相對反應物,以引入EUV吸收係數比氧高得多的元素。一示例為摻入碲,其中含Te反應物提供作為氣相相對反應物之可行選擇。隨著沉積的進行,含Te相對反應物:含Sn前驅物的比率可減少,因而形成相較於膜之初始部分具有相對較少Te及相對較多Sn的膜。在諸多實施例中,該膜可在膜頂表面附近轉變為不含Te的組成,例如以助於將污染及處理問題降至最低。
在阻膜中設計垂直組成梯度的策略特別適用於乾式沉積方法,例如CVD及ALD,且可透過調變沉積期間不同反應物(或前驅物)之間的流量比來達成。可設計之組成梯度類型包括:不同高吸收性金屬之間的比率、具有EUV可裂解大體積基團之金屬原子的百分比(或相關地,金屬原子與EUV可裂解大體積基團之間的比率)、含有高吸收性元素(例如Te及I)之大體積基團或相對反應物的百分比(或相關地,金屬原子與額外高吸收性元素(例如Te與I)之間的比率)、以及此處的組合。
在一實施例中, 1C之阻膜122為漸變之基於錫的EUV光阻,其具有提供總體60%EUV吸收且40%透射之組成梯度。圖1C中之組成梯度所提供的增強EUV吸收梯度導致整個阻膜122厚度有更均勻的吸收。可基於烷基含量形成梯度,其中包含具有較高類SnO 2(SnO 2-like)特性之區域可增強膜中的EUV吸收。給定的示例均朝膜表面增加Sn-烷基含量,但亦設想存在交替之Sn-烷基重質、Sn-烷基輕質區域的層壓層概念。
製成漸變式基於Sn(具有變化烷基)之阻劑的示例包括使用四(二甲基胺基)錫及異丙基三(二甲基胺基)錫前驅物及水(作為相對反應物)。最初可引入四(二甲基胺基)錫作為次要至重要成分,以產生未鍵結至烷基之相對緻密Sn 成分(主要是SnO 2及Sn-OH衍生物)。四(二甲基胺基)錫:異丙基三(二甲基胺基)錫前驅物之比率會隨著膜生長減小。此可製成膜中Sn-R鍵的量朝膜上表面增加的膜。(透過此製程所製成之膜的吸收增加示例描述於下)。 此製程可透過ALD或CVD方法執行。
涉及吸收梯度之相關方法係以使用某程度上基於錫之阻膜為目標,其使用兩個前驅物– 均具有烷基–但一者比另一者含有一或更多烷基配位基。例如,可使用異丙基三(二甲基胺基)錫及二異丙基二(二甲基胺基)錫。最初,在膜沉積期間引入二異丙基二(二甲基胺基)錫之料流,且隨著膜沉積,增加相對於異丙基三(二甲基胺基)錫之料流的比率。此導致膜在表面上相對於膜底部有較多鍵結至兩個烷基之Sn量。
產生漸變膜之另一方法涉及在膜之初始沉積期間使用電漿以去除一些鍵結至金屬中心的烷基。例如,Sn-烷基鍵於電漿存在下易被真空紫外光 (VUV)或來自電漿之電子或離子的轟擊而裂解。理想情況下,使用具有僅去除烷基但不蝕刻膜之條件的電漿。例如,可使用O 2、Ar、He或CO 2電漿。隨著膜沉積,電漿去除烷基的效率降低(即電漿的功率降低),並最終被關閉。此可導致膜表面處比膜底部具有更多M-烷基鍵數的漸變膜。
EUV阻膜中之組成梯度可提供諸多益處。例如,膜底部中高密度之高EUV吸收性元素可有效地產生更多二次電子,其可對膜上部進行更好曝光。此外,此等組成梯度亦可與更高比例之EUV吸收物質(未鍵結至大體積末端取代基)直接相關。例如,在基於Sn之阻劑例子中,可摻入具有四個離去基之錫前驅物,因而促進在界面處形成Sn-O-基板鍵結以改善黏附。
透過在阻膜下方使用輻射吸收層,可增加穿過阻膜之輻射吸收。 例如,透過在膜底部提供具有高EUV吸收率之原子密度增加的吸收層(相對於阻膜),可更有效地使用可用的EUV光子,並朝圖案化結構底部更均勻地分佈吸收(及二次電子之效應)。此外,在一些實例中,吸收層可有效地產生更多二次電子,因而可更佳地對圖案化結構下部曝光。
1D所示,圖案化結構可包括阻膜132以及在阻膜132下方且基板131上方之輻射吸收層133。相較於不具吸收層之結構,層132之下部135a與上部135b之間的光吸收可更均勻。在曝光於輻射時,穿過層的光吸收135受到吸收層133的影響,吸收層133會導致產生可協助阻膜底部輻射曝光之額外二次電子134。以此方式,相較於不具吸收層之阻膜中的光吸收,光吸收135可獲改善。
吸收層可包括具有高光吸收截面之元素(例如,金屬原子或非金屬原子)(或高吸收性元素),例如 I、Te、In、Sn、Sb及/或Bi。吸收層亦可包括EUV不穩定基團,其亦可變化並可包括烷基C nH 2n+1,較佳為,其中n≥3,且包括氟取代的烷基。此等元素及不穩定基團可與一或更多前驅物(例如本文所述之任一者)以任何有用的方式提供為層, 例如透過濺鍍沉積、物理氣相沉積(PVD)(包括PVD共濺鍍)、化學氣相沉積 (CVD)、電漿增強CVD(PE-CVD)、低壓CVD(LP-CVD)、原子層沉積 (ALD,包括 熱ALD及電漿增強ALD(PE-ALD))、旋塗沉積、基於電漿的沉積、熱誘導分解、電漿誘導分解、電子束(e束)沉積(包括e束共蒸鍍等)、或其組合。吸收層可為設置於基板表面上的光阻層或表面改質層。
吸收層與阻膜之一或兩者可包括高吸收性元素。在一實例中,吸收層與阻膜皆包括高吸收性元素。吸收層與阻膜中之每一者中的元素可相同或不同。
在特定非限定實例中,吸收層的特徵在於相較於阻膜具有增強的輻射吸收率。例如,吸收層可包括更高比例之未鍵結至大體積末端取代基的EUV吸收物質。在另一實例中,吸收層可包括具有較高吸收係數之元素,其高於存在於阻膜中之元素。例如,阻膜可包括Sn,而吸收層可包括Te (例如,單獨的Te 或Te與Sn的混合物)。在又另一實例中,吸收層中高吸收性元素的濃度高於在阻膜中的濃度。
吸收層的厚度將根據整合方案而變化。所得之吸收層可非常薄,範圍從0.3 nm至5 nm,因為超過5 nm之更深處所產生的二次電子不太可能向上穿透膜而進入阻層。然而,可相對於光阻膜以高蝕刻選擇性進行蝕刻的任何EUV吸收層亦可塗佈得更厚許多,且在一些例子中,若存在蝕刻化學物以允許使用下層膜作為蝕刻遮罩來選擇性地去除下層裝置層,此可證明是有利的。
在一實例中,吸收層為具有烷基封端之金屬氧化物或金屬:可透過ALD或CVD沉積薄金屬氧化物或金屬。示例包括SnO x、BiOx及Te。沉積後,膜可用式MR xL y之烷基取代的前驅物覆蓋,其中M為較佳具有高EUV吸收的金屬,R為烷基或氟代烷基,L為與薄金屬氧化物或金屬之表面反應的配位基,x為等於或大於1的整數,且y為等於或大於1的整數。相對反應物可用於更佳地去除配位基,且可重複多個循環以確保基板表面完全飽和。該表面接著即準備好以沉積EUV敏感阻膜。一可能方法是產生SnO x薄膜。可能的化學法包括透過循環四(二甲基胺基)錫及相對反應物(例如水或O 2電漿)來生長SnO 2。生長後,可使用覆蓋劑(capping agent)。例如,可使異丙基三(二甲基胺基)錫蒸氣流過表面。
除了調變光子或輻射吸收之外,吸收層亦可提供其他功能。在一實例中,吸收層可為光響應式黏附層,其中曝光於輻射可增強對覆蓋阻膜的黏附。在輻射曝光之前,吸收層之頂表面可包括曝光於圖案化輻射下可裂解的不穩定部分。曝光後,不穩定部分可提供反應中心,其接著參與吸收層與阻膜之間共價鍵的形成。以此方式,吸收層的頂表面可被表徵為光響應式表面,而吸收層本身可被表徵為光響應式黏附層。
沉積吸收層的優點可用EUV截面比基板更高之任何元素來觀察。 大多數所採用之基於碳的底層具有相對低的EUV截面。因此,多種元素可能適用於表面改質。一些特別合適的元素為呈現高EUV吸收截面並可使用乾式蝕刻製程有效去除的元素,包括 In、Sn、Sb、Te、I、Pb及Bi(見 1E)。亦可使用此些元素之合金與氧化物以及其他化合物。
阻膜及/或吸收層經表面改質。達成表面改質之一項方法是使用含有EUV吸收元素之反應性物質。在一些反覆法(iterations)中,反應性物質之蒸氣可通過晶圓。可加熱晶圓以提供熱能使反應進行。在一些反覆法中,加熱可介於約50℃至約250℃之間。在一些例子中,可使用反應物的脈衝,透過泵及/或沖洗步驟將其隔開。在一些例子中,可在反應物脈衝之間脈衝相對反應物,從而導致ALD或類-ALD生長。在其他例子中,反應物與相對反應物兩者可同時流動。可用於表面改質之元素的示例包括I、F、Sn、Bi、Sb、Te、及此些化合物的氧化物或合金。
根據本技術之一些表面改質示例包括純元素或其任何充分揮發性化合物的蒸發/昇華。塗層亦可透過諸多濺鍍或物理氣相沉積技術塗佈。
改質製程可用EUV不穩定基團對表面封端,例如烷基(例如,叔丁基、正丁基、仲丁基、異丙基等)或氟取代的烷基,例如-CF 3、-CF 2CF 3,作示例。 可在基板的表面、吸收層的表面及/或阻膜的表面上提供此等改質。
原子層沉積(ALD)技術亦可有利地用於可控地塗佈此等薄膜。以此方式,錫、銻、鉍及/或碲之薄的高EUV吸收層(例如,如碲化錫(SnTe)膜、碲化銻(SbTe)膜或碲化鉍(BiTe)膜)可利用諸多基於揮發性金屬烷氧化物之前驅物連同含Te前驅物(例如,雙(三甲基矽烷基)碲或本文所述之任一者)之交替流動進行沉積。此等製程的非限定優點是能夠微調界面以將對基於無機金屬氧化物之阻劑覆蓋層的黏附最大化。
可用於達成根據本發明之表面改質的另一方法是透過電漿技術。 可撞擊電漿,使得電漿內的反應性物質與表面反應以沉積高EUV吸收性元素。電漿可為遠端電漿或直接電漿。
此些電漿技術的一些示例包括電漿沉積之可灰化碳硬遮罩(AHM)膜,其透過暴露於惰性載氣中之碘蒸氣(I 2)流中所產生的電漿來改質。I 2電漿可用於產生反應性I 2或原子I物種,其可破壞表面C-C鍵並將其自身***以在表面形成C-I 官能基。此等電漿策略可應用於主要包含C-C單鍵之類鑽石碳膜,以及碳原子之間包含雙鍵的材料。或者,可透過在晶圓表面上暴露於二碘甲烷/He或其他碘化烴之料流中所產生的電漿放電,將富含碘薄層接枝至表面上。
或者,可採用揮發性有機金屬前驅物的熱、化學反應或電漿誘導分解來產生具有主要元素組成的膜。例如,可透過雙(叔丁基)碲在加熱至250°C之AHM 碳膜上熱分解來沉積富含碲薄膜。或者,可在雙(叔丁基)碲與H 2或 He之料流中激燃RF電漿,導致富含碲層沉積於AHM膜的表面上。類似地,此等層可透過雙(三甲基矽烷基)碲與水蒸氣之間的化學氣相沉積反應來沉積。在又另一示例中,可透過在含有錫前驅物(例如四(二甲基胺基)錫或四(異丙基)錫)之混合物中產生電漿來形成富含錫薄膜,其透過失去一或更多二甲基胺基或異丙基配位基而導致含Sn物質鍵結至表面。
膜或吸收層可包括覆蓋層。在一實施例中,覆蓋層可提供梯度膜。 一項方法涉及在基板表面上沉積基於金屬Sn或Sn合金的薄膜。烷基有機金屬錫前驅物的蒸氣可流入腔室中。取決於Sn氧化態,烷基錫前驅物可具有式SnR 2或SnR 4。烷基可為C nH 2n+1,其中n一般大於或等於2。示例包括四異丙基錫、四(叔丁基)錫及本文所述之其它者。前驅物蒸氣可夾帶於合適的載氣(例如H 2、He、Ar或N 2)中並流入腔室,接著在晶圓與噴淋頭之間激燃RF電漿。 該結果是沉積其基本組成類似於元素Sn的膜。電漿的功率可在整個沉積過程中進行調整,且可能在最後逐漸下降。接著停止電漿功率,但烷基錫前驅物仍在流動。該結果可能是覆蓋有烷基之錫層。接著可將阻劑(例如金屬有機阻劑)沉積在改質層上並將其帶入EUV掃描儀中進行曝光。在 EUV曝光後,改質界面之烷基可進行β-氫消去,從而在界面處形成Sn-H 鍵。在此階段,或在曝光後烘烤期間,Sn-H鍵可能與阻劑發生反應,以在界面上形成Sn-O-Sn橋,從而有效地增強曝光區中膜的黏附。
類似於對烷基封端之Sn界面改質所述的製程,可使用有機碲前驅物來沉積提供高EUV吸收率之主要含Te組成的薄膜。有機-Te前驅物可具有式RTeH或R 2Te,其中R為烷基或配位基分子。烷基可為C nH 2n+1,其中n大於或等於3。示例包括叔丁基氫化碲、二(叔丁基)碲、二(異丙基)碲或雙(三甲基矽烷基)碲。稀釋劑或載氣可包括 H 2、He、Ar或N 2,且可撞擊電漿,從而導致含Te薄膜的沉積。激燃RF電漿導致主要含Te膜之沉積。電漿之功率可在整個沉積過程中進行調整,可在結束時逐漸下降,並在仍流動有機碲前驅物時滅止,從而產生覆蓋有烷基之Te底層。接著可將EUV阻劑(例如如上所述旋塗或乾式沉積之金屬有機阻劑)沉積在改質層上並帶入EUV掃描儀中進行曝光。在EUV曝光時,膜底部處的Te(例如,沉積在AHM碳底層之表面上)將強烈吸收未捕於阻劑材料中之EUV光子,因而最大程度地產生可能誘導烷基裂解並在界面處形成Te-Sn鍵的二次電子。改質界面處存在的烷基可進行β-氫消去,從而在界面處形成反應性Te-H 鍵。在烘烤時,Te-H可視情況地與其上阻膜中的Sn反應並形成穩定的Sn-Te鍵。 視情況地,此些Te-H鍵可透過引入水分轉化為Te-OH鍵。
在另一實例中,增強之EUV吸收可透過在界面處摻入F來達成,且亦包含OH、O或COO基團以調整黏附。透過來自基於碳氟化合物之膜(例如,CF x聚合物膜)或來自有機(基於碳)底層之碳氟化合物(或NF 3、SF 6或其他F源)電漿處理而存在F,可增強EUV曝光區之吸收。在一實例中,基於碳氟化合物之膜沉積在高EUV吸收膜上。在另一實例中,可將具有氟、碳及可選氫之氣體流入腔室以沉積基於碳氟化合物的膜。示例氣體包括但不限於CH 2F 2、C 4F 6、CHF 3、CH 3F、CF 4及C 4F 8。在一些例子中,亦可添加惰性氣體,例如N 2、Ar或He,以及可選地氫,並在選定條件下進行電漿撞擊,使得CF x層沉積在晶圓上。可調整CF x層化學及沉積條件,使其對某些氣相化學物呈非常惰性。
在又另一實例中,為了產生薄的CF x封端表面,可在一或更多氟化烴前驅物(示例包括CH 2F 2、C 4F 6、CHF 3、CH 3F、CF 4或C 4F 8)中產生RF電漿,氟化烴前驅物視情況地與例如O 2、H 2及/或CO 2之氣體組合,以促進極性部分的形成以利於經EUV曝光之基於金屬氧化物的阻劑材料黏附至基板表面。撞擊電漿並選擇條件,使得CF x層在亦顯示對形成表面官能性(預期得以增強與暴露金屬有機模之黏附)有效的條件下沉積於晶圓上。 接著將阻劑(例如乾式沉積之金屬有機膜)塗佈於改質基板表面上方並進行EUV圖案化。
在EUV曝光後,可產生游離F離子,並展現形成不溶氟化物錯合物的趨勢,其對於溶解於光阻顯影劑中更具抗性。在其他實施例中,反應性位點可因EUV產生之二次電子以透過提取F或CF x基團而產生於表面上。接著可將晶圓帶入ALD腔室,可在此處執行表面成像。ALD前驅物與未改質之碳氟化合物改質界面不展現反應性,但可在曝光區上成核。因此可透過此方法形成蝕刻硬遮罩,並將其轉移至下伏層中,例如,如吾等先前申請案第62/767,198號中所述,其表面成像技術之揭示內容以引用方式併入本文。 採用酸的方法
本文中之任何圖案化結構或膜可以採用酸以對阻膜顯影或處理的任何方法來處理。
2A-2C提供其中圖案化結構可包括均質阻膜、漸變阻膜或具有吸收層之阻膜的方法。尤其, 2A提供使用具有阻膜212之圖案化結構的非限定方法200。非限定圖案化結構可包括設置在基板211頂表面上的阻膜212。可如所見,阻膜可包括具有高圖案化輻射吸收截面之兩個不同元素。在一實施例中,該元素包括錫(Sn)及碲(Te)。阻膜可包括相對反應物所提供之一或更多其他原子,其中此等原子可包括氧(O)。阻膜可進一步包括一或更多配位基(R),其可以前驅物方式引入並可表徵為不穩定、EUV可裂解的配位基。可以任何有用的方式形成包含此等層之圖案化結構,如本文所述。在一實施例中,阻膜為輻射敏感阻膜、EUV敏感膜或光阻層。
在操作201中,使圖案化結構暴露於圖案化輻射曝光,以提供具有輻射未曝光區212a與輻射曝光區212b的曝光膜。圖案化可包括使用具有輻射透光區及輻射不透光區的遮罩,如本文所述。
在一些實施例中,EUV阻膜包含金屬原子(M),而存在於EUV曝光區之表面上的EUV不穩定配位基(R,例如烷基)可進行β-氫消去,使得烷基被去除,在界面上留下M-H基團。此些基團在暴露於氧及水分時可轉化為M-OH基團。 在曝光或後續曝光後烘烤步驟期間,一部分此些基團可能與已存在於膜中或透過阻膜中EUV誘導反應而產生的羥基反應,在曝光阻膜與基板之間形成強鍵結。 曝光後烘烤(PEB)可進一步增強黏附並增加交聯點的數量。此黏附差異可助於在負型阻劑中根據需要選擇性地去除未曝光區。旋塗有機或金屬有機阻劑亦可能受益於類似機制。
在操作202中,曝光膜在酸存在下顯影。非限定酸包括本文所述之任一者。可如所見,顯影可導致選擇性地去除阻膜212之輻射未曝光區212a並保留輻射曝光區212b。視情況地,可在顯影之前或之後烘烤曝光膜,其可進一步增加曝光膜之蝕刻選擇性對比及/或提高顯影膜之硬度。在其他實施例中,顯影膜進一步暴露於基於電漿的蝕刻製程。在特定實施例中,去除輻射未曝光區,因而提供負型阻劑。在其他實施例中,去除輻射曝光區,因而提供正型阻劑。
2B提供使用具有漸變阻膜232之圖案化結構的非限定方法220。 非限定圖案化結構可包括設置在基板231頂表面上的阻膜232。可如所見,阻膜可包括具有高圖案化輻射吸收截面之兩個不同元素,其中在垂直梯度內提供此等元素,其靠近基板231處具有第一濃度232a之第一金屬(在此,較高濃度的Te),且靠近膜頂表面處具有第二濃度232b之第一金屬(在此,較低濃度的Te)。可如進一步所見,阻膜可包括相對反應物所提供之一或更多其他原子,其中此等原子可包括氧(O);且可包括一或更多配位基(R),其可以前驅物方式引入並可表徵為不穩定、EUV可裂解的配位基。可以任何有用的方式形成包含此等層之圖案化結構,如本文所述。在一實施例中,阻膜為輻射敏感阻膜、EUV敏感膜或光阻層。
在操作221中,使圖案化結構暴露於圖案化輻射曝光,以提供具有輻射未曝光區233a與輻射曝光區233b的曝光膜。圖案化可包括使用具有輻射透光區及輻射不透光區的遮罩,如本文所述。
在一些實例中,膜內兩個不同元素的存在會影響膜的顯影方式。 例如,若該兩個元素中的一者對蝕刻或顯影更具抗性,則可能需要額外的顯影或處理製程來去除阻劑材料。然而,在一些實例中,即使較低量之同一元素亦可能在單個顯影製程中被去除。在其他實例中,可將顯影條件最佳化,以去除膜內兩種類型的元素。在非限定實施例中,在酸及含氧劑(例如O 2)存在下進行顯影可去除膜內諸多類型的元素。
2B所示, 曝光膜可以任何有用的方式顯影以去除所欲區域。 在操作222中,曝光膜在酸存在下顯影。非限定酸包括本文所述之任一者。可如所見,顯影可導致選擇性地去除阻膜232之輻射未曝光區233a並保留輻射曝光區233b。視情況地,可在顯影之前或之後烘烤曝光膜,其可進一步增加曝光膜之蝕刻選擇性對比及/或提高顯影膜之硬度。在特定實施例中,去除輻射未曝光區,因而提供負型阻劑。在其他實施例中,去除輻射曝光區,因而提供正型阻劑。
或者,在操作223中,曝光膜係以任何有用的方式顯影,在酸或本文所述之其他試劑及反應物存在下。可如所見,顯影可導致選擇性地去除阻膜232之輻射未曝光區233a,選擇性地保留輻射曝光區233b,並導致以任何形式(例如,連續或非連續層)存在的殘留物質233c。在特定實施例中,殘留物質233c包括以較高濃度存在於初始阻層232中的元素。在此,殘留物質233c包括濃度增加的Te。在操作223中,顯影條件可包括有效蝕刻掉Sn但對去除Te較無效果之彼等。 在此情況下,該製程可進一步包括配置成更有效地去除Te的操作224。可如所見,在操作224中,用酸處理顯影膜以去除殘留物質233c,因而提供具有輻射曝光區233b的圖案。在操作224之後,所得膜可被視為經處理的膜。
視情況地,曝光膜可在顯影之前烘烤,其可進一步增強曝光膜之蝕刻選擇性對比。在一些實施例中,顯影膜或經處理的膜可被烘烤,其可進一步提高硬度。在其他實施例中,顯影膜或經處理的膜進一步暴露於基於電漿的蝕刻製程。
本發明亦涵蓋採用具有吸收層之圖案化結構的任何方法,其中此等方法為製造及/或使用此等結構之彼等。在一實施例中,製作圖案化結構的方法包括:提供基板以接收圖案;將吸收層結合至基板之頂表面上;以及提供阻膜,其中吸收層位於阻膜下方以增加阻膜的輻射吸收率及/或圖案化效能。
吸收層可包括高吸收性元素,其可透過使用一或更多前驅物(例如,本文所述之任一者)來沉積。吸收層可主要包括高吸收性元素。在一實施例中,吸收層包括I、In、Sn、Bi、Sb、Te或其組合。在另一實施例中,採用單一前驅物。在又另一實施例中,採用兩個或更多前驅物。視情況地,前驅物與一或更多相對反應物一起使用,如本文所述。沉積可包括例如ALD或CVD。
2C提供使用具有輻射吸收層之圖案化結構的非限定方法240,該輻射吸收層可視情況地作為光響應式黏附層。非限定圖案化結構可包括基板251、阻膜252及阻膜252下方的吸收層253。可以任何有用的方式形成包含此等層之圖案化結構,如本文所述。在一實施例中,阻膜為輻射敏感阻膜、EUV敏感膜或光阻層。在特定實施例中,吸收層包括具有高輻射吸收截面之任何有用原子(例如,I、Te、In、Sn、Sb及/或Bi)。
可包含輻射吸收層以增強膜的吸收率,特別是在阻膜下部附近。 視情況地,輻射吸收層可為光響應式。在此實例中,輻射亦可用於對吸收層253之光響應表面圖案化,其中光響應表面具有EUV不穩定配位基。可如所見,光響應表面包括輻射未曝光區253a及輻射曝光區253b。在輻射曝光區253b中,在阻膜252與吸收層253之間提供增強的黏附力。在特定實施例中,增強的黏附力可降低得以在阻膜及/或吸收層內提供所欲圖案化特徵部之所需的輻射劑量。
在操作241中,使圖案化結構暴露於圖案化輻射曝光,以提供具有輻射未曝光區252a與輻射曝光區252b的曝光膜。圖案化可包括使用具有輻射透光區及輻射不透光區的遮罩,如本文所述。
吸收層之表面可包括不穩定部分,例如鹵素、烷基(例如,支鏈烷基)、鹵代烷基,或本文所述作為本文中式( I)或( II)之R的任何部分。如 2C所示,非限定吸收層包括具有不穩定部分R' 的Te,且非限定阻膜包括具有不穩定部分 R之氧化錫膜。吸收層之非限定厚度約3至5 nm。在某些實例中,吸收層之表面係用具有高吸收性元素(例如,Te)及不穩定部分(例如,叔丁基)之有機金屬前驅物處理。包括Te及叔丁基 ( t-Bu)之非限定前驅物可為( t-Bu)TeH 或( t-Bu) 2Te。
不穩定部分R'可提供鈍化表面,其可在曝光於輻射時被活化(因而是光響應式)。在操作241中, 使圖案化結構暴露於圖案化輻射曝光,以在阻膜252中提供具有輻射未曝光區252a及輻射曝光區252b的曝光膜,以及吸收層253中之輻射未曝光區253a及輻射曝光區253b。由於輻射曝光,不穩定部分R與R'被去除或裂解, 因而提供金屬反應中心, 即,阻膜252中的Sn及吸收層253中的Te,可反應形成金屬-金屬(M-M)鍵(在此為Sn-Te鍵)。在阻膜中,有機氧化錫層中 Sn-R鍵之輻射誘導裂解亦誘導固化(consolidation),以在輻射曝光區中提供更緻密的金屬氧化物(氧化錫)網路。
可如在操作 2C中所見,在操作242中,曝光膜在酸存在下顯影。非限定酸包括本文所述之任一者。可如所見,顯影可導致選擇性地去除阻膜252之輻射未曝光區252a並保留輻射曝光區252b。在一些實例中,此等顯影可導致選擇性地去除吸收層253的一些部分。例如,顯影可選擇性地去除吸收層253之輻射未曝光區253a並保留輻射曝光區253b。此等顯影可包括乾式蝕刻,例如,使用本文所述之任何鹵化物化學法或電漿蝕刻(例如,使用基於CH 4或F的電漿,包括NF 3、CF 4等)。
視情況地,可在顯影之前或之後烘烤曝光膜,其可進一步增加曝光膜之蝕刻選擇性對比及/或提高顯影膜之硬度。在特定實施例中,去除輻射未曝光區,因而提供負型阻劑。在其他實施例中,去除輻射曝光區,因而提供正型阻劑。
或者,在操作243中,曝光膜係以任何有用的方式顯影,在酸或本文所述之其他試劑及反應物存在下。可如所見,顯影可導致選擇性地去除阻膜 252之輻射未曝光區252a,選擇性地保留輻射曝光區252b,且存在吸收層的剩餘部分253a。在特定實施例中,吸收層的剩餘部分253a包括輻射未曝光區並包括高濃度的高吸收性元素(例如,Te)。
在操作243中, 顯影條件可包括有效蝕刻掉特定元素(例如,存在於阻膜中之彼等,例如Sn)但對去除另一特定元素(例如,存在於吸收層中之彼等,例如Te)較無效果的條件。在此情況下,該製程可進一步包括配置成更有效地去除Te的操作244。可如所見,在操作244中,用酸處理顯影膜以去除吸收層之未曝光區253a內的元素,因而提供具有輻射曝光區252b/253b的圖案。在操作244之後,所得膜可被視為經處理的膜。
視情況地,曝光膜可在顯影之前烘烤,其可進一步增強曝光膜之蝕刻選擇性對比。在一些實施例中,顯影膜或經處理的膜可被烘烤,其可進一步提高硬度。在其他實施例中,顯影膜或經處理的膜進一步暴露於基於電漿的蝕刻製程。
本文之方法可包括在曝光之前、顯影之後及/或處理之後的任何進一步的步驟。在一實例中,該方法可包括提供阻膜。此等提供可包括將阻膜塗佈至基板的表面上;且視情況地,在阻膜與基板之間提供吸收層。塗佈阻膜或提供吸收層可包括將一或更多前驅物、相對反應物或其他反應物遞送至基板。
在一實例中,阻膜或吸收層可包括遞送第一前驅物(具有高吸收的第一元素)、第二前驅物(具有高吸收的第二元素)、及可選的相對反應物。一非限定策略包括引入包含Te的第一前驅物及包含Sn的第二前驅物。如 3A所示,第一前驅物包括雙(三甲基矽烷基)碲化物( 1),第二前驅物包括四(叔丁氧基)錫( 2),其中沉積提供具有三甲基矽烷基表面之SnTe材料( 3)的網絡,三甲基矽烷基表面可進一步反應(例如,與相對反應物或醇)以去除矽烷基。包括此等網絡的層可用作阻層及/或吸收層的一部分。
另一非限定策略涉及將可替代(或額外)相對反應物引入水中以引入EUV吸收係數比氧高得多的元素。一示例為摻入Te,如 3B所示。雙(三甲基)碲化物( 1)提供作為氣相共反應物之一項可行選擇。在一些實施例中,此可與烷基錫前驅物(例如三(叔丁氧基)異丙基錫( 4))及水組合。以此方式,可產生膜底部附近之反應性Sn-烷基部分(例如,Sn-iPr部分)幾乎未減少的EUV反應性膜。如 3B所示,在沉積的初始部分,共反應物包括水和雙(三甲基)碲化物 ( 1)。形成於底層基板上之初始膜具有大量的Sn及Te。隨著沉積的進行,雙(三甲基)碲:三(叔丁氧基)異丙基錫的比率可降低,因而形成相較於膜的初始部分具有相對較少Te及相對較多Sn的膜。在諸多實施例中,該膜可在膜之頂表面附近轉變為不含Te的組成,例如以助於將污染及處理問題降至最低。
另一示例可為對系統添加不同金屬以增強吸收。例如,如 3C所示,可使用三(二甲基胺基)鉍( 6)及異丙基三(二甲基胺基)錫( 7)合成具有鉍及錫金屬中心( 8)的梯度膜。隨著膜沉積,前驅物流中之三(二甲基胺基)鉍:異丙基三(二甲基胺基)錫的比率可降低。此可導致膜底部比膜頂部含有更多的金屬鉍中心。 越靠近膜頂部,梯度膜可包括更多錫中心( 9),其可使用三(二甲基胺基)異丙基錫( 7)及水來合成,如 3D所示。如同Sn一般,Bi原子展現極高的EUV截面,但不同於Sn的是,即使不具大體積之EUV不穩定取代基,Bi原子似乎不易凝結成難處理之二元金屬氧化物。此等膜可使用濕式(水性及非水性)及/或乾式(例如,基於HCl或HBr,如2020年6月25日提申之國際申請案第PCT/US2020/039615 號中所述,其公開為國際公開案第WO 2020/264158號,標題為 PHOTORESIST DEVELOPMENT WITH HALIDE CHEMISTRIES,其因描述適用乾式顯影技術之目的而以引用方式併入本文) 顯影戰略來處理。
用於此等圖案化及顯影步驟之諸多步驟、操作及設備包括可用於微影製程之彼等,以及本文所述之任一者。在一實例中,微影製程包括使用極紫外光(EUV)微影。
例如, 4A提供得以提供負型阻劑的示例性方法400,其中可去除EUV未曝光區。可如所見,方法400包括在基板410之頂表面上沉積401膜411。如本文所述,該膜包括EUV敏感材料。在特定實施例中,阻膜411包括梯度組成,其在膜頂部附近具有第一濃度411a以及在膜下部附近之第二濃度411b,其中第一與第二濃度411a/411b不同(例如,在濃度值方面不同、不同原子或元素、不同配位基及類似者)。
方法400可進一步包括透過EUV曝光402對膜進行圖案化。EUV曝光可包括例如在真空環境中具有約10 nm至約20 nm範圍內波長的曝光(例如,在真空環境中約13.5 nm)。圖案化可包括使用具有EUV透光區及EUV不透光區之遮罩414,其中EUV光束415透射穿過EUV透光區,進入膜411。以此方式,膜包括EUV未曝光區413a及EUV曝光區413b。
額外步驟包括用酸對膜顯影403,因而選擇性地去除EUV未曝光區413a並保留EUV曝光區413b,其因而提供具有去除區416a與保留區416b之圖案或圖案化膜。顯影操作可包括本文所述之任一者,包括本文所述的任何酸。
該方法可包括去除殘留阻劑成分之進一步的步驟。據此, 4B提供得以提供負型阻劑的示例性方法450,其中可去除EUV未曝光區。可如所見,方法450包括在基板460之頂表面上沉積451膜461。如本文所述,該膜包括EUV敏感材料。在特定實施例中,阻膜461包括梯度組成,其在膜頂部附近具有第一濃度461a以及在膜下部附近之第二濃度461b,其中第一與第二濃度461a/461b不同(例如,在濃度值方面不同、不同原子或元素、不同配位基及類似者)。
方法450可進一步包括透過EUV曝光452對膜進行圖案化。圖案化可包括使用具有EUV透光區及EUV不透光區之遮罩464,其中EUV光束465透射穿過EUV透光區,接著進入膜461。以此方式,膜包括EUV未曝光區463a及EUV曝光區463b。
額外步驟包括對膜顯影453,因而選擇性地去除EUV未曝光區463a並保留EUV曝光區463b,其因而提供具有保留區466b之圖案或圖案化膜。顯影操作可包括本文所述之任一者,其包括本文所述的任何酸。在一些實施例中,顯影操作導致殘留阻劑成分466a的形成。在其他實施例中,該方法進一步包括進行剝除步驟或處理步驟以去除殘留阻劑成分。
該方法450可進一步包括用酸處理顯影膜454。處理可包括將顯影膜暴露於本文所述之任何酸,包括乾式或濕式製程包含有酸。以此方式,經處理的膜可包括保留區467b及不具殘留成分之去除區467a。
處理及顯影步驟可在相同或不同條件下進行,例如本文對顯影製程(例如,乾式顯影製程及/或濕式顯影製程)所述的任一者。在一實施例中,剝除及顯影步驟皆可包括使用酸,其為乾式製程。在另一實施例中,阻層之剝除包括使用呈氣相的酸,而膜的顯影包括使用呈液相的鹵化物化學酸。額外顯影製程條件描述於本文。
視情況地(例如,顯影之後、酸處理之前或酸處理之後),該方法可進一步硬化曝光膜、顯影膜或圖案化膜(例如,以提供阻劑遮罩)。硬化步驟可包括得以進一步使EUV未曝光區或 EUV曝光區交聯或反應之任何有用製程。在一實施例中,執行硬化以進一步使EUV曝光區交聯或反應。示例性硬化步驟可包括暴露於電漿、退火、熱烘烤、或可用於顯影後烘烤(PDB)步驟之其組合。在特定實施例中,硬化可包括暴露於電漿(例如,O 2、O 3、Ar、He或CO 2電漿);暴露於真空紫外光(VUV),視情況地存在O 2、Ar、He或CO 2電漿環境;熱退火(例如,在約180°C至約240°C的溫度下),視情況地存在空氣周圍環境或原子氧或存在臭氧/O 2周圍環境;或其組合。
在一實施例中,硬化或緻密化膜係透過在不存在含氧(O)氣體下退火來提供。在一些實例中,退火可包括加熱至約190℃或高於約190℃的溫度。在一些實施例中,退火可在真空下、惰性氣體存在下(例如,氮氣(N 2)、氬氣(Ar) 或其他非氧化氣體)、或無氧(O)氣體 (即不含氧原子之氣體)存在下進行。無氧氣體的非限定示例包括無O 2氣體或無H 2O氣體。惰性氣體可包括一氣體或惰性氣體的組合。在一些實施例中,非氧化氣體為具有小於1%含氧(O)氣體(例如,小於1%之O 2氣體)的氣體。可在大氣壓或更低壓力下使用氣體。
5A提供具有諸多操作(包括可選操作)之示例性方法500的流程圖。可進行可選步驟以在本文之任何方法中進一步調變、修改或處理EUV敏感膜及/或基板。
可如所見,在操作501中,採用一或更多前驅物與可選之相對反應物來沉積膜,以提供光阻(PR)。在可選操作502中,可清潔基板之背側表面或斜邊、及/或可去除先前步驟中沉積光阻之邊緣珠。此等清潔或去除操作可用於去除沉積光阻層之後可能存在的顆粒。去除步驟可包括用濕式金屬氧化物(MeOx)邊緣珠去除(EBR)步驟處理晶圓。
在可選操作503中,可進行塗佈後烘烤(PAB)或另一塗佈後處理。 此等處理可改善未曝光材料對酸(呈蒸氣或液體形式)之抗蝕性。在另一實例中,此等處理會降低未曝光與曝光區之間的疏水性差異(或對比度),因而不進行 PAB 操作。在又另一實例中,使用PAB從層中去除殘餘水分以形成硬化阻膜。PAB可涉及熱處理、化學暴露及/或水分的一些組合,以提高膜之EUV敏感性,因而降低在膜中顯影圖案之EUV劑量。在特定實施例中,PAB步驟係於大於約100℃的溫度或在約100℃至約200℃或約100℃至約250℃的溫度下進行。在其他實施例中,PAB步驟係在約190℃至約350℃的溫度下且不存在含O氣體下進行。在另一實例中,塗佈後處理包括將膜暴露於惰性氣體或CO 2,其可視情況地包括冷卻或加熱。使用惰性氣體可提供金屬-氧-金屬物質,使用CO 2可在膜內提供金屬碳酸鹽物質。
在操作504中,使膜曝光於EUV輻射以顯影圖案。通常,EUV曝光導致膜之化學組成發生變化,從而產生蝕刻選擇性對比,其可用於去除膜的一部分。此等對比可提供負型阻劑,如本文所述。EUV曝光可包括例如在真空環境中具有約10 nm至約20 nm範圍內波長(例如,在真空環境中約13.5 nm)的曝光。
操作505為曝光膜之可選曝光後烘烤(PEB),因而進一步去除殘餘水分,促進膜內之化學縮合、或增加曝光膜之蝕刻選擇性對比;或以任何有用方式對膜進行後處理。在一實例中,此等處理會降低未曝光與曝光區之間的對比,因此不進行PEB操作。在另一實例中,可對曝光膜進行熱處理(例如,視情況地存在諸多化學物質),以在暴露於剝除劑或負型顯影劑(例如,基於鹵素的酸,例如HCl、HBr、HI或其組合,呈蒸氣或液體形式)時促進阻劑之EUV曝光或未曝光部分內的反應性。在另一實例中,曝光膜可進行熱處理,以進一步交聯阻劑之EUV曝光部分內的配位基,因而提供可在暴露於剝除劑(例如,負型顯影劑)後被選擇性地去除的EUV未曝光部分。在又另一實例中,省略PEB。
接下來,PR圖案可透過負型顯影或負型顯影來顯影。在一實例中,PR圖案係透過負型顯影來顯影(例如,如本文所述)。在諸多顯影實施例中,去除未曝光區(以在負型阻劑內提供圖案)。在其他諸多顯影實施例中,去除曝光區(以在正型阻劑內提供圖案)。此些步驟可能為使用一或更多顯影劑或顯影溶液之乾式製程(例如,本文所述之任一者)或濕式製程,後接可選之清洗(例如,使用去離子水或其他溶劑)。在特定實施例中,顯影步驟為應用於基於錫之膜的乾式製程。在其他實施例中,顯影步驟為應用於基於錫之膜的濕式製程。
在一實例中,操作506包括使用含有酸之一或更多顯影劑(例如酸性蒸氣)的一或更多乾式製程。在另一實例中,操作508包括使用含有酸之一或更多顯影劑(例如,酸性溶液)的一或更多濕式製程。濕式製程可視情況地後接可選之清洗操作(例如,用去離子水或其他溶劑)或可選之乾式操作(例如,具空氣或在惰性條件下,具可選的熱)。視情況地,濕式顯影可包括或後接預潤濕步驟(例如,透過使用水性溶劑,如本文所述之任一者)、清洗步驟(例如,透過使用水性溶劑,如本文所述之任一者)、及/或乾燥步驟。酸性顯影(具有或不具清洗操作)可重複 n次,其中 n為1、2、3、4、5或更多。
在顯影之後,可進行一或更多可選操作。例如,該方法可包括去除顯影後存在之殘留物質的處理操作。在一實例中,可選操作507包括用基於電漿的製程、烘烤操作或基於酸的處理操作來處理乾式顯影的PR圖案。在另一實例中,可選操作509包括用基於電漿的製程、烘烤操作或基於酸的處理操作來處理濕式顯影的PR圖案。當採用濕式製程時,例如可在製程之後進行可選的清洗操作(例如,用去離子水或其他溶劑)或可選的乾式操作(例如,具空氣或在惰性條件下,具可選的熱)。
方法可包括用酸處理光阻圖案。此等方法可包括顯影,其可包括或可不包括與處理操作中相同的酸及/或相同的條件。 5B提供具有諸多操作(包括可選操作)之示例性方法510的流程圖。可如所見,在操作511中,沉積具有光阻(PR)的膜。
該方法包括已對照 5A所述之諸多可選操作。類似地, 5B中之示例性方法510可包括可選操作512,清潔基板之背側表面或斜邊及/或去除先前步驟中沉積光阻之邊緣珠;用於PAB或另一塗佈後處理之可選操作513;以及用於PEB或另一曝光後處理之可選操作515。
在操作514中,使膜曝光於EUV輻射以顯影圖案。接下來,可透過負型顯影或正型顯影來顯影PR圖案。可執行操作516以對PR圖案乾式顯影,且可執行操作517以用酸處理PR圖案(例如,因而去除顯影後存在之殘留物質,其中可以蒸氣形式或液體形式提供酸)。可替代地,可執行操作518以對PR圖案濕式顯影,且可執行操作519以用酸處理PR圖案(例如,因而去除顯影後存在之殘留物質,其中可以蒸氣形式或液體形式提供酸)。
PR膜可以任何有用的方式沉積。 5C提供具有諸多操作(包括可選操作)之示例性方法520的流程圖。可如所見,在操作521中,沉積膜及吸收層。尤其,操作521a包括採用前驅物(例如,第一前驅物,不同於操作521b中所採用者)與可選相對反應物以提供吸收層。操作521b包括採用前驅物(例如,第二前驅物)與可選相對反應物以提供整體光阻(PR)。
該方法進一步包括可選操作522,用於清潔基板之背側表面或斜邊及/或去除先前步驟中沉積光阻之邊緣珠。其他可選操作包括用於PAB或另一塗佈後處理之操作523及/或用於PEB或另一曝光後處理之操作525。
在操作524中,使膜曝光於EUV輻射以顯影圖案。接下來,可透過負型顯影或正型顯影來顯影PR圖案。
接下來,可透過負型顯影或正型顯影來顯影PR圖案。可執行操作526以用酸對PR圖案乾式顯影,且可執行可選操作527以處理PR圖案(例如,因而去除顯影後存在之殘留物質,其中處理可視情況地包括呈蒸氣形式或液體形式的酸)。可替代地,可執行操作528以用酸對PR圖案濕式顯影,且可執行可選操作529以處理PR圖案(例如,因而去除顯影後存在之殘留物質,其中處理可視情況地包括呈蒸氣形式或液體形式的酸)。
可採用乾式製程。在某些實例中,在該方法中僅採用乾式製程。 5D提供具有諸多操作(包括可選操作)之示例性方法530的流程圖。可如所見,在操作531中,沉積膜及吸收層。尤其,操作531a包括採用前驅物(例如,第一前驅物,不同於操作531b中所採用者)與可選相對反應物以提供吸收層。操作531b包括採用前驅物(例如,第二前驅物)與可選相對反應物以提供整體光阻(PR)。
可選操作可包括操作532,用於清潔基板之背側表面或斜邊及/或去除先前步驟中沉積光阻之邊緣珠;用於PAB或另一塗佈後處理之操作533;以及用於PEB或另一曝光後處理之操作535。
在操作534中,使膜曝光於EUV輻射以顯影圖案。接下來,可透過負型顯影或正型顯影來顯影PR圖案。可執行操作536以用酸對PR圖案乾式顯影,且可執行可選操作537以處理PR圖案(例如,因而去除乾式顯影後存在之殘留物質,其中可以蒸氣形式視情況地提供酸)。
顯影後製程亦可包括乾式製程。 5E提供具有諸多操作(包括可選操作)之示例性方法540的流程圖。可如所見,在操作541中,沉積膜及吸收層。尤其,操作541a 包括採用前驅物(例如,第一前驅物,不同於操作541b中所採用者) 與可選相對反應物以提供吸收層。操作541b包括採用前驅物(例如,第二前驅物)與可選相對反應物以提供整體光阻(PR)。
可選操作可包括操作542,用於清潔基板之背側表面或斜邊及/或去除先前步驟中沉積光阻之邊緣珠;用於PAB或另一塗佈後處理之操作543;以及用於PEB或另一曝光後處理之操作545。
在操作544中,使膜曝光於EUV輻射以顯影圖案。接下來,可透過負型顯影或正型顯影來顯影PR圖案。可執行操作546以用酸對PR圖案乾式顯影,且可執行操作547以用乾式製程處理PR圖案。尤其,操作547可進一步包括操作547a以用蒸氣形式的酸處理PR圖案(例如,因而提供經處理的圖案)以及操作547b以在蒸氣(例如,氣體)存在下用電漿處理PR圖案。
在一些實施例中,PAB及PEB可以(或可以不)在負型製程中執行。不希望受限於機制,本文所述之負型製程採用酸來去除阻劑成分,甚至顯影(例如,乾式顯影)及/或處理(例如,酸處理)後可能存在之殘留阻劑成分。在特定實施例中,殘留阻劑成分可包括殘留金屬鹵化物物質或包括至少一高吸收性元素之其它殘留物質。
在本文之任何實施例中,該方法可包括(例如,顯影後)清洗、進一步硬化及/或烘烤圖案化膜,因而提供設置在基板之頂表面上的阻劑遮罩。硬化步驟可包括任何有用的製程以對EUV未曝光或曝光區進一步交聯或反應,例如暴露於電漿(例如O 2、O 3、Ar、He或CO 2電漿)、暴露於紫外光輻射、退火(例如,在約180°C至約240°C的溫度下)、熱烘烤、或可用於顯影後烘烤(PDB)步驟之其組合的步驟。
在沉積、圖案化、顯影及/或處理步驟期間可使用任何有用類型的化學物。此等步驟可基於採用氣相化學物之乾式製程或採用濕相化學物之濕式製程。諸多實施例包括組合透過氣相沉積及(EUV)微影光圖案化之膜形成的乾式操作與乾式/濕式顯影操作及可選乾式/濕式處理操作。
據此,已證明高效能、乾式沉積之EUV光阻材料可被有效地圖案化以供予負型圖案或提供經處理的正型圖案。此亦可實現酸(包括蒸氣或液體形式的稀酸)的應用,以提供涉及EUV PR膜之新製程流程。 酸
酸可提供為蒸氣或為液體(例如,與可選溶劑)。酸可包括任何有用的酸,例如無機酸、含鹵素酸、鹵化氫、有機酸、磷含氧酸、硫含氧酸、羧酸或鹵化矽烷。
在一些實施例中,無機酸為任何不具碳原子之任一者。在一實施例中,無機酸為含鹵素的酸(例如鹵化氫),例如包括氟、氯、溴及/或碘之彼等;磷含氧酸;或硫含氧酸。非限定之鹵化氫包括氟化氫(HF)、氯化氫(HCl)、溴化氫(HBr)、碘化氫(HI)及其組合。
在一些實施例中,酸為磷含氧酸(例如,磷酸)、含硫含氧酸(例如硫酸)、或羧酸(例如,甲酸、醋酸、三氟醋酸、草酸、檸檬酸及其組合)。
在其他實施例中,酸為有機酸或有機鹵化物化合物。有機酸之非限定示例包括甲酸、醋酸、三氟醋酸、草酸、檸檬酸及類似者。非限定有機鹵化物化合物包括有機氟化合物,包括三氟醋酸;有機氯化合物;有機溴化合物或有機碘化合物。
在某些實施例中,酸可透過配置成提供酸之反應物方式提供。非限定反應物可包括鹵化硼烷,包括三氯硼烷(BCl 3)、三溴硼烷(BBr 3)、三碘硼烷(BI 3);鹵化矽烷,包括四氯矽烷(SiCl 4)、四溴矽烷(SiBr 4)、四碘矽烷(SiI 4);鹵化三烷基矽烷(trialkyl silyl halide),包括氯化三甲基矽烷(trimethylsilyl chloride)、溴化三甲基矽烷(trimethylsilyl bromide)、碘化三甲基矽烷(trimethylsilyl iodide)、氯化三乙基矽烷(triethylsilyl chloride)、溴化三乙基矽烷(triethylsilyl bromide)、碘化三乙基矽烷(triethylsilyl iodide)、氯化三丙基矽烷(tripropylsilyl chloride)、溴化三丙基矽烷 (tripropylsilyl bromide)、碘化三丙基矽烷(tripropylsilyl iodide)、氯化三異丙基矽烷(triisopropylsilyl chloride)、溴化三異丙基矽烷(triisopropylsilyl bromide)、碘化三異丙基矽烷(triisopropylsilyl iodide)、氯化三丁基矽烷 (tributylsilyl chloride)、溴化三丁基矽烷(tributylsilyl bromide)、碘化三丁基矽烷 (tributylsilyl iodide)、氯化三異丁基矽烷(triisobutylsilyl chloride)、溴化三異丁基矽烷(triisobutylsilyl bromide)、碘化三異丁基矽烷(triisobutylsilyl iodide)、氯化三仲丁基矽烷(trisecbutylsilyl chloride)、溴化三仲丁基矽烷(trisecbutylsilyl bromide)、碘化三仲丁基矽烷 (trisecbutylsilyl iodide)、氯化三叔丁基矽烷(tritertbutylsilyl chloride)、溴化三叔丁基矽烷(tritertbutylsilyl bromide)、碘化三叔丁基矽烷(tritertbutylsilyl iodide)、氯化二甲基-乙基-矽烷(dimethyl-ethyl-silyl chloride)、氯化二甲基-丙基-矽烷(dimethyl-propyl-silyl chloride)、氯化二甲基-異丙基-矽烷(dimethyl-isopropyl-silyl chloride)、氯化二甲基-丁基-矽烷(dimethyl-butyl-silyl chloride)、氯化二甲基-異丁基-矽烷(dimethyl-isobutyl-silyl chloride)、氯化-二甲基-仲丁基-矽烷(dimethyl-secbutyl-silyl chloride)、氯化二甲基-叔丁基-矽烷 (dimethyl-tertbutyl-silyl chloride)、溴化二甲基-叔丁基-矽烷 (dimethyl-tertbutyl-silyl bromide)、碘化二甲基-叔丁基-矽烷(dimethyl-tertbutyl-silyl iodide)、氯化甲基-二乙基-矽烷(methyl-diethyl-silyl chloride)、溴化甲基-二乙基-矽烷(methyl-diethyl-silyl bromide)、碘化甲基-二乙基-矽烷 (methyl-diethyl-silyl iodide)、氯化甲基-二丙基-矽烷(methyl-dipropyl-silyl chloride)、氯化甲基-二異丙基-矽烷 (methyl-diisopropyl-silyl chloride)、氯化甲基-二丁基-矽烷 (methyl-dibutyl-silyl chloride)、氯化甲基-二異丁基-矽烷(methyl-diisobutyl-silyl chloride)、氯化甲基-二仲丁基-矽烷(methyl-disecbutyl-silyl chloride)、氯化甲基-二叔丁基-矽烷(methyl-ditertbutyl-silyl chloride)、溴化甲基-二叔丁基-矽烷 (methyl-ditertbutyl-silyl bromide)、碘化甲基-二叔丁基-矽烷 (methyl-ditertbutyl-silyl iodide);二烷基二鹵矽烷(dialkyl dihalo silane),包括 : 二甲基二氯矽烷(dimethyldichloro silane)、二甲基二溴矽烷(dimethyldibromo silane)、二甲基二碘矽烷(dimethyldiiodo silane)、二乙基二氯矽烷(diethyldichloro silane)、二乙基二溴矽烷(diethyldibromo silane)、二乙基二碘矽烷(diethyldiiodo silane)、二丙基二氯矽烷(dipropyldichloro silane)、二丙基二溴矽烷(dipropyldibromo silane)、二丙基二碘矽烷(dipropyldiiodo silane)、二異丙基二氯矽烷(diisopropyldichloro silane)、二異丙基二溴矽烷(diisopropyldibromo silane)、二異丙基二碘矽烷(diisopropyldiiodo silane)、二丁基二氯矽烷(dibutyldichloro silane)、二丁基二溴矽烷(dibutyldibromo silane)、二丁基二碘矽烷 (dibutyldiiodo silane)、二異丁基二氯矽烷(diisobutyldichloro silane)、二異丁基二溴矽烷(diisobutyldibromo silane)、二異丁基二碘矽烷(diisobutyldiiodo silane)、二仲丁基二氯矽烷(disecbutyldichloro silane)、二仲丁基二溴矽烷(disecbutyldibromo silane) 、二仲丁基二碘矽烷(disecbutyldiiodo silane)、二叔丁基二氯矽烷(ditertbutyldichloro silane)、二叔丁基二溴矽烷(ditertbutyldibromo silane)、二叔丁基二碘矽烷(ditertbutyldiiodo silane)、甲基-乙基-二氯矽烷(methyl-ethyl-dichloro silane)、甲基-乙基-二溴矽烷(methyl-ethyl-dibromo silane)、甲基-乙基-二碘矽烷(methyl-ethyl-diiodo silane)、甲基-丙基-二氯矽烷(methyl-propyl-dichloro silane)、甲基-異丙基-二氯矽烷(methyl-isopropyl-dichloro silane)、甲基-丁基 -二氯矽烷(methyl-butyl-dichloro silane)、甲基-異丁基-二氯矽烷(methyl-isobutyl-dichloro silane)、甲基-仲丁基-二氯矽烷(methyl-secbutyl-dichloro silane)、甲基-叔丁基-二氯矽烷(methyl-tertbutyl-dichloro silane)、甲基-叔丁基-二溴矽烷(methyl-tertbutyl-dibromide silane)、甲基-叔丁基-二碘矽烷(methyl-tertbutyl-diiodo silane)或其組合。為了形成酸, 可在氫氣(H 2)、鹵化物氣體(例如氯氣(Cl 2)或溴氣 (Br 2))、或含氫試劑(例如,鹵化氫,如HCl、HBr或HI)存在下提供鹵化硼烷。在另一實施例中,結合氫氣(H 2)與鹵化物氣體(例如,氯氣(Cl 2)或溴氣(Br 2))形成酸。 在又另一實施例中,可在氫氣(H 2)、鹵化物氣體(例如,氯氣(Cl 2)或溴氣 (Br 2))、或含氫試劑(例如,鹵化氫,如HCl、HBr或HI)存在下提供鹵化矽烷。視情況地,可透過將反應物暴露於電漿來提供酸。
酸可用於乾式製程或濕式製程。在乾式製程中,酸可與含氧劑的蒸氣結合使用。非限定含氧劑包括氧氣(O 2)、臭氧(O 3)、過氧化氫(H 2O 2)及類似者。
在濕式製程中,酸可與溶劑一起提供。非限定溶劑包括水性溶劑(例如水)或有機溶劑,例如醇(例如,異丙醇(IPA))、酮(例如,2-庚酮、環己酮或丙酮)、醚,例如乙二醇醚(例如,丙二醇甲醚(PGME)或丙二醇甲醚乙酸酯(PGMEA))、或酯(例如,乙酸正丁酯、γ-丁內酯或3-乙氧基丙酸乙酯(EEP)),以及其組合。在其他實施例中,溶劑包括兩個或更多不同溶劑的組合。
酸的使用可包括任何暴露時間,例如約5秒至3分鐘(例如,約10秒至60秒)。此等暴露時間可為對於透過去除未曝光區之顯影或透過去除殘留物質之處理來說為足夠的任何時間。
水性酸可以任何有用的濃度來提供,包括在溶劑(例如,水性溶劑,如水)中約0.01%(體積/體積)至20%(體積/體積)的酸濃度。在其他實施例中,濃度為溶劑中約0.01%(體積/體積)至2%(體積/體積)、0.01%(體積/體積)至5%(體積/體積)、或0.01%(體積/體積)至10%(體積/體積)的酸。 前驅物
本文中該等層(例如,吸收層)及膜(例如,阻膜)可包括具有高光吸收截面(例如等於或大於1x10 7cm 2/mol)之元素(例如,金屬原子或非金屬原子,包括一個、兩個、三個或更多元素)。可透過沉積一或更多前驅物來提供此等元素,以提供阻膜。
在一些實施例中,該膜為輻射敏感膜(例如,EUV敏感膜)。此膜又可作為EUV阻劑,如本文進一步所述。在特定實施例中,該層或膜可包括一或更多配位基(例如,EUV不穩定配位基),其可透過輻射(例如,EUV或DUV輻射)去除、裂解或交聯。
前驅物可提供對輻射敏感之可圖案化膜、可圖案化層、及/或吸收層(或圖案化輻射敏感膜、可光圖案化膜、輻射敏感吸收層、可光圖案化吸收層)。此等輻射可包括EUV輻射、DUV輻射、或UV輻射,其透過照射穿過圖案化遮罩來提供,因此為圖案化輻射。膜或吸收層本身可透過暴露於此等輻射而改變,使得該膜或吸收層為輻射敏感或光敏感。在特定實施例中,前驅物為有機金屬化合物,其包括至少一金屬中心。
前驅物可具有任何有用數量及類型的配位基。在一些實施例中,配位基之特徵可在於其在相對反應物存在下或在圖案化輻射存在下進行反應之能力。例如,前驅物可包括與相對反應物反應之配位基,其可在金屬中心之間引入鍵(例如-O-鍵)。在另一實例中,前驅物可包括在圖案化輻射存在下消去之配位基。此等EUV不穩定配位基可包括具有β-氫之支鏈或直鏈烷基,以及本文對式( I)或( II)中R所述之任一者。在一實施例中,前驅物為具有兩個、三個或更多疏水配位基(例如,有機配位基,包括視情況取代之烷基、烯基或炔基)的覆蓋劑。
其他EUV不穩定配位基包括烷基、烯基或炔基,其可為支鏈或直鏈。另其他EUV不穩定配位基包括芳基,例如具有一個、兩個或三個環之彼等。 此等烷基、烯基、炔基及芳基可經一或更多鹵素(例如,一或更多氟)取代。非限定不穩定配位基包括視情況取代之C 1-12烷基、視情況取代之C 2-12烯基、視情況取代之C 2-12炔基、視情況取代之C 1-12鹵代烷基、視情況取代之C 2-12鹵代烯基、視情況取代之C 2-12鹵代炔基、視情況取代之芳基、或視情況取代之鹵代芳基。
前驅物可為任何有用之含金屬前驅物或含類金屬前驅物,例如有機金屬劑、金屬鹵化物或覆蓋劑(例如,如本文所述)。在非限定實例中,前驅物包括具有式( I)之結構 : M aR b( I), 其中 : M為具有高EUV吸收截面之金屬或原子; 每一R獨立為H、鹵素、視情況取代之烷基、視情況取代之環烷基、視情況取代之環烯基、視情況取代之烯基、視情況取代之炔基、視情況取代之烷氧基、視情況取代之烷醯氧基、視情況取代之芳基、視情況取代之胺基、視情況取代之雙(三烷基矽烷基)胺基、視情況取代之三烷基矽烷基、側氧基(oxo)、陰離子配位基、中性配位基或多牙配位基; a≥1;且b≥1。
在另一非限定實例中,前驅物包括具有式( II)之結構 : M aR bL c( II), 其中: M為具有高EUV吸收截面之金屬或原子; 每一R獨立為鹵素、視情況取代之烷基、視情況取代之芳基、視情況取代之胺基、視情況取代之烷氧基、或L; 每一L獨立為配位基、陰離子配位基、中性配位基、多牙配位基、離子或與相對反應物具反應性之其他部分,其中R及L與M一起可視情況地形成雜環基或其中R與L一起可視情況地形成雜環基; a≥1;b≥1;且c≥1。
在一些實施例中,前驅物中之每一配位基可為與相對反應物具反應性之配位基。在一實例中,前驅物包括具有式( II)之結構,其中每一R獨立為L。在另一實例中,前驅物包括具有式( IIa)之結構: M aL c( IIa), 其中: M為具有高EUV吸收截面之金屬或原子; 每一L獨立為配位基、離子或與相對反應物具反應性之其他部分,其中兩個L一起可視情況地形成雜環基; a≥1;且c≥1。 在式( IIa)之特定實施例中,a為1。在進一步實施例中,c為2、3或4。
對於本文中之任一式,M可為具有高圖案化輻射吸收截面(例如,等於或大於1x10 7cm 2/mol之EUV吸收截面)之金屬或類金屬或原子。在一些實施例中,M為錫(Sn)、鉍(Bi)、碲(Te)、銫(Cs)、銻(Sb)、銦(In)、鉬(Mo)、鉿(Hf)、碘(I)、鋯(Zr)、鐵(Fe)、鈷(Co)、鎳(Ni)、銅(Cu)、鋅(Zn)、銀(Ag)、鉑(Pt)及鉛(Pb)。在進一步實施例中,於式( I)、( II)或( IIa)中,M為Sn,a為1,且c為4。在其他實施例中,於式( I)、( II)或( IIa)中,M為Sn,a為1,且c為2。在特定實施例中,M為Sn(II)(例如,於式( I)、( II)或( IIa)中),因而提供為基於Sn(II)之化合物的前驅物。在其他實施例中,M為Sn(IV)(例如,於式( I)、( II)或( IIa)中),因而提供為基於Sn(IV)之化合物的前驅物。在特定實施例中,前驅物包括碘(例如過碘酸鹽)。
對於本文之任一式,每一R獨立為H、鹵素、視情況取代之烷基、視情況取代之環烷基、視情況取代之環烯基、視情況取代之烯基、視情況取代之炔基、視情況取代之烷氧基(例如-OR 1,其中R 1可為視情況取代之烷基) 、視情況取代之烷醯氧基、視情況取代之芳基、視情況取代之胺基、視情況取代之雙(三烷基矽烷基)胺基、視情況取代之三烷基矽烷基、側氧基(oxo)、陰離子配位基(例如,氧負離子基(oxido)、氯代基(chlorido)、氫化基(hydrido)、醋酸根、亞胺二醋酸根、丙酸根、丁酸根、苯甲酸根等)、中性配位基、或多牙配位基。
在一些實施例中,視情況取代之胺基為‑NR 1R 2,其中每一R 1及R 2獨立為H或烷基;或其中R 1及R 2與各自連接之氮原子一起形成如本文所定義之雜環基。在其他實施例中,視情況取代之雙(三烷基矽烷基)胺基為‑N(SiR 1R 2R 3) 2,其中每一R 1、R 2及R 3獨立為視情況取代之烷基。在另其他實施例中,視情況取代之三烷基矽烷基為‑SiR 1R 2R 3,其中每一R 1、R 2及R 3獨立為視情況取代之烷基。
在其他實施例中,該式包括為-NR 1R 2之第一R(或第一L)以及為-NR 1R 2之第二R(或第二L),其中每一R l及R 2獨立為H或視情況取代之烷基;或其中來自第一R(或第一L)之R 1及來自第二R(或第二L)之R 1與各自連接之氮原子及金屬原子一起形成如本文所定義之雜環基。在另其他實施例中,該式包括為‑OR 1之第一R及為‑OR 1之第二R,其中每一R 1獨立為H或視情況取代之烷基;或其中來自第一R之R 1及來自第二R之R 1與各自連接之氧原子及金屬原子一起形成如本文所定義之雜環基。
在一些實施例中,R或L中之至少一者(例如,在式( I)、( II)或( IIa)中)為視情況取代之烷基。非限定烷基包括例如C nH 2n+1,其中n為1、2、3或更大,例如甲基、乙基、正丙基、異丙基、正丁基、異丁基、仲丁基或叔丁基。在諸多實施例中,R或L具有至少一β-氫、β-鹵素或β-氟。在其他實施例中,R或L中之至少一者為經鹵素取代之烷基(例如,經氟取代之烷基)。
在一些實施例中,每一R或L或至少一R或L(例如,在式( I)、( II)或( IIa)中)為鹵素。尤其,前驅物可為金屬鹵化物。非限定金屬鹵化物包括SnBr 4、SnCl 4、SnI 4、及SbCl 3
在一些實施例中,每一R或L或至少一R或L(例如,在式( I)、( II)或( IIa)中)可包含氮原子。在特定實施例中,一或更多R或L可為視情況取代之胺基、視情況取代之單烷基胺基(例如,‑NR 1H,其中R 1為視情況取代之烷基)、視情況取代之二烷基胺基(例如‑NR 1R 2,其中每一R 1及R 2獨立為視情況取代之烷基)或視情況取代之雙(三烷基矽烷基)胺基。非限定R及L取代基可包括例如-NMe 2、-NHMe、-NEt 2、-NHEt、-NMeEt、-N( t-Bu)-[CHCH 3] 2-N( t-Bu)- (tbba) 、‑N(SiMe 3) 2、及‑N(SiEt 3) 2
在一些實施例中,每一R或L或至少一R或L(例如,在式( I)、( II)或( IIa)中)可包含矽原子。在特定實施例中,一或更多R或L可為視情況取代之三烷基矽烷基或視情況取代之雙(三烷基矽烷基)胺基。非限定R或L取代基可包括例如-SiMe 3、-SiEt 3、-N(SiMe 3) 2、及-N(SiEt 3) 2
在一些實施例中,每一R或L或至少一R或L(例如,在式( I)、( II)或( IIa)中)可包含氧原子。在特定實施例中,一或更多R或L可為視情況取代之烷氧基或視情況取代之烷醯氧基。非限定R或L取代基包括例如甲氧基、乙氧基、異丙氧基( i-PrO)、叔丁氧基( t-BuO)、醋酸根(-OC(O)-CH 3)及-O=C(CH 3)-CH=C(CH 3)-O-(acac)。
本文中任一式可包含一或更多中性配位基。非限定中性配位基包括視情況取代之胺基(例如,NR 3或R 2N-Ak-NR 2,其中每一R可獨立為H、視情況取代之烷基、視情況取代之烴基或視情況取代之芳基,且Ak為視情況取代之亞烷基)、視情況取代之膦(例如PR 3或R 2P-Ak-PR 2,其中每一R可獨立為H、視情況取代之烷基、視情況取代之烴基或視情況取代之芳基,且Ak為視情況取代之亞烷基)、視情況取代之醚(例如,OR 2,其中每一R可獨立為H、視情況取代之烷基、視情況取代之烴基或視情況取代之芳基)、視情況取代之烷基、視情況取代之烯、視情況取代之炔、視情況取代之苯、側氧基或一氧化碳。
本文中任一式可包含一或更多多牙(例如,雙牙)配位基。非限定多牙配位基包括二酮根(例如,乙醯丙酮根(acac)或‑OC(R 1)-Ak-(R 1)CO-或‑OC(R 1)-C(R 2)-(R 1)CO-)、雙牙螯合二氮(例如-N(R 1)-Ak-N(R 1)-或-N(R 3)-CR 4-CR 2=N(R 1)-)、芳香族 (例如-Ar-)、脒根(amidinate)(例如-N(R 1)-C(R 2)-N(R 1)-)、胺基烷氧根(例如-N(R 1)-Ak-O-或- N(R 1) 2-Ak-O-)、二氮雜二烯基(diazadienyl)(例如,-N(R 1)-C(R 2)-C(R 2)-N(R 1)-)、環戊二烯基(cyclopentadienyl)、吡唑特(pyrazolate)、視情況取代之雜環基、視情況取代之亞烷基或視情況取代之亞雜烷基。在特定實施例中,每一R 1獨立為H、視情況取代之烷基、視情況取代之鹵代烷基、或視情況取代之芳基;每一R 2獨立為H或、視情況取代之烷基; R 3及R 4一起形成視情況取代之雜環基; Ak為視情況取代之亞烷基;Ar為視情況取代之亞芳基。
在特定實施例中,前驅物包括錫。在一些實施例中,錫前驅物包括SnR或SnR 2或SnR 4或R 3SnSnR 3,其中每一R獨立為H、鹵素、視情況取代之C 1-12烷基、視情況取代之C 1-12烷氧基、視情況取代之胺基(例如‑NR 1R 2) 、視情況取代之C 2-12烯基、視情況取代之C 2-12炔基、視情況取代之C 3-8環烷基、視情況取代之芳基、環戊二烯基、視情況取代之雙(三烷基矽烷基)胺基(例如‑N(SiR 1R 2R 3) 2) 、視情況取代之烷醯氧基(例如醋酸根)、二酮根(例如‑OC(R 1)-Ak-(R 2)CO-)或雙牙螯合二氮(例如-N(R 1)-Ak-N(R 1)-)。在特定實施例中,每一R 1、R 2及R 3獨立為H或C 1-12烷基(例如,甲基、乙基、異丙基、叔丁基或新戊基);且Ak為視情況取代之C 1-6亞烷基。在特定實施例中,每一R獨立為鹵素、視情況取代之C 1-12烷氧基、視情況取代之胺基、視情況取代之芳基、環戊二烯基或二酮根。非限定錫前驅物包括SnF 2、SnH 4、SnBr 4、SnCl 4、SnI 4、四甲基錫(SnMe 4)、四乙基錫(SnEt 4)、三甲基氯化錫(SnMe 3Cl)、二甲基二氯化錫(SnMe 2Cl 2)、甲基三氯化錫(SnMeCl 3)、四烯丙基錫、四乙烯基錫、六苯基二錫(IV)( Ph 3Sn-SnPh 3,其中Ph為苯基)、二丁基二苯基錫(SnBu 2Ph 2)、三甲基(苯基)錫(SnMe 3Ph)、三甲基(苯基乙炔基)錫、三環己基氫化錫、三丁基氫化錫(SnBu 3H )、二醋酸二丁基錫(SnBu 2(CH 3COO) 2)、乙醯丙酮錫(II)( Sn(acac) 2))、三丁基乙氧基锡(SnBu 3(OEt))、二丁基二甲氧基錫(SnBu 2(OMe) 2)、三丁基甲氧基錫(SnBu 3(OMe))、叔丁氧基錫(IV)(Sn( t-BuO) 4)、正丁基三丁氧基錫(Sn( n-Bu)( t-BuO) 3)、四(二甲基胺基)錫(Sn(NMe 2) 4)、四(乙基甲基胺基)錫(Sn(NMeEt) 4)、四(二乙基胺基)錫(IV)(Sn(NEt 2) 4)、(二甲基胺基)三甲基錫(IV)( Sn(Me) 3(NMe 2)、Sn( i-Pr)(NMe 2) 3、Sn( n-Bu)(NMe 2) 3、Sn( s-Bu)(NMe 2) 3、 Sn( i-Bu)(NMe 2) 3、Sn( t-Bu)(NMe 2) 3、Sn( t-Bu) 2(NMe 2) 2、Sn( t-Bu)(NEt 2) 3、Sn(tbba)、Sn(II)(1,3-雙(1,1-二甲基乙基)-4,5-二甲基-(4 R,5 R)-1,3,2-二氮雜史坦諾啶-2-亞基)( Sn(II) (1,3-bis(1,1-dimethylethyl)-4,5-dimethyl-(4 R,5 R)-1,3,2- diazastannolidin-2-ylidene))、或雙[雙(三甲基矽烷基)胺基]錫(Sn[N(SiMe 3) 2] 2)。
在其他實施例中,前驅物包括鉍,例如於BiR 3中,其中每一R獨立為鹵素、視情況取代之C 1-12烷基、單-C 1-12烷基胺基(例如,‑NR 1H)、二-C 1-12烷基胺基(例如‑NR 1R 2) 、視情況取代之芳基、視情況取代之雙(三烷基矽烷基)胺基(例如‑N(SiR 1R 2R 3) 2)、或二酮根(例如‑OC(R 4)-Ak-(R 5)CO-)。在特定實施例中,每一R 1、R 2及R 3獨立為C 1-12烷基(例如甲基、乙基、異丙基、叔丁基或新戊基);每一R 4及R 5獨立為H或視情況取代之C 1-12烷基(例如甲基、乙基、異丙基、叔丁基或新戊基)。非限定鉍前驅物包括BiCl 3、BiMe 3、BiPh 3、Bi(NMe 2) 3、Bi[N(SiMe 3) 2] 3、及Bi(thd) 3,其中thd為2,2,6,6-四甲基-3,5-庚二酮根(2,2,6,6-tetramethyl-3,5-heptanedionate)。
在其他實施例中,前驅物包括碲,例如TeR 2或TeR 4,其中每一R獨立為鹵素、視情況取代之C 1-12烷基(例如,甲基、乙基、異丙基、叔丁基及新戊基) 、視情況取代之C 1-12烷氧基、視情況取代之芳基、羥基、側氧基或視情況取代之三烷基矽烷基。非限定碲前驅物包括二甲基碲(TeMe 2)、二乙基碲(TeEt 2)、二(正丁基)碲(Te( n-Bu) 2)、二(異丙基)碲(Te( i-Pr) 2)、二(叔丁基)碲(Te( t-Bu) 2)、叔丁基氫化碲(Te( t-Bu)(H))、Te(OEt) 4、雙(三甲基矽烷基)碲(Te(SiMe 3) 2)及雙(三乙基矽烷基)碲(Te(SiEt 3) 2)。
前驅物可包括銻,例如在SbR 3中,其中每一R獨立為鹵素、視情況取代之C 1-12烷基(例如甲基、乙基、異丙基、叔丁基及新戊基) 、視情況取代之C 1-12烷氧基或視情況取代之胺基(例如,‑NR 1R 2,其中每一R 1及R 2獨立為H或視情況取代之C 1-12烷基)。非限定銻前驅物包括SbCl 3、Sb(OEt) 3、Sb(O n-Bu) 3、及 Sb(NMe 2) 3
其他前驅物包括銦前驅物,例如InR 3中,其中每一R獨立為鹵素、視情況取代之C 1-12烷基(例如,甲基、乙基、異丙基、叔丁基及新戊基)或二酮根( 例如,‑OC(R 4)-Ak-(R 5)CO-,其中每一R 4及R 5獨立為H或C 1-12烷基)。非限定銦前驅物包括InCp(其中Cp為環戊二烯基)、InCl 3、InMe 3、In(acac) 3、In(CF 3COCHCOCH 3) 3及In(thd) 3
前驅物可包括碘,例如RI,其中R為碘(I)、氫(H)或視情況取代之C 1-12烷基或過碘酸根。非限定碘前驅物包括碘化氫(HI)、碘氣(I 2)、二碘甲烷(CH 2I 2)及過碘酸鹽。
本文描述另其他前驅物及非限定取代基。例如,前驅物可為具有如上所述之式( I)、( II)及( IIa);或如下所述之式( III)、( IV) 、( V)、( VI) 、( VII)或( VIII) 之結構的任一者。本文所述之任一取代基M、R、X或L可用於式( I)、( II)、( IIa)、( III)、( IV) 、( V)、( VI) 、( VII)或( VIII)中。
存在於前驅物及/或相對反應物中的諸多原子可提供於梯度膜內。在本文討論之技術的一些實施例中,非限定策略可透過產生垂直漸變膜來進一步改善PR膜內的吸收。在PR膜中設計垂直組成梯度之策略特別適用於乾式沉積方法,例如CVD 及ALD,並可透過在沉積期間調變不同前驅物、反應物、相對反應物或氣體之間的流量比來實現。可設計之組成梯度類型包括:前驅物之不同R或L配位基之間的比率、使用具有不同M原子的不同前驅物、使用具有不同R配位基之不同前驅物、使用不同相對反應物、以及可在沉積期間修改或改變之上述組合。
此等梯度膜可利用本文所述之任何前驅物(例如錫或非錫前驅物)及/或相對反應物來形成。另其他膜、方法、前驅物及其他化合物描述於2019年10月2日提申之美國臨時專利申請案第62/909,430號及2020年10月1日提申之國際申請案第PCT/US20/53856號(公開為國際公開案第WO 2021/067632號),其中每一案的標題皆為SUBSTRATE SURFACE MODIFICATION WITH HIGH EUV ABSORBERS FOR HIGH PERFORMANCE EUV PHOTORESISTS;以及2020年6月24日提申之國際申請案第PCT/US20/70172號(公開為國際公開案第WO 2020/264557號,標題為PHOTORESIST WITH MULTIPLE PATTERNING RADIATION-ABSORBING ELEMENTS AND/OR VERTICAL COMPOSITION GRADIENT),其至少關於直接可光圖案化之含金屬膜的組成、沉積及圖案化以形成EUV阻劑遮罩之揭示內容以引用方式併入本文。
存在於前驅物及/或相對反應物中之諸多原子可提供於覆蓋層內,覆蓋層又設置於任何有用的層或結構上。覆蓋層可為任何有用的厚度(例如,本文所述之任何厚度,包括約0.1 nm至約5 nm)。
此外,可在每一層(例如,膜或覆蓋層)內使用兩個或更多不同前驅物。例如,可使用本文之兩個或更多任何含金屬前驅物來形成合金。在一非限定實例中,碲化錫可透過使用包含-NR 2配位基之錫前驅物與RTeH、RTeD或TeR 2前驅物來形成,其中R為烷基,特別是叔丁基或異丙基。在另一實例中,金屬碲化物可透過使用包含有烷氧基或鹵素配位基(例如,SbCl 3)之第一前驅物與包含有三烷基矽烷基配位基(例如,雙(三甲基矽烷基)碲)的含碲前驅物來形成。
另其他示例性EUV敏感材料以及處理方法及設備描述於美國專利案第9,996,004號;國際專利公開案第WO 2020/102085號;以及國際專利公開案第WO 2019/217749號中,其每一者整體內容均以引用方式併於本文。
如本文所述,本文之膜、層及方法可與任何有用之前驅物一起使用。在一些實例中,前驅物包括具有下式( III)之金屬鹵化物: MX n( III), 其中,M為金屬,X為鹵素,n為2至4(取決於M之選擇)。用於M之示例性金屬包括Sn、Te、Bi或Sb。示例性金屬鹵化物包括SnBr 4、SnCl 4、SnI 4及SbCl 3
另一非限定前驅物包括具有式( IV)之結構: MR n( IV), 其中M為金屬;每一R獨立為H、視情況取代之烷基、胺基(例如,‑NR 2,其中每一R獨立為烷基)、視情況取代之雙(三烷基矽烷基)胺基(例如-N(SiR 3) 2,其中每一R獨立為烷基 )、或視情況取代之三烷基矽烷基(例如,-SiR 3,其中每一R獨立為烷基);且n為2至4(取決於M之選擇)。M之示例性金屬包括Sn、Te、Bi或Sb。烷基可為C nH 2n+1,其中n為1、2、3或更大。示例性有機金屬劑包括SnMe 4、 SnEt 4、TeR n、RTeR、叔丁基氫化碲(Te( t-Bu)(H))、二甲基碲(TeMe 2)、二叔丁基碲(Te( t-Bu) 2)、二(異丙基)碲(Te( i-Pr) 2)、雙(三甲基矽烷基)碲(Te(SiMe 3) 2)、雙(三乙基矽烷基)碲(Te(SiEt 3) 2)、三(雙(三甲基矽烷基)醯胺基)鉍(Bi[N(SiMe 3) 2] 3)、Sb(NMe 2) 3及類似者。
另一非限定前驅物可包括具有下式( V)之覆蓋劑: ML n( V), 其中M為金屬;每一L獨立為視情況取代之烷基、胺基(例如,‑NR 1R 2,其中每一R 1及R 2可為H或烷基,例如本文所述之任一者)、烷氧基(例如-OR,其中R為烷基,例如本文所述之任一者)、鹵素或其他有機取代基;n為2至4,取決於M之選擇。M之示例性金屬包括Sn、Te、Bi或Sb。示例性配位基包括二烷基胺基(例如二甲基胺基、甲基乙基胺基及二乙基胺基)、烷氧基(例如叔丁氧基及異丙氧基)、鹵素(例如F、Cl、Br及I)、或其他有機取代基(例如乙醯丙酮或 N 2 , N 3-二叔丁基-丁烷-2,3-二胺基)。非限定覆蓋劑包括SnCl 4;SnI 4;Sn(NR 2) 4,其中每一R獨立為甲基或乙基;或Sn( t-BuO) 4。在一些實施例中,存在多種類型之配位基。
前驅物可包括具有下式( VI)之被烴基取代的覆蓋劑: R nMX m( VI), 其中M為金屬,R為具有β-氫之C 2-10烷基或經取代烷基,且X為與暴露羥基中之羥基反應後合適的離去基。在諸多實施例中,只要m>0(或m≥1),則n=1至3,且m=4 – n、3 – n或2 – n。例如,R可為叔丁基、叔戊基、叔己基、環己基、異丙基、異丁基、仲丁基、正丁基、正戊基、正己基或其在β位置中具有雜原子取代基之衍生物。合適的雜原子包括鹵素(F、Cl、Br或I)、或氧(-OH或-OR)。X可為二烷基胺基(例如二甲基胺基、甲基乙基胺基或二乙基胺基)、烷氧基(例如叔丁氧基、異丙氧基)、鹵素(例如F、Cl、Br或I)、或另一有機配位基。被烴基取代之覆蓋劑的示例包括叔丁基三(二甲基胺基)錫(Sn( t-Bu)(NMe 2) 3)、正丁基三(二甲基胺基)錫(Sn( n-Bu)(NMe 2) 3)、叔丁基三(二乙基胺基)錫(Sn( t-Bu)(NEt 2) 3)、二(叔丁基)二(二甲基胺基)錫(Sn( t-Bu) 2(NMe 2) 2)、仲丁基三(二甲基胺基)錫(Sn( s-Bu)(NMe 2) 3)、正戊基三(二甲基胺基)錫(Sn(n-pentyl)(NMe 2) 3)、異丁基三(二甲基胺基)錫(Sn( i-Bu)(NMe 2) 3)、異丙基三(二甲基胺基)錫(Sn(i-Pr)(NMe 2) 3)、叔丁基三(叔丁氧基)錫(Sn( t-Bu)( t-BuO) 3)、正丁基(三(叔丁氧基)錫(Sn( n-Bu)( t-BuO) 3)或異丙基三(叔丁氧基)錫(Sn( i-Pr)( t-BuO) 3)。
在諸多實施例中,前驅物在每一金屬原子上包括至少一可在氣相反應中留存之烷基,而與該金屬原子配位之其他配位基或離子可被相對反應物取代。據此,另一非限定前驅物包括具有式( VII)之有機金屬劑: M aR bL c( VII), 其中M為金屬;R為視情況取代之烷基;L為與相對反應物具反應性之配位基、離子或其他部分;a≥1;b≥1;且c≥1。在特定實施例中,a = 1,且b + c = 4。在一些實施例中,M為Sn、Te、Bi或Sb。在特定實施例中,每一L獨立為胺基(例如,-NR 1R 2,其中每一R 1及R 2可為H或烷基,例如本文所述之任一者)、烷氧基(例如-OR,其中R為烷基,例如本文所述之任一者)、或鹵素(例如F、Cl、Br或I)。示例性試劑包括SnMe 3Cl、SnMe 2Cl 2、SnMeCl 3、SnMe(NMe 2) 3、SnMe 2(NMe 2) 2、SnMe 3(NMe 2)及類似者。
在其他實施例中,非限定前驅物包括具有式( VIII)之有機金屬劑: M aL c( VIII), 其中M為金屬;L為與相對反應物具反應性之配位基、離子或其他部分; a≥1;且c≥1。在特定實施例中,c = n-1,且n為2、3或4。在一些實施例中,M為Sn、Te、Bi或Sb。相對反應物較佳具有取代反應性部分、配位基或離子(例如,本文式中之L)以透過化學鍵結連接至少兩金屬原子之能力。
在本文任一實施例中,R可為視情況取代之烷基(例如,C 1-10烷基)。在一實施例中,烷基被一或更多鹵素取代(例如,經鹵素取代之C 1-10烷基,其包括一個、兩個、三個、四個或更多鹵素,例如F、Cl、Br或I)。示例性R取代基包括C nH 2n+1,較佳為其中n≥3;C nF xH (2n+1-x),其中1 ≤ x ≤ 2n+1。在諸多實施例中,R具有至少一β-氫、β-鹵素或β-氟。例如,R可選自由異丙基、正丙基、叔丁基、異丁基、正丁基、仲丁基、正戊基、異戊基、叔戊基、仲戊基及其混合物所組成之群組。
在本文任一實施例中,L可為易被相對反應物取代以產生M-OH部分之任何部分,例如選自由胺基(例如,-NR 1R 2,其中每一R 1及R 2可為H或烷基,例如本文所述之任一者)、烷氧基(例如-OR,其中R為烷基,例如本文所述之任一者)、羧酸根、鹵素(例如F、Cl、Br或I)及其混合物所組成之群組的部分。
相對反應物較佳具有取代反應性部分、配位基或離子(例如,本文式中的L)以透過化學鍵結連接至少兩金屬原子之能力。示例性相對反應物包括含氧之相對反應物,例如氧(O 2)、臭氧(O 3)、水、過氧化物(例如過氧化氫)、氧電漿、水電漿、醇、二羥基醇、多羥基醇、氟化二羥基醇、氟化多羥基醇、氟化二醇(fluorinated glycols)、甲酸及其他羥基部分之來源、以及其組合。在諸多實施例中,相對反應物透過在相鄰金屬原子之間形成氧橋而與前驅物反應。其他可能的相對反應物包括硫化氫及二硫化氫,其可透過硫橋使金屬原子交聯;雙(三甲基矽烷基)碲,其可透過碲橋使金屬原子交聯。另外,可利用碘化氫將碘結合至膜中。
另其他非限定之相對反應物包括具有式ZR 2之硫屬化物前驅物,其中:Z為硫、硒或碲;且每一R獨立為H、視情況取代之烷基(例如甲基、乙基、正丙基、異丙基、正丁基、叔丁基等) 、視情況取代之烯基、視情況取代之芳基、視情況取代之胺基、視情況取代之烷氧基、或視情況取代之三烷基矽烷基。
示例性有機金屬劑包括SnMeCl 3、( N 2, N 3-二叔丁基-丁烷-2,3-二胺基)錫(II)(Sn(tbba))、雙(雙(三甲基矽烷基)醯胺基)錫(II)、四(二甲基胺基)錫(IV)(Sn(NMe 2) 4)、叔丁基三(二甲基胺基)錫(Sn( t-butyl)(NMe 2) 3)、異丁基三(二甲基胺基)錫(Sn( i-Bu)(NMe 2) 3)、正丁基三(二甲基胺基)錫(Sn( n-Bu)(NMe 2) 3)、仲丁基三(二甲基胺基)錫(Sn( s-Bu)(NMe 2) 3)、異丙基三(二甲基胺基)錫(Sn( i-Pr)(NMe 2) 3)、正丙基三(二乙基胺基)錫(Sn( n-Pr)(NEt 2) 3)及類似物烷基(三)(叔丁氧基)錫化合物,例如叔丁基三(叔丁氧基)錫(Sn( t-Bu)( t-BuO) 3)。在一些實施例中,有機金屬劑為部分氟化。
在一些實施例中,圖案化結構可包括含有暴露羥基或羥基封端SnO x之表面層或膜。在不限制本技術之機制、功能或實用性下,據信羥基封端SnO x層可提供例如改善沉積在基板表面上之材料黏附及增強圖案化期間EUV(或其他輻射)吸收之益處。對EUV或其他輻射之敏感性及解析度可取決於 SnO x層的特性,例如厚度、密度及短程電荷轉移特性。在諸多實施例中,SnO x層具有0.1 nm至20 nm、或0.2 nm至10 nm、或0.5 nm至5 nm的厚度。
在一些實施例中,羥基封端SnO x層透過氣相沉積沉積於基板的表面上。在此等方法中,沉積包括使Sn-X n與含氧相對反應物反應,其中X為配位基,例如二烷基胺基(例如,二甲基胺基、甲基乙基胺基及二乙基胺基)、醇(例如,叔丁氧基及異丙氧基)、鹵素(例如 F、Cl、Br及I)、或其他有機取代基(例如乙醯丙酮、N2,N3-二叔丁基-丁烷-2,3-二胺基)。例如,Sn-X n可為SnCl 4、SnI 4或Sn(NR 2) 4(其中R為甲基或乙基)、或Sn( t-BuO) 4。在一些實施例中,存在多種類型的配位基。含氧相對反應物可選自水、過氧化氫、甲酸、醇、氧、臭氧及其組合所組成之群組。
合適的氣相沉積製程包括化學氣相沉積(CVD)、原子層沉積(ALD)、電漿增強化學氣相沉積(PECVD)或電漿增強原子層沉積(PEALD)。在一些實施例中,在沉積Sn-X n及沉積含氧相對反應物的循環製程中,該沉積為ALD。 在一些實施例中,該沉積為CVD,其透過同時流動Sn-X n與含氧相對反應物。本文可用於沉積Sn-X n層之彼等中的材料及製程描述於Nazarov等人之「Atomic Layer Deposition of Tin Dioxide Nanofilms:  A Review, 40 Rev. Adv. Mater. Sci.262 (2015)」。SnO x基板可透過CVD或ALD製程來沉積,如本文所述。
可使用表面活化操作來活化用於後續操作的表面。例如,對於SiO x表面,可使用水或氧/氫電漿在表面上產生羥基。對於基於碳或烴的表面,可使用水、氫/氧或CO 2電漿或臭氧處理來產生羧酸/或羥基。此等方法可改善阻劑特徵部對基板的黏附,否則基板可能會在用於顯影的溶劑中分層或剝離。
亦可透過在基板表面中引起粗糙度以增加可用於相互作用之表面積以及直接改善機械黏附來提高黏附。例如,首先可利用使用Ar之濺射製程或其他非反應性離子轟擊來產生粗糙表面。接著,該表面可用如上所述之所欲表面官能性(例如羥基及/或羧酸基)進行封端。在碳上,可採用組合方法,其中可使用具化學反應性之含氧電漿,例如CO 2、O 2、H 2O(或H 2與O 2之混合物)來蝕刻去除局部不均勻之膜的薄層,同時以-OH、-OOH或-COOH基團封端。此可在有偏壓或無偏壓下完成。結合上述表面改質策略,此方法可發揮表面粗糙化及基板表面化學活化之雙重目的,用於直接黏附至基於無機金屬氧化物之阻劑上,或作為中間表面改質以進一步官能化。
圖案化結構可包括任何有用的基板。例如,進入晶圓可製備為具有所欲材料之基板表面,其最上面材料為阻劑圖案被轉印至其中的層。儘管材料選擇可能會根據整合度而變化,但一般需選擇可以相對於EUV阻劑或阻膜具高選擇性(即比其快得多地)來進行蝕刻之材料。在一些實施例中,基板為硬遮罩,其用於底層半導體材料之微影蝕刻。硬遮罩可包括各種材料中之任一者,包括非晶碳(a-C)、氧化錫(例如SnO x)、氧化矽(例如SiO x,包括SiO 2)、氮氧化矽(例如SiO xN y)、碳氮氧化矽(例如SiO xC)、氮化矽(例如Si 3N 4)、氧化鈦(例如TiO 2)、氮化鈦(例如TiN)、鎢(例如W)、摻雜的C(例如W摻雜的C)、氧化鎢(例如WO x)、氧化鉿(例如HfO 2)、氧化鋯(例如ZrO 2)及氧化鋁(例如Al 2O 3)。合適的基板材料可包括諸多基於碳的膜(例如可灰化之硬遮罩(AHM)、基於矽的膜(例如SiO x、SiC x、SiO xC y、SiO xN y、SiO xC yN z、非晶Si:H、多晶Si或SiN)、或塗佈以促進圖案化製程之任何其他(一般是犧牲性的)膜。例如,基板可較佳地包括SnO x,例如SnO 2。在諸多實施例中,該層可為1 nm至100 nm厚、或2 nm至10 nm厚。
在待圖案化之基板上存有產生顯著形貌之裝置特徵部的例子中,底層之另一重要功能可為對現有形貌進行塗覆及平坦化,以便可在具有焦點圖案之所有區域的平坦表面上執行後續圖案化步驟。對於此等應用,底層(或多個底層中之至少一者)可使用旋塗技術來塗佈。當所採用之光阻材料具有顯著的無機成分時,例如其展現主要的金屬氧化物骨架,則底層可有利地為基於碳的膜,其可透過旋塗或透過基於乾式真空的沉積製程來塗佈。該層可包括具有基於碳及氫之組成的諸多可灰化遮罩(AHM)膜,並可摻雜有例如鎢、硼、氮或氟之額外元素。
在諸多實施例中,該表面(例如,基板及/或膜的表面)在其表面上包含暴露的羥基。一般而言,該表面可為包含或已被處理以產生暴露羥基表面之任何表面。可透過使用氧電漿、水電漿或臭氧之基板的表面處理而在表面上形成此等羥基。在其他實施例中,可對膜之表面進行處理,以提供暴露的羥基,其上可塗佈覆蓋層。在諸多實施例中,羥基封端金屬氧化物層具有0.1 nm至20 nm、或0.2 nm至10 nm、或0.5 nm至5 nm的厚度。
本文所揭示之實施方式描述材料在基板(例如晶圓、基板或其他工件)上之沉積。工件可具有諸多形狀、尺寸及材料。在本申請中,術語「半導體晶圓」、 「晶圓」、 「基板」、 「晶圓基板」及「部分已製成之積體電路」可互換使用。本領域普通技術人員將理解,術語「部分已製成之積體電路」可指其上積體電路製造之許多階段中任一者期間的矽晶圓。半導體裝置產業中所使用之晶圓或基板通具有200 mm或300 mm或450 mm的直徑。除非另有說明,否則本文所述之處理細節(例如,流率、功率位準等)與處理300 mm直徑基板或配置成處理300 mm直徑基板之處理腔室有關,並可適當微縮其他尺寸之基板或腔室。除了半導體晶圓之外,可用於本文揭示之實施方式中的其他工件包括諸多製品,例如印刷電路板及其類似者。該等製程及設備可用於半導體裝置、顯示器及其類似者之製造中。 微影製程
半導體處理中之薄膜的圖案化經常是半導體製造中的一個步驟。圖案化涉及微影。在黃光微影中(例如193 nm黃光微影),印刷圖案係透過從光子源發射光子至遮罩上並將圖案轉印至光敏光阻上,因而在光阻中引起化學反應,其在顯影後去除光阻的某些部分,以形成圖案。
先進技術節點(如國際半導體技術發展路線圖所定義)包括節點22 nm、16 nm或以外。例如,在16 nm節點中,鑲嵌(Damascene)結構中貫孔或線的寬度通常不大於約30 nm。先進半導體積體電路(IC)及其他裝置上特徵部的微縮正推動微影以改善解析度。
極紫外光(EUV)微影可透過移動至比黃光微影方法所能達到之更小成像源波長來擴展微影技術。約10-20 nm或11-14 nm波長(例如13.5 nm波長)之EUV光源可用於導緣(leading-edge)微影工具,亦稱為掃描儀。EUV輻射在廣泛範圍之固體及流體材料(包括石英和水蒸氣)中被強吸收,因此在真空中操作。
傳統有機化學放大阻劑(CAR)在使用於EUV微影中時有一些缺點,尤其是在EUV區域中有低吸收係數及光活化化學物種之擴散。為了克服低吸收係數,需相對厚的CAR膜,但存在圖案塌陷的風險。再者,酸擴散過程期間寬的清除半徑導致圖案化CAR膜中有相對高的線粗糙度。淬熄劑可用於減小酸擴散半徑,但有降低敏感性的代價。如此一來,現今CAR之微影效能不能達到所欲之EUV微影效能。
含有混合在有機成分中之金屬及/或金屬氧化物的直接可光圖案化EUV阻劑因其可增強EUV光子吸收並產生二次電子及/或相對於底層膜堆及裝置層呈現較大蝕刻選擇性而頗具潛力。旋塗有機金屬阻劑(例如可獲自科瓦利斯-奧勒岡州之Inpria公司)具有實質上高於CAR之吸收係數,並可顯著地更薄,且仍提供良好的抗蝕刻性。旋塗製劑一般是產生空間均質(spatially homogenous)膜。
基於金屬-有機之可光圖案化EUV阻劑的乾式沉積亦已描述於例如2019年5月9日申請且標題為「METHODS FOR MAKING EUV PATTERNABLE HARD MASKS」之吾等先前的國際申請案PCT/US19/31618中(公開為國際公開案第WO2019/217749號),其關於直接可光圖案化之基於金屬-有機之金屬氧化物膜的組成、乾式沉積及圖案化以形成EUV阻劑遮罩的揭示內容皆以引用形式併於本文。
在大多數或所有此些基於金屬-有機之阻劑中,金屬中心除外之所有其他元素有低的EUV吸收截面。將其他高EUV吸收元素引入阻劑中作為替代金屬中心或作為相對反應物之一部分,或透過用氟或碘取代有機基團上的氫,即可進一步增加PR中之EUV吸收,因而進一步減少圖案化所需之EUV劑量,而吾等先前的成果已探討此些可能性。
透過提高敏感性、減小線邊緣粗糙度及/或改善解析度(在本文中稱為「z因子」),得以預期EUV微影有機金屬阻劑效能可獲得進一步改善。本發明解決有機金屬阻膜之底層問題,並概述改善此些阻劑之敏感性的方法,其係透過將具有高EUV吸收之元素結合至膜之光活性層下方以增加EUV光阻之EUV吸收率及圖案化效能。所述之組成、結構及方法可應用於乾式沉積之有機金屬光阻策略以及CAR與旋塗金屬有機膜。
EUV微影利用EUV阻劑,其可為透過基於液體之旋塗技術所產生之基於聚合物的化學放大阻劑或透過乾式氣相沉積技術所產生之基於金屬氧化物的阻劑。此等EUV阻劑可包括本文所述之任何EUV敏感膜或材料。微影方法可包括例如透過用EUV輻射進行EUV阻劑曝光來對阻劑進行圖案化以形成光圖案,接著透過根據光圖案去除阻劑之一部分來對圖案進行顯影以形成遮罩。
亦應理解的,儘管本發明係關於以EUV微影為例之微影圖案化技術及材料,但其亦可應用至其他下一代微影技術。除了包括目前正在使用及開發之標準13.5 nm EUV波長的EUV外,與此等微影最相關之輻射源為DUV(深紫外光),其一般指使用248 nm或193 nm之準分子雷射源、X射線(其形式上包括於X射線範圍之較低能量範圍處的EUV)、以及電子束(其可涵蓋較寬能量範圍)。此等方法包括使基板(例如,可選地具有暴露羥基)與前驅物(例如,本文所述之任一者)接觸以形成金屬氧化物(例如,包含有金屬氧化物鍵結網之層,其可包括其他非金屬及非氧基團)膜以作為基板表面上之成像/PR層的彼等方法。具體方法可能取決於半導體基板及最終半導體裝置中使用之特定材料及應用。因此,本申請中所述之方法僅為可用於本技術之方法及材料的示例。在一些實施例中,微影包括使用波長介於10 nm至400 nm之間的輻射源。
直接可光圖案化之EUV阻劑可由金屬及/或金屬氧化物構成或含有金屬及/或金屬氧化物。金屬/金屬氧化物因其可增強EUV光子吸收並產生二次電子及/或相對於底層膜堆及裝置層呈現較大蝕刻選擇性而頗具潛力。微影期間所採用之額外製程於下詳細敘述。 沉積製程,包括乾式或濕式沉積
如上所討論,本發明提供用於半導體基板上之膜的方法,其可使用EUV或其他下一代微影技術來圖案化。方法包括以蒸氣產生聚合之有機金屬材料並將其沉積在基板上之彼等方法。在一些實施例中,乾式沉積可採用任何有用的前驅物(例如,本文所述之金屬鹵化物、覆蓋劑或有機金屬劑)。在其他實施例中,可使用旋塗製劑。沉積製程可包括塗佈EUV敏感材料作為阻膜或EUV敏感膜。
此等EUV敏感膜包括暴露於EUV後即發生變化之材料,例如失去鍵結至金屬原子之大體積側基配位基。若可進一步處理曝光區以提供緻密之富含M-O-M的材料,則EUV引起之裂解可提供透過使用負型顯影劑得以保留之中間體。
透過EUV圖案化,產生相對於未曝光區而有物理或化學性質變化之膜的區域。此些性質可在後續處理中加以利用,例如溶解未曝光或曝光區,或在曝光或未曝光區上選擇性地沉積材料。在一些實施例中,在進行此等後續處理之條件下,未曝光膜具有疏水性表面,而曝光膜具有親水性表面(已知悉曝光與未曝光區之親水性是彼此相對的)。例如,可透過利用膜之化學組成、密度及交聯上的差異來進行材料的去除。可透過濕式處理或乾式處理去除,如本文進一步所述。
形成在基板表面上之EUV可圖案化膜的厚度可根據表面特性、所使用之材料及處理條件而變化。在諸多實施例中,膜厚度可為約0.5 nm至約100 nm之範圍。較佳為,膜具有得以在EUV圖案化之條件下吸收大部分EUV光的足夠厚度。例如,阻膜之總吸收可為70%或更少(例如60%或更少、50%或更少、40%或更少、30%或更少、20%或更少、10%或更少、或5%或更少),使得阻膜底部之阻劑材料充分曝光。在一些實施例中,膜厚度為10 nm至20 nm。在不限制本發明之機制、功能或實用性下,據信本發明之製程對基板之表面黏附性質的限制較少,因此可應用至多種基板。再者,如上所討論,所沉積之膜可緊密地符合表面特徵部,從而提供在基板(例如具有底層特徵部之基板)上形成遮罩的優點,而無需「填充」或以其他方式使此等特徵部平坦化。
膜可由以任何有用方式沉積之金屬氧化物層所構成。此等金屬氧化物層可透過使用本文所述之任何EUV敏感材料來沉積或塗佈,例如前驅物(如,含金屬前驅物、金屬鹵化物、覆蓋劑或有機金屬劑)與相對反應物組合。在示例性製程中,聚合之有機金屬材料係在基板表面上以氣相或原位形成,以提供金屬氧化物層。金屬氧化物層可用作膜、黏附層或覆蓋層。
可選地,金屬氧化物層可包括羥基封端金屬氧化物層,其可透過使用覆蓋劑(如本文所述之任一者)與含氧相對反應物來沉積。此等羥基封端金屬氧化物層可用作例如兩其他層之間的黏附層,例如基板與膜之間及/或光阻層與覆蓋層之間。
示例性沉積技術(例如,用於膜)包括本文所述之任一者,例如ALD(例如,熱ALD及電漿增強ALD)、旋塗沉積、包括PVD共濺鍍之PVD、CVD(例如,PE-CVD或LP-CVD)、濺鍍沉積、包括電子束共蒸鍍之電子束沉積等,或其組合,例如具有CVD組分之ALD,例如前驅物與相對反應物在時間或空間上分開之不連續類ALD製程。
沉積作為可應用至本發明EUV光阻膜之前驅物及方法的進一步描述可見於國際申請案第PCT/US19/31618號,其公開為國際公開案第WO2019/217749號,於2019年5月9日申請,標題為「METHODS FOR MAKING EUV PATTERNABLE HARD MASKS」。除了前驅物及相對反應物之外,薄膜還可包括可選的材料,以對膜的化學或物理性質進行改質,例如以改質膜對EUV的敏感性或增強抗蝕刻性。可引入此等可選材料,例如透過在沉積於基板上之前的氣相形成期間、沉積於基板上期間、及/或膜沉積之後進行摻雜。在一些實施例中,可引入溫和的遠端H 2電漿,以例如用Sn-H取代一些Sn-L鍵,其可增強阻劑在EUV下的反應性。 在其他實施例中,可引入CO 2,以用Sn-CO 3鍵取代一些Sn-O鍵,其可對乾式或濕式顯影可更具抗性。
一般而言,方法可包括將前驅物(例如,含金屬前驅物,例如有機金屬劑)蒸氣流與可選之相對反應物蒸氣流混合,以形成聚合之有機金屬材料,並將有機金屬材料沉積至半導體基板之表面上。在一些實施例中,將前驅物與可選之相對反應物混合可形成聚合之有機金屬材料。如本領域具有通常技藝者將理解,製程之混合與沉積方面可在實質上連續的製程中同時進行。
在示例性連續CVD製程中,將前驅物與可選相對反應物源之兩個或更多氣流(於分開入口路徑中)引至CVD設備之沉積腔室中,在此其於氣相中混合並反應,以在基板上形成團聚之聚合物材料(例如,透過金屬-氧-金屬鍵形成)或膜。可例如使用分開噴射入口或雙氣室噴淋頭來引入氣流。該設備配置成使前驅物與可選相對反應物流於腔室中混合,從而允許前驅物與可選相對反應物反應,以形成聚合之有機金屬材料或膜(例如,金屬氧化物塗層或團聚之聚合物材料,例如透過金屬-氧-金屬鍵形成)。
為了沉積金屬氧化物,CVD製程一般是在減壓下進行,例如從0.1 托耳(Torr)至10托耳(Torr)。在一些實施例中,該製程係於1 Torr至2 Torr之壓力下進行。基板之溫度較佳是低於反應物流之溫度。例如,基板溫度可為0℃至250℃,或環境溫度(例如23℃)至150℃。
為了沉積團聚之聚合物材料,CVD製程一般在減壓下進行,例如從10m Torr至10 Torr。在一些實施例中,該製程係於0.5至2 Torr下進行。基板之溫度較佳是等於或低於反應物流之溫度。例如,基板溫度可為0℃至250℃,或環境溫度(例如23℃)至150℃。在諸多製程中,聚合之有機金屬材料的沉積係以與表面溫度成反比的速率發生。在不限制本技術之機制、功能或實用性下,據信來自此等氣相反應之產物因金屬原子透過相對反應物交聯而變成更大分子量,並接著凝結或以其他方式沉積在基板上。在諸多實施例中,大體積烷基之立體障礙進一步阻止形成緻密堆積網,並產生具較大孔隙率之低密度膜。
使用乾式沉積方法,膜的組成可在其生長時進行調變。在CVD製程中,此可透過在沉積期間改變第一前驅物與第二前驅物之相對流量來完成。沉積可於介於30℃與200℃之間且壓力介於0.01 Torr至100 Torr之間發生,但更一般係介於約0.1 Torr與10 Torr之間。
膜(如,例如透過金屬-氧-金屬鍵形成之金屬氧化物塗層或團聚之聚合材料)亦可透過ALD製程來沉積。例如,在分開時間引入前驅物及可選相對反應物,其代表ALD循環。前驅物在表面上反應,從而在每一循環一次形成多達一層材料。此可允許很好地控制整個表面上膜厚度的均勻性。ALD製程一般是在減壓下進行,例如從0.1 Torr至10 Torr。在一些實施例中,該製程於1 Torr至2 Torr進行。基板溫度可為0℃至250℃,或環境溫度(例如23℃)至150℃。該製程可為熱製程,或較佳為電漿輔助沉積。
本文中任一沉積方法均可被修改成得以允許使用兩者或更多不同前驅物。在一實施例中,前驅物可包括相同金屬但不同配位基。在另一實施例中,前驅物可包括不同金屬基團。在一非限定實例中,諸多揮發性前驅物之交替流動可提供混合的含金屬層,例如使用具有第一金屬(例如Sn)之金屬烷氧化合物前驅物與具有不同第二金屬(例如Te)之基於矽烷基的前驅物。
本文之製程可用於實現表面改質。在一些反覆法中,前驅物之蒸氣可通過晶圓。可加熱晶圓以提供熱能使反應進行。在一些反覆法中,加熱可介於約50℃至約250℃之間。在一些例子中,可使用前驅物的脈衝,透過泵及/或沖洗步驟將其隔開。例如,可在第二前驅物脈衝之脈衝之間脈衝第一前驅物,從而導致ALD或類-ALD生長。在其他例子中,兩者前驅物可同時流動。可用於表面改質之元素的示例包括I、F、Sn、Bi、Sb、Te、及此些化合物之氧化物或合金。
本文之製程可用於透過ALD或CVD沉積薄金屬氧化物或金屬。示例包括氧化錫(SnO x)、氧化鉍(BiO x)及Te。沉積之後,可用式M aR bL c之經烷基取代的前驅物覆蓋膜,如本文其他地方所述。可使用相對反應物以更佳地去除配位基,且可重複多個循環以確保基板表面完全飽和。該表面接著可準備用於沉積EUV敏感膜。一可能的方法是產生SnO x薄膜。可能的化學方法包括透過使四(二甲基胺基)錫與相對反應物(例如水或O 2電漿)循環來生長SnO 2。生長後,可使用覆蓋劑。例如,可使異丙基三(二甲基胺基)錫蒸氣流過該表面。
可在任何有用的表面上採用沉積製程。如本文所提,「表面」為其上將沉積本技術之膜或在處理期間將暴露於EUV之表面。此等表面可存在於基板上(例如,膜將沉積於其上)或膜上(例如,覆蓋層可沉積於其上)。
可採用任何有用的基板,包括適合微影處理(特別是用於生產積體電路及其他半導體裝置)之任何材料構造。在一些實施例中,基板為矽晶圓。基板可為其上已形成具有不規則表面形貌之特徵部(「底層形貌特徵部」)的矽晶圓。
此等底層形貌特徵部可包括在進行本技術方法之前已在處理期間去除材料(例如,透過蝕刻)的區域或者已添加材料(例如,透過沉積)的區域。此等先前處理可包括本技術之方法或反覆製程(iterative process)中之其他處理方法,藉此得以在基板上形成兩層或更多層特徵部。在不限制本技術之機制、功能或實用性下,據信在一些實施例中,本技術之方法提供相對於本領域已知方法(其使用旋模法將黃光微影膜沉積於基板表面上)之優點。此等優點可源自本技術之膜對底層特徵部的一致性,而無需「填充」或以其他方式使此等特徵部平坦化,以及在廣泛材料表面上沉積膜的能力。
在一些實施例中,基板為硬遮罩,其用於底層半導體材料之微影蝕刻。硬遮罩可包括多種材料中之任一者,包括非晶碳(a-C)、氧化錫(例如SnO x)、氧化矽(例如SiO 2)、氮氧化矽(例如SiO xN y)、碳氧化矽(例如SiO xC)、氮化矽(例如,Si 3N 4)、氧化鈦(例如,TiO 2)、氮化鈦(例如,TiN)、鎢(例如,W)、摻雜的碳(例如,W摻雜的C)、氧化鎢(例如,WO x)、氧化鉿(例如HfO 2)、氧化鋯(例如ZrO 2)及氧化鋁(例如Al 2O 3)。例如,基板可較佳地包括SnO x,例如SnO 2。在諸多實施例中,該層可為1 nm至100 nm厚,或2 nm至10 nm厚。
在一些非限定實施例中,基板包括底層。底層可沉積於硬遮罩或其他層上且一般在阻膜(或成像層)下方,如本文所述。底層可用於改善PR之敏感性、增加EUV吸收率、及/或提高PR之圖案化效能。在待圖案化之基板上存有產生顯著形貌之裝置特徵部的例子中,底層之另一重要功能可為對現有形貌進行塗覆及平坦化,以便可在圖案之所有區域皆對焦的情況下於平坦表面上執行後續圖案化步驟。對於此等應用,底層(或多個底層中之至少一者)可使用旋塗技術來塗佈。當所採用之光阻材料具有顯著的無機成分時,例如其展現主要的金屬氧化物骨架,則底層可有利地為基於碳的膜,其可透過旋塗或透過基於乾式真空的沉積製程來塗佈。該層可包括具有基於碳及氫之組成的諸多可灰化硬遮罩(AHM)膜,並可摻雜有例如鎢、硼、氮或氟之額外元素。
在諸多實施例中,該表面(例如,基板及/或膜的表面)在其表面上包含暴露的羥基。一般而言,該表面可為包含或已被處理以產生暴露羥基表面之任何表面。可透過使用氧電漿、水電漿或臭氧之基板的表面處理而在表面上形成此等羥基。在其他實施例中,可對膜之表面進行處理,以提供暴露的羥基,其上可塗佈覆蓋層。在諸多實施例中,羥基封端金屬氧化物層具有0.1 nm至20 nm、或0.2 nm至10 nm、或0.5 nm至5 nm的厚度。 EUV曝光製程
膜之EUV曝光可提供具有包括金屬原子(M)之活化反應中心(其透過EUV介導之裂解事件而產生)的EUV曝光區。此等反應中心可包括懸空金屬鍵、M-H基團、裂解的M-配位基基團、二聚化之M-M鍵或M-O-M橋。
EUV曝光在真空環境中可具有約10 nm至約20 nm的波長,例如10 nm至15 nm的波長,如13.5 nm。尤其,圖案化可提供EUV曝光區及EUV未曝光區,以形成圖案。
本技術可包括使用EUV以及DUV或電子束進行圖案化。在此等圖案化中,輻射被聚焦於阻膜之一或更多區上。曝光通常係執行為使得阻膜包括一或更多未暴露於輻射之區域。所得之阻膜可包括複數曝光及未曝光區,從而建立與電晶體或半導體裝置之其他特徵部圖案之建立一致的圖案,其在後續基板之處理中透過從基板添加或移除材料而形成。本文中有用之EUV、DUV及電子束輻射方法及裝備包括本領域已知之方法及裝備。
在一些EUV微影技術中,有機硬遮罩(例如PECVD非晶氫化碳之可灰化硬遮罩)係使用習知光阻製程進行圖案化。在光阻曝光期間,EUV輻射在阻劑及下方基板中被吸收,從而產生高能量的光電子(例如約100 eV)而後是側向擴散數奈米之一連串低能量的二次電子(例如約10 eV)。此些電子增加阻劑中化學反應的程度,其增強其EUV劑量敏感性。然而,本質上為隨機之二次電子圖案被疊加於光學圖像上。此不希望有的二次電子曝光在圖案化阻劑中導致解析度下降、顯著的線邊緣粗糙度(LER)以及線寬變異。此些缺陷在隨後圖案轉移蝕刻期間複製到待圖案化的材料中。
將膜形成(沉積/凝結)與光學微影結合因而大幅改善EUV微影(EUVL)效能(例如,降低線邊緣粗糙度)之真空整合金屬硬遮罩製程及相關真空整合硬體揭示於本文中。
在本文所述之諸多實施例中,可使用沉積(例如,凝結)製程(例如,在如Lam Vector®之PECVD工具中執行的ALD或MOCVD),以形成含金屬膜之薄膜,例如光敏金屬鹽或含金屬之有機化合物(有機金屬化合物),其在EUV中(例如,在10 nm至20 nm等級之波長處)有強吸收,例如在EUVL光源之波長處(例如,13.5 nm = 91.8 eV)。此膜在EUV曝光後即發生光分解,並形成金屬遮罩,該金屬遮罩在後續蝕刻期間(例如,在導體蝕刻工具中,例如Lam 2300® Kiyo®)中作為圖案轉移層。
在沉積之後,EUV可圖案化薄膜透過暴露於EUV光束(視情況地在相對高真空下)而被圖案化。為了EUV曝光,含金屬膜可接著在與微影平台整合之腔室中(例如,晶圓步進機,如荷蘭-費爾德霍溫(Veldhoven)的ASML供應之TWINSCAN NXE: 3300B®平台)進行沉積,並在真空下轉移以不在曝光前反應。考慮到環境氣體(例如H 2O、O 2等)對入射光子之強烈光吸收,且EUVL亦需大幅下降的壓力,因而促使與微影工具整合。在其他實施例中,光敏金屬膜沉積與EUV曝光可在同一腔室中進行。 顯影製程,包括濕式或乾式顯影
EUV曝光或未曝光區域以及吸收劑層可透過任何有用之顯影製程去除。在一實施例中,EUV曝光區可具有活化的反應中心,例如懸空金屬鍵、M-H基團或二聚化M-M鍵。此等反應中心可在EUV曝光區內進一步反應形成緻密化區。在一些實施例中,保留EUV曝光區,並透過使用乾式或濕式顯影去除EUV未曝光區。
在特定實施例中,可透過採用一或更多乾式顯影製程(例如,鹵化物化學)來選擇性地去除M-H基團。在其他實施例中,可透過採用顯影製程(例如,使用熱乙醇及水,以提供可溶M(OH) n基團)來選擇性地去除M-M鍵。在另其他實施例中,透過使用顯影(例如,透過使用正型顯影劑)去除EUV曝光區。在一些實施例中,透過使用乾式顯影去除EUV未曝光區。
如本文所述,乾式顯影製程可用於處理膜(例如,其中可在濕式顯影之前、濕式顯影之後、濕式處理之前、或乾式處理之前僅使用乾式顯影)。  非限定乾式顯影製程可包括使用鹵化物,例如基於HCl或HBr之製程。儘管本發明不限於任何特定理論或操作機制,但該方法當理解為使用蒸氣或電漿,利用乾式沉積之EUV光阻膜與清潔化學物(例如HCl、HBr及BCl 3)之化學反應性,以形成揮發性產物。此等揮發性產物可利用任何方式(例如透過以水性酸處理,如本文所述)去除。乾式沉積之EUV光阻膜可以高達1 nm/s之蝕刻速率來去除。透過此些化學方法所進行之乾式沉積EUV光阻膜的快速去除可用於腔室清潔、背側清潔、斜邊清潔及PR顯影。雖然可使用諸多溫度下的蒸氣(例如,溫度高於-10°C之HCl或HBr、或溫度高於80°C之BCl 3,作示例)去除膜,但亦可使用電漿以進一步加快或增強反應性。
電漿製程包括採用本領域已知之裝備及技術的變壓耦合式電漿(TCP)、感應耦合式電漿(ICP)或電容耦合式電漿(CCP)。例如,可在>0.5 mTorr(例如,1 mTorr至100 mTorr)之壓力下,在<1000W(例如,<500W)之功率位準下進行製程。溫度可為30℃至300℃(例如30℃至120℃),流率為100至1000每分鐘標準立方公分(sccm),例如約500 sccm,持續1至3000秒(例如10秒至600秒)。
在鹵化物反應物流為氫氣及鹵化物氣體下,使用遠端電漿/ UV輻射,以從H 2與Cl 2及/或Br 2產生自由基,且氫及鹵化物自由基流至反應腔室,以接觸晶圓之基板層上的圖案化EUV光阻。合適的電漿功率可於100 W至500 W範圍內,無偏壓。應當理解,儘管此些條件適用於一些處理反應器,例如可獲自加州費里蒙科林研發公司(Lam Research Corporation)之Kiyo蝕刻工具,但根據處理反應器之能力可使用廣泛範圍之製程條件。
在熱顯影製程中,基板係在真空腔室(例如爐)中暴露於乾式顯影化學物(例如路易斯酸)。合適之腔室可包括真空管線、乾式顯影鹵化氫化學氣體(例如,HBr、HCl)管線及用於溫度控制之加熱器。在一些實施例中,腔室內部可塗有抗腐蝕膜,例如有機聚合物或無機塗層。此等塗層為聚四氟乙烯((PTFE),例如,Teflon TM)。此等材料可用於本發明之熱製程中而無透過電漿暴露去除的風險。
乾式顯影之製程條件可為100 sccm至500 sccm反應物流量(例如500 sccm HBr或HCl)、-10°C至120°C(例如-10°C) 溫度、1 mTorr至500 mTorr (例如300 mTorr)壓力、無電漿下且持續約10秒至1 分鐘時間,其取決於光阻膜及其組成與性質。
在諸多實施例中,本發明之方法結合膜沉積、透過氣相沉積之形成、(EUV)微影光圖案化及乾式顯影之所有乾式步驟。在此等製程中,在EUV掃描儀中進行光圖案化之後,基板可直接進入乾式顯影/蝕刻腔室。視情況地,在乾式顯影之後進行使用酸性蒸氣之乾式處理。在其他實施例中,在乾式顯影之後進行使用酸性溶液之濕式處理。
在其他實施例中,本發明之方法結合膜沉積、透過氣相沉積之形成及(EUV)微影光圖案化之所有乾式步驟,但其後接濕式顯影。視情況地,在濕式顯影之後進行用酸性蒸氣的乾式處理。在其他實施例中,在濕式顯影之後進行用酸性溶液的濕式處理。
在諸多實施例中,EUV光阻(含有一些金屬、金屬氧化物及有機成分的含量)可透過熱、電漿(例如,可能包括光活化電漿,例如燈加熱或UV燈加熱)、或熱與電漿方法之混合並使包含有式R xZ y化合物之乾式顯影氣體流動(其中R = B、Al、Si、C、S、SO,x > 0,且Z = Cl、H、Br、F 、CH 4及y> 0)來進行乾式顯影。乾式顯影可產生正型,其中R xZ y物種選擇性去除曝光材料,留下未曝光對應部分作為遮罩。在一些實施例中,基於有機氧化錫之光阻膜的曝光部分係根據本發明透過乾式顯影去除。正型乾式顯影可透過EUV曝光區之選擇性乾式顯影(去除)來達成,EUV曝光區係暴露於包含有鹵化氫或氫與鹵化物(包括HCl及/或HBr)之流料而未撞擊電漿、或H 2與Cl 2及/或Br 2之流料且具遠端電漿或電漿產生之UV輻射以產生自由基。
亦可採用濕式顯影方法。在特定實施例中,此等濕式顯影方法係用於去除EUV曝光區,以提供正型阻劑或負型阻劑。示例性非限定濕式顯影可包括使用顯影劑(例如水性酸性顯影劑、非水性酸性顯影劑、或於有機溶液中酸性顯影劑),其包括鹵化物(例如HF、HCl、或HBr)、有機酸 (例如,甲酸、醋酸、草酸或檸檬酸)、或有機鹵化物化合物(例如有機氟化合物,包括三氟醋酸;有機氯化合物;有機溴化合物;或有機碘化合物);或使用有機顯影劑,例如醇(例如異丙醇(IPA))、酮(如2-庚酮、環己酮或丙酮)、醚,例如乙二醇醚(例如丙二醇甲醚(PGME)或丙二醇甲醚醋酸酯(PGMEA))、或酯(例如γ-丁內酯或3-乙氧基丙酸乙酯(EEP))、以及其組合。其他酸(例如水性酸)描述於本文。非限定組合包括水與酸性顯影劑。
在一些實施例中,濕式顯影包括中性顯影劑(例如,pH中性顯影劑,例如水)或含過氧化物的顯影劑(例如,包括過氧化氫、H 2O 2)。
其他顯影方法可包括使用水性顯影劑、非水性顯影劑、鹼性顯影劑(例如水性鹼性顯影劑或非水性鹼性顯影劑),例如包括銨,例如氫氧化銨([NH 4] +[OH] );基於銨的離子液體,例如四甲基氫氧化銨(TMAH)、四乙基氫氧化銨(TEAH)、四丙基氫氧化銨(TPAH)、四丁基氫氧化銨(TBAH)或其他季烷基氫氧化銨;有機胺,例如一級、二級及三級有機胺(例如二甲基胺、二乙基胺、乙二胺、三乙烯四胺);或烷醇胺,例如單乙醇胺、二乙醇胺、三乙醇胺或二甘醇胺之彼等顯影劑。在其他實施例中,鹼性顯影劑可包括含氮鹼,例如具有式R N1NH 2、R N1R N2NH、R N1R N2R N3N、或R N1R N2R N3R N4N +X N1−之化合物,其中每一R N1、R N2、R N3及R N4獨立為有機取代基(例如,視情況取代之烷基、視情況取代之羥烷基、或本文所述之任一者)、或可連接在一起之兩個或更多有機取代基,且X N1-可包含OH 、F 、Cl 、Br 、I 或其他本領域已知之季銨陽離子物種。此些鹼亦可包含本領域已知之雜環氮化合物,其中一些描述於本文中。非限定組合包括水與鹼性顯影劑。
另其他顯影方法可包括使用去保護溶劑。非限定去保護溶劑包括有機酸(例如,本文之任一者,例如草酸)或包括膽鹼([N(CH 3) 3CH 2CH 2OH] +),例如氫氧化膽鹼([N(CH 3) 3CH 2CH 2OH] +[OH] )。
顯影劑可以任何有用的濃度來使用。在一實施例中,顯影劑溶液包含約0.5重量%至約30重量%的顯影劑於溶劑(例如,水性溶劑、非水性溶劑、有機溶劑或其組合)中 ,包括約1重量%至約20重量%以及1.1重量%至10重量%的濃度。
顯影劑可與一或更多添加劑一起使用,例如氧化劑、界面活性劑、鹽及螯合劑。添加劑可視情況地以小於10重量%或小於5重量%的量存在於顯影溶液中。非限定氧化劑包括過氧化物或過酸,例如過氧化氫、過氧化苯甲醯、過氧化脲或其混合物。
本文之任何顯影劑可包括一或更多界面活性劑。界面活性劑可包括正電荷、負電荷或中性電荷並可選自氟化或非氟化界面活性劑所組成之群組。 非限定界面活性劑包括陰離子、陽離子及非離子界面活性劑,例如乙氧基烷基酚(例如,Triton TMX-100(聚乙二醇叔辛基苯基醚)、乙氧基辛基酚、或乙氧基壬基酚)、乙氧基化醇(例如 BRIJ® 56 (C 16H 33(OCH 2CH 2) 10OH)、BRIJ® 58 (C 16H 33(OCH 2CH 2) 20OH)或乙氧基化脂肪醇)、乙氧基化脂肪酸、泊洛沙姆(poloxamer)、甘油脂肪酸酯、炔二醇、乙氧基胺、苷(glycoside)、葡糖醯胺、聚乙二醇或聚(乙二醇-共-丙二醇)、全氟烷基銨(例如全氟烷基磺酸銨或羧酸銨)及其組合。
非限定鹽包括選自由銨、d區金屬陽離子(鉿、鋯、鑭或其類似者)、f區金屬陽離子(鈰、鎦或其類似者)、 p區金屬陽離子(鋁、錫或其類似者)、鹼金屬(鋰、鈉、鉀或其類似者)及其組合所組成之群組的陽離子;以及選自由氟根、氯根、溴根、碘根、硝酸根、硫酸根、磷酸根、矽酸根、硼酸根、過氧化物、丁氧根、甲酸根、草酸根、乙二胺-四乙酸(EDTA)、鎢酸根、鉬酸根或其類似者及其組合所組成之群組的陰離子。非限定螯合劑可包括多胺、醇胺、胺基酸、羧酸或其組合。
在特定實施例中,正型顯影劑為於水性溶劑中之酸性顯影劑;於有機溶劑中之酸性顯影劑;水性鹼性顯影劑(例如,包括NH 4OH、TMAH、TEAH、TPAH或TBAH,其可有或不具有H 2O 2);水性酸性顯影劑(例如,包括HCl或HF);有機顯影劑;或去保護溶劑(例如,包括草酸、膽鹼或氫氧化膽鹼)。顯影劑可包括一溶劑或溶劑組合。
濕式顯影可包括任何有用的製程,包括浸漬顯影、淺灘式顯影(puddle development)及噴霧顯影。在任何此些過製程之後或期間,可旋轉基板以去除膜之溶解部分,並同時乾燥膜。
顯影製程可包括濕式與乾式顯影製程。此等製程可包括初始濕式顯影後接乾式顯影,反之亦然。顯影亦可以循環進行,其中使用複數濕式顯影製程、使用複數乾式顯影製程、或採用複數濕式與乾式顯影製程。 其他製程
本文之方法可包括任何有用之製程,如下所述。
對於背側及斜邊清潔製程,可將蒸氣及/或電漿限制於晶圓之特定區域,以確保僅去除背側及斜邊,而晶圓之前側上未有任何膜降解。去除之EUV光阻膜一般係由Sn、O及C所構成,但相同的清潔方法可擴展至其他金屬氧化物阻劑及材料之膜上。此外,此方法亦可用於膜剝離及PR重修。
用於乾式斜邊及背側清潔之合適製程條件可為100 sccm至500 sccm反應物流量(例如500 sccm HCl、HBr、或H 2與Cl 2或Br 2、BCl 3或H 2)、-10°C至120°C(例如20°C) 溫度、20 mTorr至500 mTorr(例如300 mTorr)壓力、高頻下(例如13.56 MHz)之0至500 W電漿功率、持續約10秒至20秒時間,其取決於光阻膜及組成與性質。應當理解,儘管此些條件適用於一些處理反應器,例如可獲自加州費里蒙科林研發公司(Lam Research Corporation)之Kiyo蝕刻工具,但根據處理反應器之能力可使用廣泛範圍之製程條件。
黃光微影製程通常涉及一或更多烘烤步驟,以促進在光阻之曝光與未曝光區之間產生化學對比所需之化學反應。對於大量製造(HVM),此等烘烤步驟通常在顯影機上執行,其中晶圓在環境空氣或一些例子中在N 2流下於預設溫度之熱板上烘烤。在此些烘烤步驟期間,更仔細地控制烘烤環境以及在環境中引入額外反應性氣體成分可助於進一步降低劑量要求及/或改善圖案真確度(fidelity)。
根據本發明之諸多態樣,對基於金屬及/或金屬氧化物之光阻進行一或更多後處理(在沉積之後:例如塗佈後烘烤(PAB)或另一塗佈後處理、及/或在曝光之後:例如曝光後烘烤(PEB),其可省略;或另一曝光後處理、及/或在顯影之後 : 例如顯影後烘烤(PDB)或另一顯影後處理)能夠提高曝光與未曝光光阻之間的材料性質差異,因而減小劑量比上尺寸(DtS)、改善PR輪廓、並改善後續乾式顯影後之線邊緣及寬度粗糙度(LER/LWR)。此等處理可涉及控制溫度、氣體環境及水分之熱處理,因而改善後續處理中之乾式顯影效能。在一些實例中,可使用遠端電漿。另在某些實例中,不執行PAB及/或PEB及/或PDB。
在塗佈後處理(例如,PAB)之例子中,可在沉積後且曝光前使用控制溫度(例如,利用加熱或冷卻)、氣體環境(例如,空氣、H 2O、CO 2、CO、O 2、O 3、CH 4、CH 3OH、N 2、H 2、NH 3、N 2O、NO、Ar、He或其混合物)或真空下、及水分之熱製程,以改變未曝光金屬及/或金屬氧化物光阻的組成。該改變可增強材料之EUV敏感性,並因此可在曝光且乾式顯影後達到較低劑量比上尺寸及邊緣粗糙度。
在曝光後處理(例如,PEB)之例子中,可利用控制溫度、氣體環境(例如,空氣、H 2O、CO 2、CO、O 2、O 3、CH 4、CH 3OH、N 2、H 2、NH 3、N 2O、NO、Ar、He或其混合物)或真空下、及水分之熱製程,以改變未曝光及曝光光阻兩者之組成。該改變可提高未曝光與曝光光阻之間的組成/材料性質差異以及未曝光與曝光光阻之間乾式顯影蝕刻氣體的蝕刻速率差異。因而可達到更高的蝕刻選擇性。由於改善選擇性,故可獲得具有改善之表面粗糙度及/或較少光阻殘留/浮渣的方形PR輪廓。在特定實施例中,PEB可在空氣中以及可選地存在水分及CO 2下進行。 在其他實施例中,可省略PEB。
在顯影後處理(例如,顯影後烘烤或PDB)之例子中,可利用控制溫度、氣體環境(例如,空氣、H 2O、CO 2、CO、O 2、O 3、CH 4、CH 3OH、N 2、H 2、NH 3、N 2O、NO、Ar、He或其混合物)或真空下(例如有UV)、及水分之熱製程,以改變未曝光光阻之組成。在特定實施例中,該條件亦包括使用電漿(例如,包括O 2、O 3、Ar、He或其混合物)。該改變可增強材料的硬度,若在蝕刻底層基板時將使用該膜作為阻劑遮罩,則其可能是有利的。
在此些例子中,於可替代實施方式中,熱製程可被遠端電漿製程代替來增加反應性物種,以降低反應之能障並提高生產率。遠端電漿可產生更多反應性自由基,因而降低用於處理之反應溫度/時間,從而提高生產率。
據此,可應用一或更多製程來改質光阻本身以增加乾式或濕式顯影選擇性。此熱或自由基改質可增加未曝光與曝光材料之間的對比,因而增加後續顯影步驟的選擇性。未曝光與曝光材料之材料性質之間所得的差異可透過調整製程條件(包括溫度、氣體流量、水分、壓力及/或RF功率)來調變。
對於乾式顯影或濕式顯影阻膜,可變化PAB、PEB或PDB之處理溫度以調變處理製程並將其最佳化,例如PAB約90°C至250°C以及PEB及/或PDB約170°C至250°C。在特定實施例中,省略PEB。
在特定實施例中,PAB、PEB及/或PDB處理可在氣體環境流量為100 sccm至10000 sccm範圍內、水分含量為幾個百分比至高達100%(例如20%-50%)、壓力介於大氣壓與真空之間、且持續時間約30秒至15分鐘(例如約1至2分鐘)下執行。在特定實施例中,省略PEB。
此些發現可用於調變處理條件,以定製用於特定材料及情況之處理或對其最佳化。例如,對於給定之EUV劑量,在空氣中約20%濕度下進行220°C至250°C PEB熱處理約2分鐘所能達到之選擇性,可類似於高約30%之EUV劑量而無此等熱處理之選擇性。取決於半導體處理操作之選擇性要求/限制,可使用例如本文所述之熱處理以降低所需之EUV劑量。或者,若需更高之選擇性且可容許更高的劑量,則可獲得更高得多的選擇性,其曝光比上未曝光高達100倍。
另其他步驟可包括原位度量,其中物理及結構特性(例如,臨界尺寸、膜厚度等)可在黃光微影製程期間評估。實施原位度量之模組包括,例如散射儀、橢圓偏光儀、下游質譜、及/或電漿增強下游光放射光譜模組。 設備
本發明亦包括配置成執行本文所述之任何方法之任何設備。在一實施例中,用於沉積膜之設備包括沉積模組,該沉積模組包括用於沉積一或更多前驅物以提供膜之腔室;圖案化模組,其包括具有小於30 nm波長輻射源之EUV黃光微影工具;以及顯影模組,其包括用於對膜(例如用酸或本文所述之任何顯影化學物質)進行顯影之腔室。可在顯影模組內提供顯影後處理(例如用酸),其於另一製程腔室或於分開的處理模組中。
該設備可進一步包括具有用於此等模組之指令的控制器。在一實施例中,控制器包括一或更多記憶體裝置、一或更多處理器、以及編有用於執行膜沉積指令之系統控制軟體。此等包括在沉積模組中用於沉積一或更多前驅物以提供膜以及視情況地執行膜之PAB或塗佈後處理;在圖案化模組中,直接透過EUV曝光以小於30nm解析度對層進行圖案化,因而在膜內形成圖案;以及在顯影模組中,對該膜顯影。在特定實施例中,顯影模組提供用於去除EUV曝光或EUV未曝光區,因而在膜內提供圖案。 顯影模組可包括在酸存在下對圖案顯影。
在另一實施例中,該設備進一步包括顯影後處理模組,其可提供用於執行膜之顯影後處理的製程腔室。本文之任何顯影後製程可在顯影後處理模組中執行,其中製程可包括執行圖案之酸處理、顯影後烘烤(PDB)操作、基於電漿之蝕刻製程及/或本文所述之其他操作。
6繪出具有維持低壓環境之製程腔室主體602的製程站600實施例示意圖,其適於實施本文所述之所述乾式沉積及顯影實施例。複數製程站900可包含於共同低壓製程工具環境中。例如, 7繪出多站處理工具700之實施例,例如可獲自加州費里蒙科林研發公司(Lam Research Corporation)之VECTOR®處理工具。在一些實施例中,製程站600之一或更多硬體參數(包括以下詳細討論之彼等參數)可由一或更多電腦控制器650以程式化方式進行調整。
製程站可配置成叢集工具中的模組。 9繪出具有適於實施本文所述實施例之真空整合沉積與圖案化模組的半導體製程叢集工具架構。此等叢集製程工具架構可包括阻劑沉積、阻劑曝光(EUV掃描儀)、阻劑乾式顯影及/或蝕刻模組,如上及進一步如下參考 6 810所述。
在一些實施例中,某些處理功能可在同一模組中連續執行,例如乾式顯影及蝕刻。且本發明之實施例涉及方法與設備用於:在EUV掃描儀中進行光圖案化之後將晶圓(包括設置於待蝕刻之層或層堆上的光圖案化EUV阻劑薄膜層)接收到乾式顯影/蝕刻腔室;對光圖案化EUV阻劑薄膜層進行乾式顯影;以及接著使用圖案化EUV阻劑作為遮罩來蝕刻下伏層,如本文所述。
在其他實施例中,採用全部乾式製程。本發明之實施例可涉及用於在EUV掃描儀中進行光圖案化之後將晶圓(包括設置於待蝕刻層或層堆上之光圖案化EUV阻劑薄膜層)接收至乾式顯影/蝕刻腔室;對光圖案化EUV阻劑薄膜層進行乾式顯影;接著在包含酸之乾式處理製程中處理圖案化EUV阻劑之方法及設備。在某些實例中,乾式顯影包括酸,且乾式處理製程可視情況省略。在其他實例中,乾式顯影可包括或可不包括酸,且乾式處理係在酸的存在下進行。
在其他實施例中,可採用不同模組,特別是若乾式製程之後是濕式製程,或反之亦然。本發明之非限定實施例涉及用於接收晶圓;在乾式沉積製程(例如,使用蒸氣)或濕式沉積製程(例如,使用液體)中沉積EUV阻劑薄膜層,隨後在EUV掃描儀中進行光圖案化;接著對光圖案化EUV阻劑薄膜層進行濕式顯影或乾式顯影(例如,視情況地使用酸)之方法及設備,如本文所述。本發明之其他非限定實施例涉及用於接收晶圓;在乾式沉積製程或濕式沉積製程中沉積EUV阻劑薄膜層,隨後在EUV掃描儀中進行光圖案化;對光圖案化EUV阻劑薄膜層顯影; 接著利用包括酸之乾式處理製程或濕式處理製程處理圖案的方法及設備,本文所述。
返回 6,製程站600與反應物輸送系統601a流體連通,以將製程氣體輸送至分佈噴淋頭606。反應物輸送系統601a可選地包括混合容器604,用於混合及/或調節製程氣體,以輸送至噴淋頭606。一或更多混合容器入口閥620可控制製程氣體引入混合容器604。在利用電漿暴露下,電漿亦可被傳送至噴淋頭606,或者可在製程站600中產生。如上所述,在至少一些實施例中,非電漿熱暴露是有利的。
6包括可選汽化點603,用於汽化待供應至混合容器604之液體反應物。在一些實施例中,可提供在汽化點603上游之液體流量控制器(LFC),用於控制液體的質量流量以汽化並輸送至製程站600。例如,LFC可包括位於LFC下游之熱質量流量計(MFM)。LFC之柱塞閥可接著被調整以響應於與MFM電性連通之比例積分微分(PID)控制器所提供的反饋控制訊號。
噴淋頭606向基板612分佈製程氣體。在 6所示之實施例中,基板612位於噴淋頭606下方,且示為留置於基座608上。噴淋頭606可具有任何適當的形狀,且可具有用於將製程氣體分佈至基板612之任何適當數量及佈設的埠。
在一些實施例中,基座608可被升高或下降,以使基板612暴露於基板612與噴淋頭606之間的容積。將知悉,在一些實施例中,基座高度可透過合適之電腦控制器650以程式化方式進行調整。
在一些實施例中,基座608可透過加熱器610進行溫度控制。在一些實施例中,在光圖案化阻劑無電漿地熱暴露於鹵化氫乾式顯影化學物(例如,HBr、HCl或BCl 3)期間,基座608可被加熱至大於0℃且高達300℃或更高,舉例為50至120°C,例如約 65至80°C,如所揭示之實施例中所述。
此外,在一些實施例中,對製程站600之壓力控制可透過蝶閥618來提供。如 6之實施例中所示,蝶閥618對下游真空泵(未示出)所提供之真空進行節流。然而,在一些實施例中,製程站600之壓力控制亦可透過改變引入製程站600之一或更多氣體的流率來調整。
在一些實施例中,可相對於基座608調整噴淋頭606的位置,以改變基板612與噴淋頭606之間的容積。此外,將知悉,基座608及/或噴淋頭606的垂直位置可在本發明之範圍內透過任何合適的機構來變化。在一些實施例中,基座608可包括用於旋轉基板612方位之旋轉軸。將知悉,在一些實施例中,此些示例性調整中之一或更多者可透過一或更多合適的電腦控制器650以程式化方式來執行。
在可使用電漿下(例如在基於溫和電漿之乾式顯影實施例中及/或在同一腔室中進行蝕刻操作),噴淋頭606及基座608與射頻(RF)功率供應源614及匹配網路616電性通訊,用以對電漿供電。在一些實施例中,電漿能量可透過控制製程站壓力、氣體濃度、RF源功率、RF源頻率及電漿功率脈衝時序中之一或更多者來控制。例如,RF功率供應源614及匹配網路616可按任何合適功率來進行操作,以形成具有所欲自由基物種組成之電漿。合適功率之示例高達約500 W。
在一些實施例中,用於控制器650之指令可透過輸入/輸出控制(IOC)定序指令來提供。在一示例中,用於針對製程階段設定條件的指令可包含於製程配方之對應配方階段中。在一些例子中,製程配方階段可依序排列,使得針對製程階段之所有指令與該製程階段同時執行。在一些實施例中,用於設定一或更多反應器參數之指令可包含於配方階段中。例如,配方階段可包括用於設定乾式顯影化學反應氣體(例如,HBr或HCl)之流率的指令以及用於配方階段之時間延遲指令。在一些實施例中,控制器650可包括以下關於 7之系統控制器750所描述之任何特徵。
如上所述,一或更多製程站可包含於多站處理工具中。 7示出具有入站裝載室702及出站裝載室704(其一者或兩者可包括遠端電漿源)之多站處理工具700的實施例示意圖。處於大氣壓下之機器人706配置成將晶圓從透過傳送盒(pod)708裝載之晶舟通過大氣埠710移動至入站裝載室702。晶圓透過機器人706被放置在入站裝載室702中之基座712上,大氣埠710被關閉,接著裝載室被泵抽。在入站裝載室702包括遠端電漿源之情況下,晶圓可在引入處理腔室714之前暴露於遠端電漿處理,以在裝載室中處理氮化矽表面。進一步地,晶圓亦可在入站裝載室702中被加熱,例如以去除水分及吸附的氣體。接著,打開往處理腔室714之腔室轉移埠716,且另一機器人(未示出)將晶圓放入反應器中第一站之基座上(示於反應器中)以進行處理。儘管繪於 7中之實施例包括裝載室,但將知悉,在一些實施例中,可提供晶圓直接進入製程站。
所繪之處理腔室714包括四個製程站,在 7所示之實施例中從1到4編號。每一站具有一加熱基座(示於站1之718)及氣體管線入口。將知悉,在一些實施例中,每一製程站可具有不同或多個目的。例如,在一些實施例中,製程站可在乾式顯影與蝕刻製程模組之間切換。額外地或可替代地,在一些實施例中,處理腔室714可包括一或更多對匹配的乾式顯影及蝕刻製程站。儘管所繪之處理腔室714包括四個站,但將理解,根據本發明之處理腔室可具有任何合適數量的站。例如,在一些實施例中,處理腔室可具有五個或更多站,而在其他實施例中,處理腔室可具有三個或更少站。
7繪出用於在處理腔室714內轉移晶圓之晶圓搬運系統790的實施例。在一些實施例中,晶圓搬運系統790可在諸多製程站之間及/或在製程站與裝載室之間轉移晶圓。將知悉,可採用任何合適之晶圓搬運系統。非限定示例包括晶圓轉盤及晶圓搬運機器人。 7亦繪出用於控制製程條件及製程工具700之硬體狀態的系統控制器750實施例。系統控制器750可包括一或更多記憶體裝置756、一或更多大容量儲存裝置754以及一或更多處理器752。處理器752可包括中央處理器(CPU)或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等。
在一些實施例中,系統控制器750控制製程工具700之所有活動。系統控制器750執行儲存在大容量儲存裝置754中、加載至記憶體裝置756中並在處理器752上執行之系統控制軟體758。可替代地,可將控制邏輯硬編碼於控制器750中。特殊應用積體電路、可程式化邏輯裝置(例如場可程式化閘陣列或FPGAs)及其類似者可用於該些目的。在以下討論中,無論「軟體」或「編碼」用於何種情況,功能上相當之硬碼化邏輯皆可用於該狀況。系統控制軟體758可包含用以控制時序、氣體之混合、氣體流率、腔室及/或站壓力、腔室及/或站溫度、晶圓溫度、目標功率位準、RF功率位準、基板基座、吸盤及/或晶座位置、及由製程工具700所執行之特定製程的其他參數。系統控制軟體758可以任何合適的方式來配置。例如,可寫入諸多製程工具組成件子程式或控制物件,以控制用以實現諸多製程工具製程之製程工具組成件的操作。系統控制軟體758可以任何合適的電腦可讀取程式語言來進行編碼。
在一些實施例中,系統控制軟體758可包含用以控制上述諸多參數之輸入/輸出控制(IOC)定序指令。在一些實施例中,可採用儲存於與系統控制器750相關聯之大容量儲存裝置754及/或記憶體裝置756上之其他電腦軟體及/或程式。用於此目的之程式或程式片段的示例包括基板定位程式、製程氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含用於製程工具組成件之程式編碼,製程工具組成件係用於將基板裝載至基座718上並控制基板與製程工具700之其他部件之間的間隔。
製程氣體控制程式可包含編碼,其用以控制鹵化氫氣體組成(例如本文所述之HBr或HCl氣體)及流率,並可選地用以在沉積前使氣體流入一或更多製程腔室中以穩定製程腔室中之壓力。壓力控制程式可包含編碼,其係藉由調節例如該製程站之排出系統中的節流閥、進入該製程站之氣體流量等,以控制該製程站中之壓力。
加熱器控制程式可包含編碼,用於控制流至用於加熱基板之加熱單元的電流。可替代地,加熱器控制程式可控制熱傳導氣體(例如,氦)往基板的輸送。
電漿控制程式可包含編碼,用於根據本文實施例來設定施加至一或更多製程站中之製程電極的RF功率位準。
壓力控制程式可包含編碼,用於根據本文實施例來維持反應腔室內壓力。
在一些實施例中,可有與系統控制器750相關聯之使用者介面。該使用者介面可包含顯示螢幕、設備及/或製程條件之圖形化軟體顯示器、及使用者輸入裝置(例如指向裝置、鍵盤、觸控螢幕、麥克風等)。
在一些實施例中,由系統控制器750調整之參數可與製程條件有關。非限定示例包含製程氣體組成及流率、溫度、壓力、電漿條件(例如RF偏壓功率位準)等。可以配方(其可利用使用者介面來輸入)形式將此等參數提供至使用者。
透過系統控制器750之類比及/或數位輸入連接件,可從諸多製程工具感測器提供用以監測製程的訊號。用以控制製程之訊號可在製程工具700之類比及/或數位輸出連接件上輸出。可被監測之製程工具感測器的非限定示例包括質量流量控制器、壓力感測計(例如壓力計)、熱電偶等。經適當程式化之反饋及控制演算法可與來自此些感測器的數據一起使用,以維持製程條件。
系統控制器750可提供用以實施上述沉積製程之程式指令。該等程式指令可控制各種製程參數,如DC功率位準、RF偏壓功率位準、壓力、溫度等。該等指令可控制該等參數,以根據本文所述之諸多實施例操作乾式顯影及/或蝕刻製程。
系統控制器750可包括一或更多記憶體裝置及一或更多處理器,其配置成執行該等指令,使得該設備將根據所揭示之實施例來執行方法。機器可讀媒體(含有用以根據所揭示之實施例來控制製程操作之指令)可耦接至系統控制器750。
在一些實施方式中,系統控制器750為系統之一部份,其可為上述示例之一部分。此等系統可包括半導體處理裝備,其包含一處理工具或複數工具、一腔室或複數腔室、一處理平台或複數平台、及/或特定處理組成件(晶圓基座、氣流系統等)。此等系統可與電子設備結合,以控制半導體晶圓或基板處理前、處理期間及處理後之其操作。此等電子設備可指「控制器」,其可控制該系統或複數系統之諸多組成件或次部件。取決於處理條件及/或系統類型,系統控制器750可程式化以控制本文所揭示之任何製程,包括處理氣體之輸送、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、晶圓轉移(進出與特定系統相連接或相接合之工具及其他轉移工具、及/或裝載室)。
廣泛地講,系統控制器750可定義為具有用以接收指令、發佈指令、控制操作、啟動清洗操作、啟動終點量測以及類似者之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含:儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP,digital signal processor)、定義為特殊應用積體電路(ASIC,application specific integrated circuit)的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以諸多各別設定(或程式檔案)之形式而傳送至系統控制器750的指令,該各別設定(或程式檔案)為實行(半導體晶圓上,或針對半導體晶圓,或對系統之)特定的製程而定義操作參數。在一些實施例中,操作參數可為由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而定義之配方的一部分:層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒。
系統控制器750在一些實施方式中可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合。舉例而言,系統控制器750可在能容許遠端存取晶圓處理之「雲端」或廠房主機電腦系統的全部、或部分中。電腦可使系統能夠遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作而檢查其趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的製程。在一些示例中,遠端電腦(例如,伺服器)可通過網路而提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含能夠進行參數及/或設定輸入或程式設計之使用者介面,接著該參數及/或設定可自遠端電腦傳送至系統。在一些示例中,系統控制器750接收數據形式指令,該指令為即將於一或更多操作期間進行之每一處理步驟指定參數。應當理解,參數可特定針對待執行之製程類型、及系統控制器750與之接合或加以控制之工具類型。因此,如上所述,系統控制器750可為分散式,例如藉由包含以網路方式接在一起、且朝向共同目的(例如,本文所描述之製程及控制)運作之一或更多離散的控制器。用於此目的之分散式控制器舉例為,腔室上與位於遠端的一或更多積體電路(例如,於平臺水平處、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者相結合以控制腔室上的製程。
示例性系統可包含,但不限於,電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬鍍覆腔室或模組、清潔腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、顯影機(track)腔室或模組、EUV微影腔室(掃描儀)或模組、乾式顯影腔室或模組、及可在半導體晶圓的製造及/或加工中相關聯的、或使用的任何其他半導體處理系統。
如上所述,取決於待藉由工具而執行之製程步驟或複數步驟,系統控制器750可與半導體製造工廠中的一或更多以下者進行通訊:其他工具電路或模組、其他工具組成件、叢集工具、其他工具介面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料輸送中使用之工具,該材料輸送中使用之工具攜帶晶圓容器往返工具位置及/或裝載埠。
現描述感應耦合式電漿(ICP)反應器,其在某些實施例中可能適用於適於實施某些實施例之蝕刻操作。儘管本文描述ICP反應器,但在一些實施例中,應當理解,亦可使用電容耦合式電漿反應器。
8示意性地示出感應耦合式電漿設備800之剖面圖,其適合於實施某些實施例或實施例態樣,例如乾式顯影及/或蝕刻,其示例為加州費里蒙科林研發公司(Lam Research Corporation)所生產之Kiyo®反應器。在其他實施例中,具有執行本文所述乾式顯影及/或蝕刻製程之功能的其他工具或工具類型可用於實施。
感應耦合式電漿設備800包括結構上由腔室壁801及窗口811所定義之整個製程腔室824。腔室壁801可由不銹鋼或鋁製成。窗口811可由石英或其他介電材料製成。可選的內部電漿格柵850將整個製程腔室分成上部次腔室802及下部次腔室803。在大多數實施例中,可移除電漿格柵850,因而利用次腔室802及803所構成之腔室空間。吸盤817位於下部次腔室803內靠近底部內表面處。吸盤817配置成接收並固持在其上執行蝕刻及沉積製程之半導體晶圓819。吸盤817可為用於支撐晶圓819(當存在時)的靜電吸盤。在一些實施例中,邊緣環(未示出)環繞吸盤817,並具有與晶圓819(當存在於吸盤817上方時)之頂表面大致平坦的上表面。吸盤817亦包括用於對晶圓819進行吸附及脫附的靜電電極。為此目的,可提供濾波器及直流(DC)箝位功率供應源(未示出)。亦可提供用於將晶圓819提高離開吸盤817之其他控制系統。吸盤817可使用RF功率供應源823進行充電。RF功率供應源823透過連接827連接至匹配電路821。匹配電路821透過連接825連接至吸盤817。以此方式,RF功率供應源823連接至吸盤817。在諸多實施例中,取決於根據所揭示之實施例所執行的製程,靜電吸盤的偏壓功率可設為約50 V或可設為不同的偏壓功率。例如,偏壓功率可介於約20 V與約100 V之間,或介於約30 V與約150 V之間。
用於產生電漿之元件包括位於窗口811上方之線圈833。在一些實施例中,所揭示之實施例中不使用線圈。線圈833係由導電材料製成並包括至少一整匝。 8所示之線圈833示例包括三匝。線圈833之剖面用符號示出,且具有「X」之線圈旋轉延伸進入頁面,而具有「●」之線圈旋轉延伸出頁面外。用於產生電漿之元件亦包括配置成供應RF功率至線圈833之RF功率供應源841。一般而言,RF功率供應源841透過連接845連接至匹配電路839。匹配電路839透過連接843連接至線圈833。以此方式,RF功率供應源841連接至線圈833。可選的法拉第屏蔽849位於線圈833與窗口811之間。法拉第屏蔽849可相對於線圈833保持間隔開的關係。在一些實施例中,法拉第屏蔽849設置在窗口811正上方。在一些實施例中,法拉第屏蔽849位於窗口811與吸盤817之間。在一些實施例中,法拉第屏蔽849未相對於線圈833保持間隔開的關係。例如,法拉第屏蔽849可在窗口811正下方而無間隙。線圈833、法拉第屏蔽849及窗口811各自配置成相互呈實質上平行。法拉第屏蔽849可防止金屬或其他物種沉積在製程腔室824之窗口811上。
製程氣體可透過設於上部次腔室802中之一或更多主氣流入口860及/或透過一或更多側氣流入口870流入製程腔室。同樣地,儘管未明確示出,但類似氣流入口可用於將製程氣體供應至電容耦合式電漿處理腔室。真空泵(例如一階或二階機械乾式及/或渦輪分子泵)840可用於將製程氣體從製程腔室824中抽出並維持製程腔室824內的壓力。例如,在ALD之沖洗操作期間,真空泵可用於抽空下部次腔室803。閥控制導管可用於將真空泵流體連接至製程腔室824,以選擇性地控制真空泵所提供之真空環境的施加。此可在操作電漿處理期間採用閉環控制之限流裝置來完成,例如節流閥(未示出)或鐘擺錘(未示出)。同樣地,對電容耦合式電漿處理腔室亦可採用真空泵浦及閥控式流體連接。
在設備800之操作期間,一或更多製程氣體可透過氣流入口860及/或870來供應。在某些實施例中,製程氣體可僅透過主氣流入口860或僅透過側氣流入口870來供應。在一些例子中,圖中所示之氣流入口可用更複雜的氣流入口(例如一或更多噴淋頭)代替。法拉第屏蔽849及/或可選格柵850可包括內部通道及孔,其允許製程氣體輸送至製程腔室824。法拉第屏蔽849與可選格柵850中之一者或兩者可作為用於製程氣體之輸送的噴淋頭。在一些實施例中,液體汽化及輸送系統可位於製程腔室824的上游,如此一旦液體反應物或前驅物被汽化,汽化反應物或前驅物即透過氣流入口860及/或870引入製程腔室824中。
射頻功率係從RF功率供應源841供應至線圈833,以使RF電流流過線圈833。流過線圈833之RF電流在線圈833周圍產生電磁場。電磁場在上部次腔室802內產生感應電流。諸多產生之離子及自由基與晶圓819之物理及化學相互作用蝕刻晶圓819之特徵部並選擇性地在晶圓819上沉積層。
若使用電漿格柵850而有上部次腔室802及下部次腔室803兩者,則感應電流作用在上部次腔室802中存在的氣體上,以在上部次腔室802中產生電子-離子電漿。該可選之內部電漿格柵850限制下部次腔室803中之熱電子數。在一些實施例中,設備800係設計並操作成使得存在於下部次腔室803中之電漿為離子-離子電漿。
上部電子-離子電漿與下部離子-離子電漿兩者均可含有正及負離子,但離子-離子電漿將具有更大的負離子比上正離子的比率。揮發性蝕刻及/或沉積副產物可透過埠822從下部次腔室803中去除。本文所揭示之吸盤817可在介於約10℃與約250℃之間的升高溫度下操作。溫度將取決於製程操作及特定配方。
當設備800安裝在無塵室或製造設施中時,其可耦接至設施(未示出)。設施包括提供處理氣體、真空、溫度控制及環境顆粒控制之配管。當安裝在目標製造設施中時,此些設施耦接至設備800。另外,設備800可耦接至轉移腔室,其允許機器人使用自動化來轉移半導體晶圓進出設備800。
在一些實施例中,系統控制器830(其可包括一或更多物理或邏輯控制器)控制製程腔室824之一些或全部操作。系統控制器830可包括一或更多記憶體裝置及一或更多處理器。在一些實施例中,設備800包括切換系統,用於在執行所揭示之實施例時控制流率及持續時間。在一些實施例中,設備800可具有高達約500 ms或高達約750 ms的切換時間。切換時間可能取決於流動化學、所選配方、反應器結構及其他因素。
在一些實施方式中,系統控制器830為系統之一部份,其可為上述示例之一部分。此等系統可包括半導體處理裝備,其包含一處理工具或複數工具、一腔室或複數腔室、一處理平台或複數平台、及/或特定處理組成件(晶圓基座、氣流系統等)。此等系統可與電子設備結合,以控制半導體晶圓或基板處理前、處理期間及處理後之其操作。此等電子設備可整合於系統控制器830中,其可控制該系統或複數系統之諸多組成件或次部件。取決於處理條件及/或系統類型,系統控制器可程式化以控制本文所揭示之任何製程,包括處理氣體之輸送、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、晶圓轉移(進出與特定系統相連接或相接合之工具及其他轉移工具、及/或裝載室)。
廣泛地講,系統控制器830可定義為具有用以接收指令、發佈指令、控制操作、啟動清洗操作、啟動終點量測以及類似者之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含:儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP,digital signal processor)、定義為特殊應用積體電路(ASIC,application specific integrated circuit)的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以諸多各別設定(或程式檔案)之形式而傳送至控制器的指令,該各別設定(或程式檔案)為實行(半導體晶圓上,或針對半導體晶圓,或對系統之)特定的製程而定義操作參數。在一些實施例中,操作參數可為由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而定義之配方的一部分: 層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒。
系統控制器830在一些實施方式中可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合。舉例而言,控制器可在能容許遠端存取晶圓處理之「雲端」或廠房主機電腦系統的全部、或部分中。電腦可使系統能夠遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作而檢查其趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的製程。在一些示例中,遠端電腦(例如,伺服器)可通過網路而提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含能夠進行參數及/或設定輸入或程式設計之使用者介面,接著該參數及/或設定可自遠端電腦傳送至系統。在一些示例中,系統控制器830接收數據形式指令,該指令為即將於一或更多操作期間進行之每一處理步驟指定參數。應當理解,參數可特定針對待執行之製程類型、及控制器與之接合或加以控制之工具類型。因此,如上所述,系統控制器830可為分散式,例如藉由包含以網路方式接在一起、且朝向共同目的(例如,本文所描述之製程及控制)運作之一或更多離散的控制器。用於此目的之分散式控制器舉例為,腔室上與位於遠端的一或更多積體電路(例如,於平臺水平處、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者相結合以控制腔室上的製程。
示例性系統可包含,但不限於,電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、顯影(track)腔室或模組、EUV微影腔室(掃描儀)或模組、乾式顯影腔室或模組、及可在半導體晶圓的製造及/或加工中相關聯的、或使用的任何其他半導體處理系統。
如上所述,取決於待藉由工具而執行之製程步驟或複數步驟,控制器可與半導體製造工廠中的一或更多以下者進行通訊:其他工具電路或模組、其他工具組成件、叢集工具、其他工具介面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料輸送中使用之工具,該材料輸送中使用之工具攜帶晶圓容器往返工具位置及/或裝載埠。
EUVL圖案化可使用通常稱為掃描儀之任何合適的工具來執行,例如由ASML(荷蘭Veldhoven)所供應之TWINSCAN NXE:3300B®平台。沉積、PAB、EUV曝光、顯影或其他顯影後製程(例如,PDB、電漿蝕刻、酸處理及類似者)之處理可在各別製程腔室中進行,及/或製程腔室可配置為具有適用於實施本文所述實施例之真空整合沉積與圖案化模組之叢集工具架構中的模組。在一些實施例中,某些處理功能可在同一腔室或模組中連續執行,例如乾式沉積及PAB。
EUVL圖案化工具可為供基板移動進出以進行本文所述沉積及蝕刻之獨立裝置。或者,如下所述,EUVL圖案化工具可為較大多組成件工具上的模組。 9繪出具有真空整合沉積、EUV圖案化及乾式顯影/蝕刻模組(與真空轉移模組相接)之半導體製程叢集工具架構,其適用於實施本文所述之製程。儘管可在沒有此等真空整合設備下執行製程,但此等設備在一些實施方式中可能是有利的。
9繪出具有真空整合沉積及圖案化模組(其與真空轉移模組相接)之半導體製程叢集工具架構,其適用於實施本文所述之製程。用於在多個儲存設施與處理模組之間「轉移」晶圓之轉移模組的佈設可稱為「叢集工具架構」系統。沉積及圖案化模組根據特定製程之要求而為真空整合。其他模組(例如用於蝕刻)亦可包含於該叢集中。
真空轉移模組(VTM)938與四個處理模組920a-920d(其可分別被最佳化以執行諸多製造製程)相接。舉例來說,處理模組920a-920d可實施為執行沉積、蒸發、ELD、乾式顯影、蝕刻、剝離及/或其他半導體製程。例如,模組920a可為ALD反應器,其可***作以在非電漿中執行如本文所述之熱原子層沉積,例如可獲自加州費里蒙科林研發公司(Lam Research Corporation)之Vector工具。又,模組920b可為PECVD工具,例如Lam Vector®。應當理解,圖不一定按比例繪製。
氣室942及946(亦稱為裝載室或轉移模組)與VTM 938及圖案化模組940相接。例如,如上所述,合適之圖案化模組可為ASML(荷蘭-費爾德霍溫)所供應之TWINSCAN NXE:3300B®平台。此工具架構允許工件(例如半導體基板或晶圓)在真空下轉移,以不在曝光前反應。考慮到環境氣體(如H 2O、O 2等)對入射光子之強烈光吸收,且EUVL亦需大幅下降的壓力,因而促使沉積模組與與微影工具整合。
如上所述,此整合架構僅是用於實施所述製程之工具的可能實施例。該等製程亦可使用獨立EUVL掃描儀及沉積反應器(例如Lam Vector工具)來實施,其為獨立或與其他工具(例如蝕刻、剝離等)整合在叢集架構中(例如, Lam Kiyo或Gamma工具)作為模組,例如參考 9所述,但無整合的圖案化模組。
氣室942可為「輸出」裝載室,其係指基板從用於沉積模組920a之VTM 938轉移至圖案化模組940,而氣室946可為「進入」 裝載室,其係指基板自圖案化模組940轉移返回VTM 938。進入裝載室946亦可對工具外部提供界面,用於基板之進入與移出。每一製程模組具有將模組接合至VTM 938的端面(facet)。例如,沉積製程模組920a具有端面936。在每一端面內,感測器(例如所示的感測器1-18)用以偵測晶圓926在相對應站之間移動時的通過。圖案化模組940及氣室942與946可類似地裝配額外的端面與感測器(未示出)。
主要VTM機器人922在模組(包括氣室942與946)之間轉移晶圓926。在一實施例中,機器人922具有一手臂,而在另一實施例中,機器人922具有兩手臂,其中每一手臂具有末端執行器924以拾取晶圓(如晶圓926)進行傳送。前端機器人944用以將晶圓926自輸出氣室942轉移至圖案化模組940中、自圖案化模組940轉移至進入氣室946。前端機器人944亦可在進入裝載室與工具外部之間轉移晶圓926,用於基板之進入與移出。因進入氣室模組946具有匹配大氣與真空之間環境的能力,故晶圓926能在兩壓力環境之間移動而不受損。
應注意,EUVL工具通常比沉積工具在更高真空下操作。若為此情況,則期望自沉積轉移至EUV工具期間增加基板之真空環境,以允許基板在進入圖案化工具之前除氣。輸出氣室942可藉由將轉移的晶圓維持在較低壓力(不高於圖案化模組940中的壓力)達一段時間並排出任何排放氣來提供此功能,使得圖案化工具940的光學件不受來自基板的排放氣所污染。合適的輸出排放氣氣室壓力不超過1E-8 托耳(Torr)。
在一些實施例中,系統控制器(其可包括一或更多物理或邏輯控制器)控制叢集工具及/或其分開模組之一些或所有操作。應注意,控制器可在叢集架構本地、或可位在製造層中之叢集架構的外部、或在遠端位置並經由網路連接至叢集架構。系統控制器950可包括一或更多記憶體裝置與一或更多處理器。處理器可包括中央處理單元(CPU)或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制板、與其他類似組成件。用以執行適當控制操作之指令在處理器上執行。此些指令可儲存在與控制器相關聯之記憶體裝置上,或其可透過網路被提供。在某些實施例中,系統控制器執行系統控制軟體。
系統控制軟體可包括指令,用以控制工具或模組操作之任何態樣的施加及/或量值的時序。系統控制軟體可以任何適當的方式配置。例如,可寫入諸多製程工具組成件子程序或控制物件,以控制實現諸多製程工具製程所需之製程工具組成件的操作。系統控制軟體可以任何合適的電腦可讀取程式語言來進行編碼。 在一些實施例中,系統控制軟體包括用以控制上述諸多參數之輸入/輸出控制(IOC) 定序指令。例如,半導體製造製程之每一階段可包括由系統控制器執行之一或更多指令。例如,用以設定用於凝結(condensation)、沉積、蒸發、圖案化及/或蝕刻階段之製程條件的指令可包含於相對應的配方階段中。
應注意,控制晶圓移動之電腦可在叢集架構本地、或可位在製造層中之叢集架構的外部、或在遠端位置並經由網路連接至叢集架構。如上所述關於 67810中之任一者的控制器可用 9中之工具來實施。
10示出沉積腔室的示例(如用於基於蒸氣之膜沉積)。可看出,設備1000繪出具有處理腔室1002,該處理腔室1002包括蓋體1008。處理腔室1002可包括穿過處理腔室1002之其中一壁的晶圓轉移通道1004,該晶圓轉移通道1004之尺寸被設計為允許基板1022穿過其中並進入處理腔室1002的內部, 其中基板1022可置於晶圓支撐件1024上。晶圓轉移通道1004可具有閘閥1006或類似門機構,其可***作成密封或打開晶圓轉移通道, 因而允許將處理腔室1002內的環境與閘閥1006之另一側環境隔絕。例如,處理腔室1002可透過位於相鄰轉移腔室中之晶圓搬運機器人而被供予基板1022。此等轉移腔室可例如具有圍繞其周緣佈設之多個處理腔室1002,其每一此等處理腔室1002均透過對應閘閥1006而與轉移腔室連接。
晶圓支撐件1024可例如包括靜電吸盤(ESC)1026,其可用於提供用於支撐基板1022之晶圓支撐表面。ESC 1026可包括例如底板1034,該底板1034接合至放置於底板1034上之頂板1028。頂板1028可例如由陶瓷材料製成,且可在其內部嵌有幾個其他組成件。在所繪出之示例中,頂板1028具有嵌入其內部之兩個分開電性系統。一此等系統為靜電夾持電極系統,其可具有一或更多夾持電極1032,夾持電極1032可用於在基板1022內產生電荷,從而將基板1022拉抵於頂板1028之晶圓支撐表面。在 10的實施方式中,有兩夾持電極1032,其提供雙極靜電夾持系統,但一些實施方式可能僅使用單個夾持電極1032以提供單極靜電夾持系統。
另一系統為熱控制系統,其可用於在處理條件期間控制基板1022的溫度。在 10中,熱控制系統為多區域熱控制系統,其特徵在於四個環形電阻加熱器跡線1030a、1030b、1030c及1030d彼此同心並位於夾持電極1032下方。中心電阻加熱器跡線1030a在一些實施方式中可填充大致圓形的區域,而每一電阻加熱器跡線1030a/b/c/d可在對應環形區域內順著呈大致蛇形或曲折的路徑。每一電阻加熱器跡線1030a/b/c/d可被各別控制,以在頂板1028中提供各種徑向加熱曲線;於一些例子中,此等四區域加熱系統可例如被控制成維持基板1022具有±0.5℃的溫度均勻性。儘管 10之設備1000特徵在於ESC 1026中的四區域加熱系統,但其他實施方式可使用具有多於或少於四區域之單區域或多區域加熱系統。
在例如以上討論之溫度控制機構的一些實施方式中,可使用熱泵代替電阻加熱跡線。例如,在一些實施方式中,電阻加熱器跡線可被帕爾帖接面(Peltier junctions)或其他可被控制成將熱從其一側「泵送」至另一側的類似裝置取代或增強。 此等機構可用於例如從頂板1028(並因而基板1022)吸取熱並將其引至底板1034及熱交換通道1036中,因而允許基板1022得以更快速且更有效地冷卻(若需要的話)。
ESC 1026亦可包括例如底板1034,其可用於對頂板1028之下側提供結構支撐並亦可用作散熱系統。例如,底板1034可包括一或更多熱交換通道1036,其以總體分佈方式佈設在整個底板1034中,例如,熱交換通道1036可於底板1034中心周圍順著蛇形、圓形折回或螺旋形圖案。在使用期間,熱交換介質(例如水或惰性氟化液體)可循環通過熱交換通道1036。 可從外部控制熱交換介質的流率及溫度,以在底板1034中引起特定的加熱或冷卻行為。
ESC 1026可例如由與晶圓支撐柱1044連接並由其支撐之晶圓支撐殼體1042支撐。晶圓支撐柱1044可例如具有用於將纜線、流體流導管及其他裝備佈線至底板1034及/或頂板1028下側之佈線通道1048及其他貫通孔。例如,雖然未於 10中示出,但可將用於提供電力至電阻加熱器跡線1030a/b/c/d之纜線佈線穿過佈線通道1048,可如用於提供電力至夾持電極1032之纜線一般。亦可將其他纜線(例如用於溫度感測器之纜線)佈線穿過佈線通道1048而到晶圓支撐件1024內部的位置。在具有溫度可控底板1034之實施方式中,亦可將用於將熱交換介質輸送進出底板1034之導管佈線穿過佈線通道1048。為避免不必要的雜亂,此等纜線及導管未繪於 10中,但當理解其仍將存在。
10之設備1000亦包括晶圓支撐z致動器1046,其可對晶圓支撐柱1044提供可移動支撐。晶圓支撐件z致動器1046可被致動,以引起晶圓支撐柱1044及由此支撐之晶圓支撐件1024在處理腔室1002之反應空間1020內垂直地上下移動, 例如多達幾英寸。如此做時,基板1022與噴淋頭1010下側之間的間隙距離X可根據諸多製程條件來進行調變。
晶圓支撐件1024在一些實施方式中亦可包括一或更多邊緣環,其可用於控制及/或微調諸多製程條件。在 10中,提供上邊緣環1038,其位於例如下邊緣環1040a及1040b上,且下邊緣環1040a及1040b又由晶圓支撐殼體1042及第三下邊緣環1040c支撐。上邊緣環1038例如一般可遭受與基板1022相同之處理環境,而下邊緣環1040a/b/c一般可與處理環境隔絕。由於上邊緣環1038之暴露增加,故上邊緣環1038壽命有限,且相較於下邊緣環1040a/b/c可能需要更頻繁地更換或清潔。
設備1000亦可包括用於在處理結束期間及之後從處理腔室1002去除製程氣體的系統。例如,處理腔室1002可包括環繞晶圓支撐柱1044之環形氣室1056。環形氣室1056可接著與真空前級管線1052流體連接,該真空前級管線可與真空泵連接,舉例如可位於設備1000下方之底層板下方。調節器閥1054可提供於真空前級管線1052與處理腔室1002之間,並被致動以控制進入真空前級管線1052之流動。在一些實施方式中,可提供擋板1050(例如環形板或其他結構,其可用於使進入環形氣室1056之流動更均勻地分佈在晶圓支撐柱1044圓周上),以降低在流過基板1022之反應物中出現流動不均勻的機會。
如所示之噴淋頭1010為雙氣室噴淋頭1010,並包括第一氣室1012(其透過第一入口1016被供予製程氣體)及第二氣室1014(其透過第二入口1018被供予製程氣體)。一般而言,在釋出前驅物及相對反應物之前,可採用兩氣室以保持前驅物與相對反應物之間隔開。噴淋頭1010在一些實施方式中可具有多於兩個氣室。在一些實例中,使用單個氣室,以將前驅物輸送至處理腔室1002之反應空間1020中。每一氣室可具有一對應組的氣體分佈埠,氣體分佈埠透過噴淋頭1010之面板將相應氣室與反應空間1020流體連接(面板為噴淋頭1010插置於最下部氣室與反應空間1020之間的部分)。
噴淋頭1010之第一入口1016及第二入口1018可透過氣體供應系統被供予處理氣體,該氣體供應系統可配置成提供一或更多前驅物及/或相對反應物,如本文所討論。所繪示之的設備1000配置成提供多個前驅物及多個相對反應物。例如,第一閥歧管1068a可配置成提供前驅物至第一入口1016,而第二閥歧管1068b可配置成提供其他前驅物或其他相對反應物至第二入口1018。
第一閥歧管1068a可配置成提供一或更多前驅物至第一入口1016,而第二閥歧管1068b可配置成提供其他前驅物或其他反應物至第二入口1018。在此示例中,第一閥歧管1068a例如包括多個閥A1-A5。閥A2可例如為三通閥,其具有與第一汽化器1072a流體連接之一埠、與旁通管線1070a流體連接之另一埠、以及與另一三通閥A3上之埠流體連接之第三埠。類似地,閥A4可為另一三通閥,其具有與第二汽化器1072b流體連接之一埠、與旁通管線1070a流體連接之另一埠、以及與另一三通閥A5上之埠流體連接之第三埠。閥A5上之其他埠中的其中一者可與第一入口1016流體連接,而閥A5上之其餘埠可與閥A3上之其餘埠的其中一者流體連接。閥A3上之剩餘埠又可與閥A1流體連接,閥A1可流體插置於閥A3與沖洗氣體源1074(例如氮、氬或其他合適惰性氣體(相對於前驅物及/或相對反應物))之間。在一些實施例中,僅採用第一閥歧管。
為了本發明目的,術語「流體連接」是用於關於可相互連接以形成流體連接之容積、氣室、孔等,類似於術語「電性連接」用於關於連接在一起以形成電性連接之構件。術語「流體插置」(若使用的話)可用來指與至少兩其他構件、容積、氣室或孔流體連接之構件、容積、氣室或孔,使得從彼些其他構件、容積、氣室或孔中之一者流至彼些其他構件、容積、氣室或孔中之另一者的流體在到達彼些構件、容積、氣室或孔中之另一者之前,會先流過”流體插置”的構件。例如,若泵流體插置於容器與出口之間,則從容器流至出口之流體會在到達出口之前先流過泵。
第一閥歧管1068a例如可為可控制的,以使來自汽化器1072a及1072b中之一者或兩者的蒸氣流至處理腔室1002或通過第一旁通管線1070a並流入真空前級管線1052。第一閥歧管1068a亦可為可控制的,以使沖洗氣體從沖洗氣體源1074流入第一入口1016。
例如,為了使蒸氣從第一汽化器1072a流入反應空間1020,可致動閥A2以使蒸氣從第一汽化器1372a先流入第一旁通管線1070a。此流量可維持足夠長的時間,以允許蒸氣的流量達到穩態流量條件。經過足夠時間後 (或者在流量計(若使用的話)指示流率穩定之後),可致動閥A2、A3及A5,以將蒸氣流從第一汽化器1072a引導至第一入口。閥A4及A5可執行類似操作,以將蒸氣從第二汽化器1072b輸送至第一入口1016。在一些實例中,可能希望透過致動閥A1、A3及A5以使沖洗氣體從沖洗氣體源1074流入第一入口1016,從而將其中一蒸氣從第一氣室1012沖除。在一些額外實施方式中,可能希望同時使來自汽化器1072a或1072b中之一者的蒸氣與來自沖洗氣體之氣體一同流入第一入口1016。此等實施方式可用來稀釋包含於此等蒸氣中之反應物的濃度。
將知悉,可用類似方式控制第二閥歧管1068b, 例如,透過控制閥B1-B5,以從汽化器1072c及1072d提供蒸氣至第二入口1018或至第二旁路管線1070b。將進一步知悉,亦可利用不同歧管佈設,包括單一整體歧管,單一整體歧管包括用於控制前驅物、相對反應物或其他反應物往第一入口1016及第二入口1018流動的閥。
如前所述,一些設備1000可以較少數量之蒸氣源為特徵,例如僅兩個汽化器1072,其中閥歧管1068可被修改為具有較少數量的閥,例如僅有閥A1-A3。
如上所討論,可用於提供膜之乾式沉積的設備(例如設備1000)可配置成保持處理腔室1002內之特定溫度曲線。尤其,此等設備1000可配置成保持基板1022於較低溫度, 例如,比直接與前驅物及/或相對反應物直接接觸之設備1000的大多數裝備低例如至少25℃至50℃。另外,與前驅物及/或相對反應物直接接觸之設備1000的裝備溫度可保持在足夠高以防止汽化反應物於此等裝備表面上凝結之高溫程度。同時,可將基板1022的溫度控制於促進反應物在基板1022上凝結或至少沉積之程度。
為了提供此等溫度控制,諸多加熱系統可包含於設備1000中。例如,處理腔室1002可具有用於接收盒式加熱器1058之容器, 例如,具有大致圓柱形之內部容積但呈正方形或矩形之外部形狀的處理腔室1002,用於容納盒式加熱器1058之垂直孔可鑽於腔室1002殼體之四角中。在一些實施方式中,噴淋頭1010可被加熱器毯1060覆蓋,加熱器毯1060可用於在噴淋頭1010之暴露上表面上施加熱以保持噴淋頭溫度升高。對用於將汽化反應物從汽化器1072傳導至噴淋頭1010之諸多氣體管線加熱亦可能是有利的。例如,電阻加熱帶可纏繞於此等氣體管線周圍,並用於將其加熱至升高溫度。如 10所示,可能有前驅物及/或相對反應物流過之所有氣體管線均顯示為被加熱,包括旁通管線1070。唯一例外是從閥歧管1068至第一入口1016及第二入口1018的氣體管線,其可能很短,且可能被噴淋頭1010間接加熱。當然,甚至此些氣體管線可被主動加熱(若希望的話)。在一些實施方式中,亦可在靠近閘閥1006處提供加熱器以提供熱至閘閥。
設備1000之諸多操作系統可由控制器1084控制, 其可包括一或更多處理器1086及一或更多記憶體裝置1088,其相互可操作地連接並與設備1000之諸多系統及子系統通訊連接,以對彼些系統提供控制功能。例如,控制器1084可配置成控制閥A1-A5及B1-B5、諸多加熱器1058、1060、汽化器1072、調節器閥1054、閘閥1006、晶圓支撐z-致動器等等。
一旦膜層已沉積在基板1022上,基板1022即可如上所述轉移至一或更多後續處理腔室或工具中以進行額外操作(例如,本文所述之任一者)。進一步沉積設備描述於2020年6月22日申請之國際專利申請案第PCT/US2020/038968號(公開為國際公開案第WO 2020/263750號),其標題為「APPARATUS FOR PHOTORESIST DRY DEPOSITION」,其整體內容以引用方式併入本文。
本發明之實施例涉及此等處理及處理設備。 示例示例1 : 使用酸之有機錫碲(有機-Sn-Te)膜乾式顯影
本發明涵蓋將乾式顯影方法用於高吸收含金屬光阻(PR),例如含有Sn及Te之雙金屬PR。相較於包含有氧化錫氫氧化物之PR,可併入包含有額外高吸收金屬元素(例如,Te、Sb、Bi及本文所述之其他者)的阻膜,以進一步改善EUV 吸收並可能地降低微影成本。在一些實例中,透過在膜中摻入如Te之元素,EUV吸收相較於不含Te之對照膜可改善高達 50%。
新元素的摻入可顯著改變PR之材料組成及特性,其可能需要額外的顯影方法。例如,雖然類似之基於鹵化物的化學物質可蝕刻雙金屬Sn-Te PR材料達到一些程度,但含Te反應產物(例如,鹵化碲化合物)之低揮發性及Sn與Te之間的蝕刻選擇性可能帶來額外挑戰, 特別是在低於室溫的溫度下。使用酸分子(例如,無機酸、有機酸或本文所述的其他者)之蒸氣與含氧反應物,可對此等雙金屬阻劑材料顯影。在一非限定實例中,乾式顯影包括使用含鹵素的酸(例如 HCl、HBr、HI或三氟醋酸)與O 2作為含氧反應物。在特定實施例中,相較於不具含氧反應物之蝕刻氣體,將含氧反應物與一或更多蝕刻氣體混合可產生更一致的蝕刻。
除了含鹵素的酸之外,顯影可包括使用可分解或水解以產生酸分子的分子。此等分子可包括BCl 3及類似者,其可選擇性地去除未曝光有機-Sn-Te 膜,產生負型圖案。
除了無機酸分子之外,有機酸亦可用來選擇性地去除未曝光區。在一些實施例中,有機酸具有相當高的蒸氣壓。非限定有機酸包括三氟醋酸、甲酸、醋酸、丙酸及本文所述之其他者(例如,在 1中)。在特定實施例中,有機酸在25℃下具有約1托耳或更大的蒸氣壓。 1
名稱 蒸氣壓 [ 托耳,於 25° C ]
三氟醋酸 110托耳
甲酸 42.6托耳
醋酸 15.7托耳
丙酸 3.53托耳
丁酸 1.65托耳
甘醇酸 0.02托耳
顯影後,可進行額外操作以去除因暴露於酸而形成的產物。在一實例中,進一步的操作可包括暴露於升高溫度(例如,在高達約150°C的溫度下;在約0°C至200°C、0°C至175°C或 0°C 至 150°C的溫度下;或本文所述用於烘烤操作的溫度)。在另一實例中,進一步可包括暴露於硬烘烤操作,例如,在約0°C至300°C、0°C至250°C或0°C至200°C之溫度下。不希望受限於吾機制,升高的溫度可用於氣化未曝光區域中因酸暴露而形成的反應產物。反應產物可為膜內元素與酸中之原子之間反應產生的任何產物。非限定反應產物可包括金屬鹵化物,其中膜提供金屬而酸為提供鹵素之含鹵素的酸。金屬鹵化物的示例包括MX 2、MX 3或MX 4,其中M為高吸收性元素(例如 Te、In、Sn、Sb及/或Bi),而X為鹵素。 金屬鹵化物亦可包括鹵化碲(例如,TeCl 2、TeBr 2、TeI 2及類似者)。另一非限定反應產物可包括金屬醋酸鹽,其中膜提供金屬而酸為提供醋酸根之含醋酸根的酸。
在又另一實例中,進一步的操作可包括暴露於電漿。不希望受限於機制,電漿可用於去除殘留物質或因暴露於顯影操作或酸而形成的其他產物。電漿可包括任何化學物質、惰性氣體或反應性氣體,例如鹵化氫(例如HCl、HBr、HF及類似者)或其他含鹵素分子(例如BCl 3、C xF y、C xF yH z及類似者)。
在特定實施例中,本文所述之乾式顯影策略可對高吸收性含金屬阻劑材料(例如,有機-Sn-Te膜)實現全乾式製程(例如,從沉積至顯影)。 示例2 : 使用酸之有機錫碲(有機-Sn-Te)膜濕式顯影
本發明涵蓋將濕式顯影方法用於高吸收含金屬光阻(PR),例如含有Sn及Te之雙金屬PR。使用於不同溶劑或溶劑混合物中的酸溶液,可顯影此等雙金屬阻劑材料,產生負型圖案。酸濃度與溶劑/溶劑混合物的類型皆會影響選擇性及蝕刻速率,進而影響阻劑的曝光敏感度。
在特定實施例中,於不同溶劑或溶劑混合物中的酸溶液可選擇性地去除未曝光阻劑材料,產生負型圖案。在一實施例中,採用於溶液中的無機酸,其中即使在低至0.1% (體積/體積) 的濃度下,此製程亦顯示較佳選擇性及較高蝕刻速率。非限定無機酸包括鹵化氫(例如,HCl、HBr、HI及其組合)、磷酸、硫酸及其組合。
在其他實施例中,採用於溶液中的有機酸。非限定有機酸包括甲酸、醋酸、三氟醋酸及類似者。此等有機酸可以任何有用的濃度來使用,例如在溶劑(例如水性溶劑,如水)中超過約0.1% (體積/體積)或約 0.1%(體積/體積)至10%(體積/體積)的酸。
在溶液中可使用任何溶劑。在一實施例中,溶劑為水性溶劑,例如水。在另一實施例中,溶劑為有機溶劑。非限定有機溶劑包括醇(例如異丙醇(IPA))、酮(例如2-庚酮、丙酮及類似者)、醚(例如丙二醇甲醚(PGME)或丙二醇甲醚乙酸酯(PGMEA))、酯(例如,乙酸正丁酯、γ-丁內酯或乙基 3-乙氧基丙酸酯(EEP)),以及其組合,其可用作濕式顯影劑。溶劑/溶劑混合物的選擇會影響選擇性及/或蝕刻速率。 定義
「酸」意指能夠供予質子或與電子對形成共價鍵之任何分子、離子或化合物。
如本文可互換使用之「醯氧基(acyloxy)」或「烷醯氧基(alkanoyloxy)」意指透過氧基連接至母分子基團之如本文所定義的醯基或烷醯基。在特定實施例中,烷醯氧基為-O-C(O)-Ak,其中Ak為如本文所定義的烷基。在一些實施例中,未經取代之烷醯氧基為C 2-7烷醯氧基。示例性烷醯氧基包括乙醯氧基。
「烯基(alkenyl)」意指具有一或更多雙鍵之視情況取代之C 2-24烷基。烯基可為環狀(例如,C 3-24環烯基)或非環狀。烯基亦可為經取代或未經取代。例如,烯基可經一或更多取代基取代,如本文對烷基所述。非限定未經取代之烯基包括丙烯基及乙烯基。在一些實施例中,未經取代之烯基可為C 2-6、C 2-8、C 2-10、C 2-12、C 2-16、C 2-18、C 2-20、C 2-24、C 3-8、C 3-10、C 3-12、C 3-16、C 3-18、C 3-20、或C 3-24烯基。
「亞烯基(alkenylene)」意指烯基(其為具有一或更多雙鍵之視情況取代的C 2-24烷基)之多價(例如,二價)形式。亞烯基可為環狀(例如,C 3-24環烯基)或非環狀。亞烯基可為經取代或未經取代。例如,亞烯基可經一或更多取代基取代,如本文對烷基所述。示例性之非限定亞烯基包括-CH=CH-或-CH=CHCH 2-。
「烷氧基(alkoxy)」意指-OR,其中R為視情況取代之烷基,如本文所述。示例性烷氧基包括甲氧基、乙氧基、丁氧基、三鹵代烷氧基,例如三氟甲氧基等。烷氧基可為經取代或未經取代。例如,烷氧基可經一或更多取代基取代,如本文對烷基所述。示例性未經取代之烷氧基包括C 1-3、C 1-6、C 1-12、C 1-16、C 1-18、C 1 20或C 1-24烷氧基。
「烷基(alkyl)」及字首「烷(alk)」意指具有1至24個碳原子之支鏈或非支鏈飽和烴基,例如甲基(Me)、乙基(Et)、正丙基( n-Pr)、異丙基( i-Pr)、環丙基、正丁基( n-Bu)、異丁基( i-Bu)、仲丁基( s-Bu)、叔丁基( t-Bu)、環丁基、正戊基、異戊基、仲戊基、新戊基、己基、庚基、辛基、壬基、癸基、十二烷基、十四烷基、十六烷基、二十烷基、二十四烷基及類似者。烷基可為環狀(例如,C 3-24環烷基)或非環狀。烷基可為支鏈或非支鏈。烷基亦可為經取代或未經取代。例如,烷基可包括鹵代烷基,其中烷基經一或更多鹵素基取代,如本文所述。在另一示例中,烷基可經一、兩、三、或四個(在具有兩個或更多碳之烷基的例子中)取代基取代,取代基獨立選自由以下所組成之群組 : (1) C 1-6烷氧基(例如, -O-Ak,其中Ak為視情況取代之C 1-6烷基); (2)胺基(例如,‑NR N1R N2,其中每一R N1及R N2獨立為H或視情況取代之烷基,或R N1與R N2與各自連接之氮原子一起形成雜環基);(3)芳基;(4)芳烷氧基(例如,-O-Lk-Ar,其中Lk為視情況取代之烷基的二價形式,且Ar為經情況取代之芳基);(5)芳醯基(例如,-C(O)-Ar,其中Ar為視情況取代之芳基);(6)氰基(例如-CN);(7)羧醛基(例如-C(O)H);(8)羧基(例如-CO 2H); (9)C 3-8環烷基(例如,一價飽和或未飽和之非芳香族環狀C 3-8烴基);(10)鹵素(例如F、Cl、Br或I); (11)雜環基(例如,含有一、兩、三或四個非碳雜原子(例如氮、氧、磷、硫或鹵素)之5、6或7元環,除非另說明;(12)雜環氧基(例如,-O-Het,其中Het為雜環基,如本文所述);(13)雜環醯基(例如,-C(O)-Het,其中Het為雜環基,如本文所述);(14)羥基(例如,-OH);(15)N-經保護胺基;(16)硝基(例如‑NO 2);(17)側氧基(例如= O);(18) -CO 2R A,其中R A選自由(a)C 1-6烷基、(b)C 4-18芳基、及(c)(C 4-18芳基)C 1-6烷基(例如,-Lk-Ar,其中Lk為視情況取代之烷基的二價形式,且Ar為視情況取代之芳基)所組成之群組;(19) ‑C(O)NR BR C,其中每一R B及R C獨立地選自由(a)氫、(b)C 1-6烷基、(c)C 4-18芳基、及(d) (C 4-18芳基)C 1-6烷基(例如,-Lk-Ar,其中Lk為視情況取代之烷基的二價形式,並且Ar為視情況取代之芳基)所組成之群組;以及(20) ‑NR GR H,其中每一R G及R H獨立地選自由(a)氫、(b)N-保護基、(c)C 1-6烷基、(d)C 2-6烯基(例如,具有一或更多雙鍵之視情況取代的烷基)、(e)C 2-6炔基(例如,具有一或更多三鍵之視情況取代的烷基)、(f)C 4-18芳基、(g)(C 4-18芳基)C 1-6烷基(例如Lk-Ar,其中Lk為視情況取代之烷基的二價形式,Ar為視情況取代之芳基)、(h)C 3-8環烷基、及(i)(C 3-8環烷基)C 1-6烷基(例如,‑Lk-Cy,其中Lk為視情況取代之烷基的二價形式,且Cy為視情況取代之環烷基,如本文所述)所組成之群組,其中在一實施例中,未有兩個基團透過羰基鍵結至氮原子組。烷基可為經一或更多取代基(例如,一或更多鹵素或烷氧基)取代之一級、二級或三級烷基。在一些實施例中,未經取代之烷基為C 1-3、C 1-6、C 1-8、C 1-10、C 1-12、C 1-16、C 1-18、C 1-20、C 1-24、C 2-6、C 2-8、C 2-10、C 2-12、C 2-16、C 2-18、C 2-20、C 2-24、C 3-8、C 3-10、C 3-12、C 3-16、C 3-18、C 3-20或C 3-24烷基。
「亞烷基(alkylene)」意指烷基之多價(例如,二價)形式,如本文所述。示例性亞烷基包括亞甲基、亞乙基、亞丙基、亞丁基等。在一些實施例中,亞烷基為C 1-3、C 1-6、C 1-12、C 1-16、C 1-18、C 1-20、C 1-24、C 2-3、C 2-6、C 2-12、C 2‑16、C 2-18、C 2-20、或C 2-24亞烷基。亞烷基可為支鏈或非支鏈。亞烷基亦可經取代或未經取代。例如,亞烷基可經一或更多取代基取代,如本文對烷基所述。
「炔基(alkynyl)」意指具有一或更多三鍵之視情況取代的C 2-24烷基。炔基可為環狀或非環狀,例如乙炔基、1-丙炔基及類似者。炔基亦可經取代或未經取代。例如,炔基可經一或更多取代基取代,如本文對烷基所述。 在一些實施例中,未經取代之炔基為C 2-6、C 2-8、C 2-10、C 2-12、C 2-16、C 2-18、C 2-20、C 2-24、C 3-8、C 3-10、C 3-12、C 3-16、C 3-18、C 3-20或C 3-24炔基。
「亞炔基(alkynylene)」意指炔基(其為具有一或更多三鍵之視情況取代的C 2-24烷基)之多價(例如,二價)形式。亞炔基可為環狀或非環狀。亞炔基可經取代或未經取代。例如,亞炔基可經一或更多取代基取代,如本文對烷基所述。示例性非限定亞炔基包括-C≡C-或‑C≡CCH 2-。
「胺基(amino)」意指-NR N1R N2,其中每一R N1及R N2獨立為H、視情況取代之烷基或視情況取代之芳基,或R N1與R N2與各自連接之氮原子一起形成如本文所定義之雜環基。
「芳基(aryl)」意指含有任何基於碳之芳香族基的基團,包括,但不限於,苯基(phenyl)、芐基(benzyl)、蒽基(anthracenyl)、蒽基(anthryl)、苯並環丁烯基(benzocyclobutenyl)、苯並環辛烯基(benzocyclooctenyl)、聯苯基(biphenylyl)、蔗基(chrysenyl)、二氫茚基(dihydroindenyl)、丙[二]烯合茀基(fluoranthenyl)、二環戊二烯並苯基(indacenyl)、茚基(indenyl)、萘基(naphthyl)、菲基(phenanthryl)、苯氧基芐基(phenoxybenzyl)、苉基(picenyl)、芘基(pyrenyl)、三聯苯基(terphenyl)及類似者,包括稠合苯並-C 4-8環烷基基團(例如,如本文所定義),舉例如二氫茚基(indanyl)、四氫化萘基(tetrahydronaphthyl)、茀基(fluorenyl)及類似者。術語芳基亦包括雜芳基,其定義為含有芳香族基的基團,且芳香族基具有至少一雜原子併入芳香族基之環內。雜原子之示例包括,但不限於,氮、氧、硫及磷。類似地,術語「非雜芳基(non-heteroaryl)」(包含於術語「芳基」內)定義含有不含雜原子之芳香族基的基團。芳基可為經取代或未經取代。芳基可經一、兩、三、四或五個取代基取代,例如本文中對烷基所述之任一者。
「亞芳基(arylene)」意指如本文所述之芳基的多價(例如,二價)形式。示例性亞芳基包括亞苯基(phenylene)、亞萘基(naphthylene)、亞聯苯基(biphenylene)、亞三聯苯基(triphenylene)、二苯醚(diphenyl ether)、亞二氫苊基(acenaphthenylene)、亞蒽基(anthrylene)或亞菲基(phenanthrylene)。在一些實施例中,亞芳基為C 4-18、C 4-14、C 4-12、C 4-10、C 6-18、C 6-14、C 6-12、或C 6-10亞芳基。亞芳基可為支鏈或非支鏈。亞芳基亦可經取代或未經取代。例如,亞芳基可經一或更多取代基取代,如本文對烷基或芳基所述。
「亞(芳基)(烷基)((aryl)(alkyl)ene)」意指包括連接至如本文所述亞烷基或亞雜烷基之如本文所述亞芳基的二價形式。在一些實施例中,亞(芳基)(烷基)為-L-Ar-或-L-Ar-L-或-Ar-L-,其中Ar為亞芳基,且每一L獨立為視情況取代之亞烷基或視情況取代之亞雜烷基。
「羰基(carbonyl)」意指-C(O)-基團,其亦可表示為>C=O或-CO基團。
「羧基(carboxyl)」意指-CO 2H基團。
「羧酸(carboxylic acid)」意指具有一或更多羧基之如本文定義的酸。羧酸的示例包括單羧酸(具有單個羧基)或二羧酸(具有兩個羧基)。
「羧烷基(carboxyalkyl)」意指經一或更多如本文定義羧基取代之如本文定義烷基。
「羧芳基(carboxyaryl)」意指經一或更多本文所定義羧基取代之本文所定義芳基。
「環酐(cyclic anhydride)」意指環內具有-C(O)-O-C(O)-基團之3-、4-、5-、6-或7-元環(例如5-,6-或7-元環),除非另有說明。術語「環酐」亦包括雙環、三環及四環基,其中上述環之任一者稠合至獨立選自由芳環、環己烷環、環己烯環、環戊烷環、環戊烯環及另一單環雜環狀環所組成之群組中的一、兩或三個環。示例性環酐基包括由琥珀酸酐(succinic anhydride)、戊二酸酐(glutaric anhydride)、馬來酸酐(maleic anhydride)、鄰苯二甲酸酐(phthalic anhydride)、異色滿-1,3-二酮(isochroman-1,3-dione)、己二酸酐(oxepanedione)、四氫鄰苯二甲酸酐(tetrahydrophthalic anhydride)、六氫鄰苯二甲酸酐(hexahydrophthalic anhydride)、均苯四甲酸二酐(pyromellitic dianhydride)、萘酐(naphthalic anhydride)、1,2-環己烷二羧酐(1,2-cyclohexanedicarboxylic anhydride)等透過去除一或更多氫所形成之基團。其他示例性環酐基包括二氧四氫呋喃基(dioxotetrahydrofuranyl)、二氧二氫異苯並呋喃基(dioxodihydroisobenzofuranyl)等。環酐基亦可經取代或未經取代。例如,環酐基可經一或更多基團(包括本文對雜環基所述之彼者)取代。
「環烯基(cycloalkenyl)」意指具有一或更多雙鍵之三至八個碳原子(除非另有說明)的單價未飽和非芳香族或芳香族環狀烴基。環烯基亦可經取代或未經取代。例如,環烯基可經一或更多個基團(包括本文對烷基所述之彼者)取代。
「環烷基(cycloalkyl)」意指三至八個碳(除非另有說明)之單價飽和或不飽和非芳香族或芳香族環狀烴基,且示例為環丙基、環丁基、環戊基、環戊二烯基、環己基、環庚基、雙環[2.2.1.]庚基及類似者。環烷基亦可為經取代或未經取代。例如,環烷基可經一或更多基團(包括本文對烷基所述之彼者)取代。
「鹵素(halo)」意指F、Cl、Br或I。
「鹵代烯基(haloalkenyl)」意指經一或更多鹵素取代之本文所定義的烯基。
「鹵代烷基(haloalkyl)」意指經一或更多鹵素取代之本文所定義的烷基。
「鹵代炔基(haloalkynyl)」意指經一或更多鹵素取代之本文所定義的炔基。
「鹵代芳基(haloaryl)」意指經一或更多鹵素取代之本文所定義的芳基。
「雜烷基(heteroalkyl)」意指含有一、兩、三或四個非碳雜原子(例如,獨立選自由氮、氧、磷、硫、硒或鹵素所組成之群組)之如本文所定義的烷基。
「亞雜烷基(heteroalkylene)」意指含有一、兩、三或四個非碳雜原子(例如,獨立選自由氮、氧、磷、硫、硒或鹵素所組成之群組)之本文所定義之烷基的二價形式。亞雜烷基可為經取代或未經取代。例如,亞雜烷基可經一或更多取代基取代,如本文中對烷基所述。
「雜環基(heterocyclyl)」意指含有一、兩、三或四個非碳雜原子(例如,獨立選自由氮、氧、磷、硫、硒或鹵素所組成之群組)之3-、4-、5-、6-或7-元環(例如5-、6-或7-元環),除非另有說明。3-元環具有零至一個雙鍵,4-及5-元環具有零至兩個雙鍵,而6-及7-元環具有零至三個雙鍵。術語「雜環基」亦包括雙環、三環及四環基,其中上述雜環狀環之任一者稠合至獨立選自由芳環、環己烷環、環己烯環、環戊烷環、環戊烯環及另一單環雜環狀環所組成之群組中的一、兩或三個環,例如吲哚基(indolyl)、喹啉基(quinolyl)、異喹啉基(isoquinolyl)、四氫喹啉基(tetrahydroquinolyl)、苯並呋喃基(benzofuryl)、苯並噻吩基(benzothienyl)及類似者。雜環包括吖啶基(acridinyl)、腺嘌呤基(adenyl)、咯嗪基(alloxazinyl)、氮雜金剛烷基(azaadamantanyl)、氮雜苯並咪唑基(azabenzimidazolyl)、氮雜雙環壬基(azabicyclononyl)、氮雜環庚基(azacycloheptyl)、氮雜環辛基(azacyclooctyl)、氮雜環壬基(azacyclononyl)、氮雜次黃嘌呤基(azahypoxanthinyl)、氮雜吲唑基(azaindazolyl)、氮雜吲哚基(azaindolyl)、氮雜癸因基(azecinyl)、氮雜環庚烷基(azepanyl)、氮雜庚因基(azepinyl)、吖丁啶基(azetidinyl)、氮雜環丁二烯基(azetyl) 、吖丙啶基(aziridinyl)、次乙亞胺基(azirinyl)、氮雜環辛烷基(azocanyl)、吖辛因基(azocinyl)、氮雜環壬烷基(azonanyl)、苯並咪唑基(benzimidazolyl)、苯並異噻唑基(benzisothiazolyl)、苯並異噁唑基(benzisoxazolyl)、苯並二氮雜庚因基(benzodiazepinyl)、苯並二吖辛因基(benzodiazocinyl)、苯並二氫呋喃基(benzodihydrofuryl)、苯並二氧庚因基(benzodioxepinyl)、苯並二氧雜環己烯基(benzodioxinyl)、苯並二氧雜環己基(benzodioxanyl)、苯並二氧辛因基(benzodioxocinyl)、苯並二氧雜環戊烯基(benzodioxolyl)、苯並二噻庚因基(benzodithiepinyl)、苯並二硫雜環己二烯基 (benzodithiinyl)、苯並二氧辛因基(benzodioxocinyl)、苯並呋喃基(benzofuranyl)、苯並吩嗪基(benzophenazinyl)、苯並吡喃酮基(benzopyranonyl)、苯並哌喃基(benzopyranyl)、苯並芘基(benzopyrenyl)、苯並吡喃酮基(benzopyronyl)、苯並喹啉基(benzoquinolinyl)、苯並喹嗪基(benzoquinolizinyl)、苯並硫二氮庚因基(benzothiadiazepinyl)、苯並噻二唑基(benzothiadiazolyl)、苯並硫氮庚因基(benzothiazepinyl)、苯並硫吖辛因基(benzothiazocinyl)、苯並噻唑基(benzothiazolyl)、苯並噻吩基(benzothienyl)、苯並苯硫基(benzothiophenyl)、苯並噻嗪酮基(benzothiazinonyl)、苯並噻嗪基(benzothiazinyl)、苯並噻喃基(benzothiopyranyl)、苯並噻喃酮基(benzothiopyronyl)、苯並三氮庚因基(benzotriazepinyl)、苯並三嗪酮基(benzotriazinonyl)、苯並三嗪基(benzotriazinyl)、苯並***基(benzotriazolyl)、苯並氧硫雜環己二烯基(benzoxathiinyl)、苯並三氧庚因基(benzotrioxepinyl)、苯並氧二氮庚因基(benzoxadiazepinyl)、苯並氧硫氮庚因基(benzoxathiazepinyl)、苯並氧硫庚因基(benzoxathiepinyl)、苯並氧硫辛因基(benzoxathiocinyl)、苯並氧氮庚因基(benzoxazepinyl)、苯並噁嗪基(benzoxazinyl)、苯並氧吖辛因基(benzoxazocinyl)、苯並噁唑啉酮基(benzoxazolinonyl)、苯並噁唑啉基(benzoxazolinyl)、苯並噁唑基(benzoxazolyl)、苄基磺內醯胺基(benzylsultamyl)、苄基亞磺內醯胺基(benzylsultimyl)、雙吡嗪基(bipyrazinyl)、雙吡啶基(bipyridinyl)、咔唑基(carbazolyl) (例如4H-咔唑基)、咔啉基(carbolinyl)(例如β-咔啉基)、色滿酮基(chromanonyl)、𠳭基(chromanyl)、𠳭烯基(chromenyl)、㖕啉 (cinnolinyl)、香豆素基(coumarinyl)、胞苷基(cytdinyl)、胞嘧啶基(cytosinyl)、十氫異喹啉基(decahydroisoquinolinyl)、十氫喹啉基(decahydroquinolinyl)、二氮雜二環辛烷基(diazabicyclooctyl)、二氮雜環丁二稀基(diazetyl)、二氮丙啶亞硫醯基(diaziridinethionyl)、二氮丙啶酮基(diaziridinonyl)、二吖丙啶基(diaziridinyl)、二次乙亞胺基(diazirinyl)、二苯異喹啉基(dibenzisoquinolinyl)、二苯並吖啶基(dibenzoacridinyl)、二苯並咔唑基(dibenzocarbazolyl)、二苯並呋喃基(dibenzofuranyl)、二苯並吩嗪基(dibenzophenazinyl)、二苯並吡喃酮基(dibenzopyranonyl)、二苯並吡喃酮基(dibenzopyronyl)(咕吨酮基/xanthonyl)、二苯並喹噁啉(dibenzoquinoxalinyl)、二苯並硫氮庚因基(dibenzothiazepinyl)、二苯並硫庚因基(dibenzothiepinyl)、二苯並苯硫基(dibenzothiophenyl)、二苯並氧庚因基(dibenzoxepinyl)、二氫氮庚因基(dihydroazepinyl)、二氫氮雜環丁二烯基(dihydroazetyl)、二氫呋喃基(dihydrofuranyl)、二氫呋喃基(dihydrofuryl)、二氫異喹啉基(dihydroisoquinolinyl)、二氫哌喃基(dihydropyranyl)、二氫吡啶基(dihydropyridinyl)、二氫吡啶基(dihydroypyridyl)、二氫喹啉基(dihydroquinolinyl)、二氫噻吩基(dihydrothienyl)、二氫吲哚基(dihydroindolyl)、二氧雜環己基(dioxanyl)、二噁嗪基(dioxazinyl)、二氧吲哚基(dioxindolyl)、二環氧乙烷基(dioxiranyl)、二環氧乙烯基(dioxenyl)、二氧雜環己烯基(dioxinyl)、二氧苯並呋喃基(dioxobenzofuranyl)、二氧雜環戊烯基(dioxolyl)、二氧四氫呋喃基(dioxotetrahydrofuranyl)、二氧硫代嗎啉基(dioxothiomorpholinyl)、二噻環己基(dithianyl)、二噻唑基(dithiazolyl)、二噻吩基(dithienyl)、硫雜環己二烯基(dithiinyl)、呋喃基(furanyl)、呋吖基(furazanyl)、呋喃甲醯基(furoyl)、呋喃基(furyl)、鳥嘌呤基(guaninyl)、均哌嗪基(homopiperazinyl)、均哌啶基(homopiperidinyl)、次黃嘌呤基(hypoxanthinyl)、乙內醯脲基(hydantoinyl)、咪唑啉啶基(imidazolidinyl)、咪唑啉基(imidazolinyl)、咪唑基(imidazolyl)、吲唑基(indazolyl)(例如1H-吲唑基)、吲哚烯基(indolenyl)、吲哚啉基(indolinyl)、吲哚嗪基(indolizinyl)、吲哚基(indolyl)(例如,1H-吲哚基或3H-吲哚基)、靛紅基(isatinyl、isatyl)、異苯並呋喃基(isobenzofuranyl)、異𠳭基(isochromanyl)、異𠳭烯基(isochromenyl)、異吲唑基(isoindazoyl)、異吲哚啉基(isoindolinyl)、異吲哚基(isoindolyl)、異吡唑醯基(isopyrazolonyl)、異吡唑基(isopyrazolyl)、異噁唑啶基 (isoxazolidiniyl)、異噁唑基(isoxazolyl)、異喹啉基(isoquinolinyl)、異喹啉基(isoquinolinyl)、異噻唑啶基(isothiazolidinyl)、異噻唑基(isothiazolyl)、嗎啉基(morpholinyl)、萘並吲唑基(naphthindazolyl)、萘並吲哚基(naphthindolyl)、二氮雜萘基(naphthiridinyl)、萘並吡喃基(naphthopyranyl)、萘並噻唑基(naphthothiazolyl)、萘並硫酮基(naphthothioxolyl)、萘並***基(naphthotriazolyl)、萘並氧代吲哚基(naphthoxindolyl)、㖠啶基(naphthyridinyl)、八氫異喹啉基(octahydroisoquinolinyl)、氧雜雙環庚烷基(oxabicycloheptyl)、氧雜脲嘧啶(oxauracil)、噁二唑基(oxadiazolyl)、噁嗪基(oxazinyl)、噁吖丙啶基(oxaziridinyl)、噁唑啶基(oxazolidinyl)、噁唑啶酮基(oxazolidonyl)、噁唑啉基(oxazolinyl)、噁唑酮基(oxazolonyl)、噁唑基(oxazolyl)、氧雜環庚烷基(oxepanyl)、氧雜環丁烷酮基 (oxetanonyl)、氧雜環丁烷基(oxetanyl)、氧雜環丁烯基(oxetyl)、氧雜環丁烷基(oxtenayl)、氧吲哚基(oxindolyl)、環氧乙烷基(oxiranyl)、氧代苯並異噻唑基(oxobenzoisothiazolyl)、 氧代𠳭烯基(oxochromenyl)、氧代異喹啉基(oxoisoquinolinyl)、氧代喹啉基(oxoquinolinyl)、氧代硫雜環戊基(oxothiolanyl)、啡啶基(phenanthridinyl)、啡啉基(phenanthrolinyl)、啡嗪基(phenazinyl)、啡噻嗪基(phenothiazinyl)、啡噻吩基(phenothienyl)(苯並硫代呋喃基/benzothiofuranyl)、啡噁噻基(phenoxathiinyl)、啡噁嗪基(phenoxazinyl)、酞嗪基(phthalazinyl)、酞嗪酮基(phthalazonyl)、酞基(phthalidyl)、苯並吡咯烷酮基(phthalimidinyl)、哌嗪基(piperazinyl)、哌啶基(piperidinyl)、哌啶酮基(piperidonyl)(例如,4-哌啶酮基)、喋啶基(pteridinyl)、嘌呤基(purinyl)、哌喃基(pyranyl)、吡嗪基(pyrazinyl)、吡唑啶基(pyrazolidinyl)、吡唑啉基(pyrazolinyl)、吡唑並嘧啶基(pyrazolopyrimidinyl)、吡唑基(pyrazolyl)、噠嗪基(pyridazinyl)、吡啶基(pyridinyl)、吡啶並吡嗪基(pyridopyrazinyl)、吡啶並嘧啶基(pyridopyrimidinyl)、吡啶基(pyridyl)、嘧啶基(pyrimidinyl)、嘧啶基(pyrimidyl)、吡喃酮基(pyronyl)、吡咯啶基(pyrrolidinyl)、吡咯啶酮基(pyrrolidonyl)(例如,2-吡咯啶酮基)、吡咯啉基(pyrrolinyl)、吡咯嗪烷基(pyrrolizidinyl)、吡咯基(pyrrolyl)(例如,2H-吡咯基)、吡喃鎓(pyrylium)、喹唑啉基(quinazolinyl)、喹啉基(quinolinyl)、喹嗪基(quinolizinyl)(例如,4H-喹嗪基)、喹噁啉(quinoxalinyl)、喹嚀環基(quinuclidinyl)、硒雜吖嗪基(selenazinyl)、硒雜唑基(selenazolyl)、硒吩基(selenophenyl)、琥珀醯亞胺基(succinimidyl)、環丁碸基(sulfolanyl)、四氫呋喃基(tetrahydrofuranyl)、四氫呋喃基(tetrahydrofuryl)、四氫異喹啉基(tetrahydroisoquinolinyl)、四氫異喹啉基(tetrahydroisoquinolyl)、四氫吡啶基(tetrahydropyridinyl)、四氫吡啶基(tetrahydropyridyl)、哌啶基(piperidyl)、四氫哌喃基(tetrahydropyranyl)、四氫吡喃酮基(tetrahydropyronyl)、四氫喹啉基(tetrahydroquinolinyl)、四氫喹啉基(tetrahydroquinolyl)、四氫噻吩基(tetrahydrothienyl)、 四氫苯硫基(tetrahydrothiophenyl)、四嗪基(tetrazinyl)、四唑基(tetrazolyl)、噻二嗪基(thiadiazinyl)(例如,6H-1,2,5-噻二嗪基或2H,6H-1,5,2-二噻二嗪基)、噻二唑基(thiadiazolyl)、噻嗯基(thianthrenyl)、噻環己基(thianyl)、硫茚基(thianaphthenyl)、硫氮庚因基(thiazepinyl)、噻嗪基(thiazinyl)、噻唑烷二酮基(thiazolidinedionyl)、噻唑啶基(thiazolidinyl)、噻唑基(thiazolyl)、噻吩基(thienyl)、硫雜環庚烷基(thiepanyl)、硫雜庚因基(thiepinyl)、氧雜環丁烷基(thietanyl)、硫雜雜環丁烯基(thietyl)、硫雜環丙基(thiiranyl)、硫雜環辛烷基(thiocanyl)、硫代色滿酮基(thiochromanonyl)、硫代𠳭基(thiochromanyl)、硫代𠳭烯基(thiochromenyl)、硫代二嗪基(thiodiazinyl)、噻二唑基(thiodiazolyl)、噻茚酚基(thioindoxyl)、硫代嗎啉基(thiomorpholinyl)、苯硫基(thiophenyl)、噻喃基(thiopyranyl)、硫代吡喃酮基(thiopyronyl)、硫代***基(thiotriazolyl)、硫代 脲唑基(thiourazolyl)、硫氧雜環己基(thioxanyl)、硫氧雜環戊烯基(thioxolyl)、胸嘧啶基(thymidinyl)、胸苷基(thyminyl)、三嗪基(triazinyl)、***基(triazolyl)、三噻環己基(trithianyl)、脲嗪基(urazinyl)、脲唑基(urazolyl)、脲丁啶基(uretidinyl)、脲啶基(uretinyl)、脲嘧啶基(uricyl)、脲苷基(uridinyl)、呫吨基(xanthenyl)、黄嘌呤基(xanthinyl)、𠮿硫酮基(xanthionyl) 及類似者,以及其修飾形式(例如,包括一或更多側氧基及/或胺基)及其鹽類。雜環基可為經取代或未經取代。例如,雜環基可經一或更多取代基取代,如本文對烷基所述。
「烴基(hydrocarbyl) 」意指透過從烴中除去氫原子而形成之一價基團。非限定之未經取代烴基包括如本文所定義之烷基、烯基、炔基及芳基,其中此些基團僅包括碳及氫原子。烴基可為經取代或未經取代。例如,烴基可經一或更多取代基取代,如本文對烷基所述。在其他實施例中,本文中之任何烷基或芳基可被本文所定義之烴基取代。
「羥基(hydroxyl) 」意指-OH。
「羥烷基(hydroxyalkyl) 」意指經一至三個羥基取代之本文所定義的烷基,條件是不超過一個羥基可連接至該烷基之單個碳原子,且示例為羥甲基、二羥丙基及類似者。
「羥芳基(hydroxyaryl) 」意指經一至三個羥基取代之本文所定義的芳基,條件是不超過一個羥基可連接至該芳基之單個碳原子,且示例為羥苯基、二羥苯基及類似者。
「異氰酸基(isocyanato) 」意指-NCO。
「氧負離子基(oxido) 」意指-O 基團。
「側氧基(oxo) 」意指= O基團。
「含氧酸(oxoacid)」意指含有一或更多氧原子之本文所定義的酸。非限定含氧酸包括磷含氧酸(例如,包含一或更多磷原子之本文所定義的含氧酸)或硫含氧酸(例如,包含一或更多硫原子之本文所定義的含氧酸)。
「膦(phosphine) 」意指具有烴基部分之三價或四價磷。在一些實施例中,膦為-PR P 3基團,其中每一R P獨立為H、視情況取代之烷基或視情況取代之芳基。膦基可為經取代或未經取代。例如,膦基可經一或更多取代基取代,如本文對烷基所述。
「硒醇(selenol) 」意指-SeH基團。
「碲醇(tellurol) 」意指-TeH基團。
「硫異氰酸基(thioisocyanato) 」意指-NCS。
「硫醇(thiol)」意指-SH基團。
如本文所使用,術語「頂部」、 「底部」、 「上部」、 「下部」、 「上方」及「下方」用於提供結構之間的相對關係。此些術語之使用並不表示或要求特定結構必須放置在設備中之特定位置。 結論
使用酸進行顯影或處理輻射敏感膜之方法及設備。在一些實例中,揭示將酸(在乾式或濕式製程中)用於乾式沉積(例如,透過化學氣相沉積(CVD))EUV可光圖案化阻膜之負型顯影。在其他實例中,亦揭示將酸(在乾式或濕式製程中)用於去除殘留阻劑成分。
當理解,本文所述之示例及實施例僅用於說明目的,且將對本領域技術人員建議諸多鑑於此的修改或改變。儘管為清楚起見已省略諸多細節,但可實施諸多設計替代方案。因此,本示例應視為說明性而非限定,且本發明不限於本文所給出的細節,而是可在本發明之範圍內進行修改。
提供以下示例請求項以進一步說明本發明之某些實施例。本發明不必限於此些實施例。
101:基板 102:阻膜、均質膜 105:光吸收 105a:較低值 105b:較高值 111:基板 112:均質膜 115:光吸收 115a:下部 115b:上部 121:基板 122:阻膜 125:光吸收 125a:較低值 125b:較高值 131:基板 132:阻膜 133:吸收層 134:二次電子 135:光吸收 135a:下部 135b:上部 200:方法 201:操作 202:操作 211:基板 212:阻膜 212a:輻射未曝光區 212b:輻射曝光區 220:方法 221:操作 222:操作 223:操作 224:操作 231:基板 232:阻膜 232a:第一濃度 232b:第二濃度 233a:輻射未曝光區 233b:輻射曝光區 233c:殘留物質 240:方法 241:操作 242:操作 243:操作 244:操作 251:基板 252:阻膜 252a:輻射未曝光區 252b:輻射曝光區 253:吸收層 253a:輻射未曝光區 253b:輻射曝光區 400:方法 401:沉積 402:EUV曝光 403:顯影 410:基板 411:阻膜 411a:第一濃度 411b:第二濃度 413a:EUV未曝光區 413b:EUV曝光區 414:遮罩 415:EUV光束 416a:去除區 416b:保留區 450:方法 451:沉積 452:極紫外光(EUV)曝光 453:顯影 454:處理 460:基板 461:阻膜 461a:第一濃度 461b:第二濃度 463a:極紫外光(EUV)未曝光區 463b:極紫外光(EUV)曝光區 464:遮罩 465:極紫外光(EUV)光束 466a:殘留阻劑成分 466b:保留區 467a:去除區 467b:保留區 500:方法 501:操作 502:操作 503:操作 504:操作 505:操作 506:操作 507:操作 508:操作 509:操作 510:方法 511:操作 512:操作 513:操作 514:操作 515:操作 516:操作 517:操作 518:操作 519:操作 520:方法 521:操作 521a:操作 521b:操作 522:操作 523:操作 524:操作 525:操作 526:操作 527:操作 528:操作 529:操作 530:方法 531:操作 531a:操作 531b:操作 532:操作 533:操作 534:操作 535:操作 536:操作 537:操作 540:方法 541:操作 541a:操作 541b:操作 542:操作 543:操作 544:操作 545:操作 546:操作 547:操作 547a:操作 547b:操作 600:製程站 601a:反應物輸送系統 602:製程腔室主體 603:汽化點 604:混合容器 606:噴淋頭 608:基座 610:加熱器 612:基板 614:射頻(RF)功率供應源 616:匹配網路 618:蝶閥 620:混合容器入口閥 650:電腦控制器 700:處理工具、製程工具 702:入站裝載室 704:出站裝載室 706:機器人 708:傳送盒(pod) 710:大氣埠 712:基座 714:處理腔室 716:腔室轉移埠 718:基座 750:系統控制器 752:處理器 754:大容量儲存裝置 756:記憶體裝置 758:系統控制軟體 800:感應耦合式電漿設備 801:腔室壁 802:上部次腔室 803:下部次腔室 811:窗口 817:吸盤 819:半導體晶圓 821:匹配電路 822:埠 823:射頻(RF)功率供應源 825:連接 827:連接 830:系統控制器 833:線圈 839:匹配電路 840:真空泵 841:射頻(RF)功率供應源 843:連接 845:連接 849:法拉第屏蔽 850:電漿格柵 860:主氣流入口 870:側氣流入口 920a:處理模組、沉積模組、沉積製程模組 920b:處理模組 920c:處理模組 920d:處理模組 922:真空轉移模組機器人 924:末端執行器 926:晶圓 936:端面 938:真空轉移模組 940:圖案化模組、圖案化工具 942:氣室 944:前端機器人 946:氣室、進入裝載室、進入氣室模組 950:系統控制器 1000:設備 1002:處理腔室 1004:晶圓轉移通道 1006:閘閥 1008:蓋體 1010:噴淋頭 1012:第一氣室 1014:第二氣室 1016:第一入口 1018:第二入口 1020:反應空間 1022:基板 1024:晶圓支撐件 1026:靜電吸盤(ESC) 1028:頂板 1030a:電阻加熱器跡線 1030b:電阻加熱器跡線 1030c:電阻加熱器跡線 1030d:電阻加熱器跡線 1034:底板 1036:熱交換通道 1038:上邊緣環 1040a:下邊緣環 1040b:下邊緣環 1040c:下邊緣環 1042:晶圓支撐殼體 1044:晶圓支撐柱 1046:晶圓支撐z致動器 1048:佈線通道 1050:擋板 1052:真空前級管線 1054:調節器閥 1056:環形氣室 1058:盒式加熱器 1060:加熱器毯 1068:閥歧管 1068a:第一閥歧管 1068b:第二閥歧管 1070a:第一旁路管線 1070b:第二旁路管線 1072:汽化器 1072a:第一汽化器 1072b:第二汽化器 1072c:汽化器 1072d:汽化器 1074:沖洗氣體源 1084:控制器 1086:處理器 1088:記憶體裝置 A1:控制閥 A2:控制閥 A3:控制閥 A4:控制閥 A5:控制閥 B1:控制閥 B2:控制閥 B3:控制閥 B4:控制閥 B5:控制閥
1A-1D呈現非限定圖案化結構之示意圖。提供(A)具有基板101及呈30%相對低EUV吸收之均質膜102的結構;(B)具有基板111及呈90%相對高EUV吸收之均質膜112的另一結構;(C)具有基板121及梯度膜122的結構;以及(D)具有基板131、膜132及在膜132下方之輻射吸收層133的結構。
1E呈現示出諸多元素之極紫外光(EUV)光吸收截面的非限定圖。與內層電子激發相關之EUV光(92 eV, 13.5 nm)的吸收可幾乎無關於化學鍵結。
2A-2C呈現製作並使用圖案化結構之非限定方法的示意圖。
3A-3D呈現非限定第一前驅物與非限定第二前驅物之間的反應式。
4A-4B呈現對阻膜使用酸之非限定方法的示意圖。提供(A)使用酸之負型顯影製程的非限定方法400;(B) 使用負型顯影製程接著用酸進行處理的另一非限定方法450。
5A-5E呈現用於製作並使用阻膜之非限定方法的示意方塊圖。
6呈現用於乾式顯影之製程站600實施例的示意圖。
7呈現多站處理工具700實施例的示意圖。
8呈現感應耦合式電漿設備800實施例的示意圖。
9呈現半導體製程叢集工具架構900實施例的示意圖。
10繪出乾式沉積設備1000示例的剖面示意圖。
200:方法
201:操作
202:操作
211:基板
212:阻膜
212a:輻射未曝光區
212b:輻射曝光區

Claims (48)

  1. 一種處理基板的方法,包括: 將一輻射敏感阻膜曝光至一圖案化輻射源,因而提供具有一曝光區及一未曝光區之一曝光阻膜,其中該阻膜包括具有高圖案化輻射吸收截面之兩個或更多元素; 透過去除該未曝光區以對該曝光阻膜顯影,因而透過負型顯影製程形成一圖案;以及 選擇性地處理該圖案, 其中該方法包括: 在酸存在下進行所述顯影,或 在酸存在下進行所述處理該圖案。
  2. 如請求項1所述之方法,其中該方法包括在酸存在下進行所述顯影。
  3. 如請求項2所述之方法,其中所述顯影包括乾式顯影製程。
  4. 如請求項3所述之方法,其中所述顯影包括將酸或配置成提供該酸之反應物的蒸氣遞送至該曝光膜。
  5. 如請求項4所述之方法,其中配置成提供該酸之該反應物包括鹵化硼烷;三氯硼烷(BCl 3);三溴硼烷(BBr 3);三碘硼烷(BI 3);鹵化矽烷;四氯矽烷(SiCl 4);四溴矽烷(SiBr 4);四碘矽烷(SiI 4);或鹵化三烷基矽烷(trialkyl silyl halide),包括氯化三甲基矽烷(trimethylsilyl chloride)、溴化三甲基矽烷(trimethylsilyl bromide)、碘化三甲基矽烷(trimethylsilyl iodide)、氯化三乙基矽烷(triethylsilyl chloride)、溴化三乙基矽烷(triethylsilyl bromide)、碘化三乙基矽烷(triethylsilyl iodide)、氯化三丙基矽烷(tripropylsilyl chloride)、溴化三丙基矽烷 (tripropylsilyl bromide)、碘化三丙基矽烷(tripropylsilyl iodide)、氯化三異丙基矽烷(triisopropylsilyl chloride)、溴化三異丙基矽烷(triisopropylsilyl bromide)、碘化三異丙基矽烷(triisopropylsilyl iodide)、氯化三丁基矽烷 (tributylsilyl chloride)、溴化三丁基矽烷(tributylsilyl bromide)、碘化三丁基矽烷 (tributylsilyl iodide)、氯化三異丁基矽烷(triisobutylsilyl chloride)、溴化三異丁基矽烷(triisobutylsilyl bromide)、碘化三異丁基矽烷(triisobutylsilyl iodide)、氯化三仲丁基矽烷(trisecbutylsilyl chloride)、溴化三仲丁基矽烷(trisecbutylsilyl bromide)、碘化三仲丁基矽烷 (trisecbutylsilyl iodide)、氯化三叔丁基矽烷(tritertbutylsilyl chloride)、溴化三叔丁基矽烷(tritertbutylsilyl bromide)、碘化三叔丁基矽烷(tritertbutylsilyl iodide)、氯化二甲基-乙基-矽烷(dimethyl-ethyl-silyl chloride)、氯化二甲基-丙基-矽烷(dimethyl-propyl-silyl chloride)、氯化二甲基-異丙基-矽烷(dimethyl-isopropyl-silyl chloride)、氯化二甲基-丁基-矽烷(dimethyl-butyl-silyl chloride)、氯化二甲基-異丁基-矽烷(dimethyl-isobutyl-silyl chloride)、氯化-二甲基-仲丁基-矽烷(dimethyl-secbutyl-silyl chloride)、氯化二甲基-叔丁基-矽烷 (dimethyl-tertbutyl-silyl chloride)、溴化二甲基-叔丁基-矽烷 (dimethyl-tertbutyl-silyl bromide)、碘化二甲基-叔丁基-矽烷(dimethyl-tertbutyl-silyl iodide)、氯化甲基-二乙基-矽烷(methyl-diethyl-silyl chloride)、溴化甲基-二乙基-矽烷(methyl-diethyl-silyl bromide)、碘化甲基-二乙基-矽烷 (methyl-diethyl-silyl iodide)、氯化甲基-二丙基-矽烷(methyl-dipropyl-silyl chloride)、氯化甲基-二異丙基-矽烷 (methyl-diisopropyl-silyl chloride)、氯化甲基-二丁基-矽烷 (methyl-dibutyl-silyl chloride)、氯化甲基-二異丁基-矽烷(methyl-diisobutyl-silyl chloride)、氯化甲基-二仲丁基-矽烷(methyl-disecbutyl-silyl chloride)、氯化甲基-二叔丁基-矽烷(methyl-ditertbutyl-silyl chloride)、溴化甲基-二叔丁基-矽烷 (methyl-ditertbutyl-silyl bromide)、碘化甲基-二叔丁基-矽烷 (methyl-ditertbutyl-silyl iodide);二烷基二鹵矽烷(dialkyl dihalo silane),包括 : 二甲基二氯矽烷(dimethyldichloro silane)、二甲基二溴矽烷(dimethyldibromo silane)、二甲基二碘矽烷(dimethyldiiodo silane)、二乙基二氯矽烷(diethyldichloro silane)、二乙基二溴矽烷(diethyldibromo silane)、二乙基二碘矽烷(diethyldiiodo silane)、二丙基二氯矽烷(dipropyldichloro silane)、二丙基二溴矽烷(dipropyldibromo silane)、二丙基二碘矽烷(dipropyldiiodo silane)、二異丙基二氯矽烷(diisopropyldichloro silane)、二異丙基二溴矽烷(diisopropyldibromo silane)、二異丙基二碘矽烷(diisopropyldiiodo silane)、二丁基二氯矽烷(dibutyldichloro silane)、二丁基二溴矽烷(dibutyldibromo silane)、二丁基二碘矽烷 (dibutyldiiodo silane)、二異丁基二氯矽烷(diisobutyldichloro silane)、二異丁基二溴矽烷(diisobutyldibromo silane)、二異丁基二碘矽烷(diisobutyldiiodo silane)、二仲丁基二氯矽烷(disecbutyldichloro silane)、二仲丁基二溴矽烷(disecbutyldibromo silane) 、二仲丁基二碘矽烷(disecbutyldiiodo silane)、二叔丁基二氯矽烷(ditertbutyldichloro silane)、二叔丁基二溴矽烷(ditertbutyldibromo silane)、二叔丁基二碘矽烷(ditertbutyldiiodo silane)、甲基-乙基-二氯矽烷(methyl-ethyl-dichloro silane)、甲基-乙基-二溴矽烷(methyl-ethyl-dibromo silane)、甲基-乙基-二碘矽烷(methyl-ethyl-diiodo silane)、甲基-丙基-二氯矽烷(methyl-propyl-dichloro silane)、甲基-異丙基-二氯矽烷(methyl-isopropyl-dichloro silane)、甲基-丁基 -二氯矽烷(methyl-butyl-dichloro silane)、甲基-異丁基-二氯矽烷(methyl-isobutyl-dichloro silane)、甲基-仲丁基-二氯矽烷(methyl-secbutyl-dichloro silane)、甲基-叔丁基-二氯矽烷(methyl-tertbutyl-dichloro silane)、甲基-叔丁基-二溴矽烷(methyl-tertbutyl-dibromide silane)、甲基-叔丁基-二碘矽烷(methyl-tertbutyl-diiodo silane);或其組合。
  6. 如請求項4所述之方法,其中該酸包括無機酸、含鹵素的酸、鹵化氫、有機酸、磷含氧酸、硫含氧酸、羧酸、或鹵化矽烷。
  7. 如請求項6所述之方法,其中該無機酸選自由氯化氫(HCl)、溴化氫(HBr)、碘化氫(HI)及其組合所組成之群組。
  8. 如請求項6所述之方法,其中該有機酸包括至少約15托耳的蒸氣壓。
  9. 如請求項8所述之方法,其中該有機酸包括甲酸、醋酸、三氟醋酸、或其組合。
  10. 如請求項2-9中任一項所述之方法,其中所述顯影進一步包括遞送含氧劑的蒸氣。
  11. 如請求項2所述之方法,其中所述顯影包括濕式顯影製程。
  12. 如請求項11所述之方法,其中所述顯影包括遞送於溶劑中之該酸。
  13. 如請求項12所述之方法,其中該溶劑為水性溶劑或有機溶劑;或其中該溶劑包括水、醇、酮、醚、酯、或其組合。
  14. 如請求項12所述之方法,其中該酸包括無機酸、含鹵素的酸、鹵化氫、有機酸、磷含氧酸、硫含氧酸、羧酸、或鹵化矽烷。
  15. 如請求項14所述之方法,其中該無機酸選自由氯化氫(HCl)、溴化氫(HBr)、碘化氫(HI)、磷酸、硫酸及其組合所組成之群組。
  16. 如請求項14所述之方法,其中該有機酸包括甲酸、醋酸、三氟醋酸、草酸、檸檬酸或其組合。
  17. 如請求項1-16中任一項所述之方法,其中所述顯影係在約-10℃至200℃之溫度下執行以去除存在於該未曝光區內的揮發性產物。
  18. 如請求項1-17中任一項所述之方法,進一步包括,在所述顯影之後: 進行基於電漿的蝕刻製程。
  19. 如請求項1-18中任一項所述之方法,進一步包括,在所述顯影之後: 進行顯影後烘烤(PEB)。
  20. 如請求項1所述之方法,其中該方法包括在酸存在下進行所述處理該圖案。
  21. 如請求項20所述之方法,其中所述顯影包括使用酸性顯影劑。
  22. 如請求項20所述之方法,其中所述顯影包括使用基於蒸氣的酸性蝕刻劑。
  23. 如請求項22所述之方法,其中該基於蒸氣之酸性蝕刻劑包括氯化氫(HCl);溴化氫(HBr);三氯硼烷(BCl 3);四氯矽烷(SiCl 4);氫氣(H 2)與氯氣(Cl 2)之組合;氫氣(H 2)與溴氣(Br 2)之組合;鹵化三烷基矽烷(trialkyl silyl halide),包括氯化三甲基矽烷(trimethylsilyl chloride)、溴化三甲基矽烷(trimethylsilyl bromide)、碘化三甲基矽烷(trimethylsilyl iodide)、氯化三乙基矽烷(triethylsilyl chloride)、溴化三乙基矽烷(triethylsilyl bromide)、碘化三乙基矽烷(triethylsilyl iodide)、氯化三丙基矽烷(tripropylsilyl chloride)、溴化三丙基矽烷 (tripropylsilyl bromide)、碘化三丙基矽烷(tripropylsilyl iodide)、氯化三異丙基矽烷(triisopropylsilyl chloride)、溴化三異丙基矽烷(triisopropylsilyl bromide)、碘化三異丙基矽烷(triisopropylsilyl iodide)、氯化三丁基矽烷 (tributylsilyl chloride)、溴化三丁基矽烷(tributylsilyl bromide)、碘化三丁基矽烷 (tributylsilyl iodide)、氯化三異丁基矽烷(triisobutylsilyl chloride)、溴化三異丁基矽烷(triisobutylsilyl bromide)、碘化三異丁基矽烷(triisobutylsilyl iodide)、氯化三仲丁基矽烷(trisecbutylsilyl chloride)、溴化三仲丁基矽烷(trisecbutylsilyl bromide)、碘化三仲丁基矽烷 (trisecbutylsilyl iodide)、氯化三叔丁基矽烷(tritertbutylsilyl chloride)、溴化三叔丁基矽烷(tritertbutylsilyl bromide)、碘化三叔丁基矽烷(tritertbutylsilyl iodide)、氯化二甲基-乙基-矽烷(dimethyl-ethyl-silyl chloride)、氯化二甲基-丙基-矽烷(dimethyl-propyl-silyl chloride)、氯化二甲基-異丙基-矽烷(dimethyl-isopropyl-silyl chloride)、氯化二甲基-丁基-矽烷(dimethyl-butyl-silyl chloride)、氯化二甲基-異丁基-矽烷(dimethyl-isobutyl-silyl chloride)、氯化-二甲基-仲丁基-矽烷(dimethyl-secbutyl-silyl chloride)、氯化二甲基-叔丁基-矽烷 (dimethyl-tertbutyl-silyl chloride)、溴化二甲基-叔丁基-矽烷 (dimethyl-tertbutyl-silyl bromide)、碘化二甲基-叔丁基-矽烷(dimethyl-tertbutyl-silyl iodide)、氯化甲基-二乙基-矽烷(methyl-diethyl-silyl chloride)、溴化甲基-二乙基-矽烷(methyl-diethyl-silyl bromide)、碘化甲基-二乙基-矽烷 (methyl-diethyl-silyl iodide)、氯化甲基-二丙基-矽烷(methyl-dipropyl-silyl chloride)、氯化甲基-二異丙基-矽烷 (methyl-diisopropyl-silyl chloride)、氯化甲基-二丁基-矽烷 (methyl-dibutyl-silyl chloride)、氯化甲基-二異丁基-矽烷(methyl-diisobutyl-silyl chloride)、氯化甲基-二仲丁基-矽烷(methyl-disecbutyl-silyl chloride)、氯化甲基-二叔丁基-矽烷(methyl-ditertbutyl-silyl chloride)、溴化甲基-二叔丁基-矽烷 (methyl-ditertbutyl-silyl bromide)、碘化甲基-二叔丁基-矽烷 (methyl-ditertbutyl-silyl iodide);二烷基二鹵矽烷(dialkyl dihalo silane),包括 : 二甲基二氯矽烷(dimethyldichloro silane)、二甲基二溴矽烷(dimethyldibromo silane)、二甲基二碘矽烷(dimethyldiiodo silane)、二乙基二氯矽烷(diethyldichloro silane)、二乙基二溴矽烷(diethyldibromo silane)、二乙基二碘矽烷(diethyldiiodo silane)、二丙基二氯矽烷(dipropyldichloro silane)、二丙基二溴矽烷(dipropyldibromo silane)、二丙基二碘矽烷(dipropyldiiodo silane)、二異丙基二氯矽烷(diisopropyldichloro silane)、二異丙基二溴矽烷(diisopropyldibromo silane)、二異丙基二碘矽烷(diisopropyldiiodo silane)、二丁基二氯矽烷(dibutyldichloro silane)、二丁基二溴矽烷(dibutyldibromo silane)、二丁基二碘矽烷 (dibutyldiiodo silane)、二異丁基二氯矽烷(diisobutyldichloro silane)、二異丁基二溴矽烷(diisobutyldibromo silane)、二異丁基二碘矽烷(diisobutyldiiodo silane)、二仲丁基二氯矽烷(disecbutyldichloro silane)、二仲丁基二溴矽烷(disecbutyldibromo silane) 、二仲丁基二碘矽烷(disecbutyldiiodo silane)、二叔丁基二氯矽烷(ditertbutyldichloro silane)、二叔丁基二溴矽烷(ditertbutyldibromo silane)、二叔丁基二碘矽烷(ditertbutyldiiodo silane)、甲基-乙基-二氯矽烷(methyl-ethyl-dichloro silane)、甲基-乙基-二溴矽烷(methyl-ethyl-dibromo silane)、甲基-乙基-二碘矽烷(methyl-ethyl-diiodo silane)、甲基-丙基-二氯矽烷(methyl-propyl-dichloro silane)、甲基-異丙基-二氯矽烷(methyl-isopropyl-dichloro silane)、甲基-丁基 -二氯矽烷(methyl-butyl-dichloro silane)、甲基-異丁基-二氯矽烷(methyl-isobutyl-dichloro silane)、甲基-仲丁基-二氯矽烷(methyl-secbutyl-dichloro silane)、甲基-叔丁基-二氯矽烷(methyl-tertbutyl-dichloro silane)、甲基-叔丁基-二溴矽烷(methyl-tertbutyl-dibromide silane)、甲基-叔丁基-二碘矽烷(methyl-tertbutyl-diiodo silane);或其組合。
  24. 如請求項20-23中任一項所述之方法,其中所述處理包括去除一殘留物質,其含有具有該高圖案化輻射吸收截面之該兩個或更多元素中的至少一者。
  25. 如請求項24所述之方法,其中所述處理包括乾式製程。
  26. 如請求項25所述之方法,其中所述處理包括將該酸或配置成提供該酸之反應物的蒸氣遞送至該曝光膜。
  27. 如請求項26所述之方法,其中該酸包括無機酸、含鹵素的酸、鹵化氫、有機酸、磷含氧酸、硫含氧酸、或羧酸。
  28. 如請求項27所述之方法,其中配置成提供該酸之該反應物包括鹵化硼烷;三氯硼烷(BCl 3);三溴硼烷(BBr 3);三碘硼烷(BI 3);鹵化矽烷;四氯矽烷(SiCl 4);四溴矽烷(SiBr 4);四碘矽烷(SiI 4);或鹵化三烷基矽烷(trialkyl silyl halide),包括氯化三甲基矽烷(trimethylsilyl chloride)、溴化三甲基矽烷(trimethylsilyl bromide)、碘化三甲基矽烷(trimethylsilyl iodide)、氯化三乙基矽烷(triethylsilyl chloride)、溴化三乙基矽烷(triethylsilyl bromide)、碘化三乙基矽烷(triethylsilyl iodide)、氯化三丙基矽烷(tripropylsilyl chloride)、溴化三丙基矽烷 (tripropylsilyl bromide)、碘化三丙基矽烷(tripropylsilyl iodide)、氯化三異丙基矽烷(triisopropylsilyl chloride)、溴化三異丙基矽烷(triisopropylsilyl bromide)、碘化三異丙基矽烷(triisopropylsilyl iodide)、氯化三丁基矽烷 (tributylsilyl chloride)、溴化三丁基矽烷(tributylsilyl bromide)、碘化三丁基矽烷 (tributylsilyl iodide)、氯化三異丁基矽烷(triisobutylsilyl chloride)、溴化三異丁基矽烷(triisobutylsilyl bromide)、碘化三異丁基矽烷(triisobutylsilyl iodide)、氯化三仲丁基矽烷(trisecbutylsilyl chloride)、溴化三仲丁基矽烷(trisecbutylsilyl bromide)、碘化三仲丁基矽烷 (trisecbutylsilyl iodide)、氯化三叔丁基矽烷(tritertbutylsilyl chloride)、溴化三叔丁基矽烷(tritertbutylsilyl bromide)、碘化三叔丁基矽烷(tritertbutylsilyl iodide)、氯化二甲基-乙基-矽烷(dimethyl-ethyl-silyl chloride)、氯化二甲基-丙基-矽烷(dimethyl-propyl-silyl chloride)、氯化二甲基-異丙基-矽烷(dimethyl-isopropyl-silyl chloride)、氯化二甲基-丁基-矽烷(dimethyl-butyl-silyl chloride)、氯化二甲基-異丁基-矽烷(dimethyl-isobutyl-silyl chloride)、氯化-二甲基-仲丁基-矽烷(dimethyl-secbutyl-silyl chloride)、氯化二甲基-叔丁基-矽烷 (dimethyl-tertbutyl-silyl chloride)、溴化二甲基-叔丁基-矽烷 (dimethyl-tertbutyl-silyl bromide)、碘化二甲基-叔丁基-矽烷(dimethyl-tertbutyl-silyl iodide)、氯化甲基-二乙基-矽烷(methyl-diethyl-silyl chloride)、溴化甲基-二乙基-矽烷(methyl-diethyl-silyl bromide)、碘化甲基-二乙基-矽烷 (methyl-diethyl-silyl iodide)、氯化甲基-二丙基-矽烷(methyl-dipropyl-silyl chloride)、氯化甲基-二異丙基-矽烷 (methyl-diisopropyl-silyl chloride)、氯化甲基-二丁基-矽烷 (methyl-dibutyl-silyl chloride)、氯化甲基-二異丁基-矽烷(methyl-diisobutyl-silyl chloride)、氯化甲基-二仲丁基-矽烷(methyl-disecbutyl-silyl chloride)、氯化甲基-二叔丁基-矽烷(methyl-ditertbutyl-silyl chloride)、溴化甲基-二叔丁基-矽烷 (methyl-ditertbutyl-silyl bromide)、碘化甲基-二叔丁基-矽烷 (methyl-ditertbutyl-silyl iodide);二烷基二鹵矽烷(dialkyl dihalo silane),包括 : 二甲基二氯矽烷(dimethyldichloro silane)、二甲基二溴矽烷(dimethyldibromo silane)、二甲基二碘矽烷(dimethyldiiodo silane)、二乙基二氯矽烷(diethyldichloro silane)、二乙基二溴矽烷(diethyldibromo silane)、二乙基二碘矽烷(diethyldiiodo silane)、二丙基二氯矽烷(dipropyldichloro silane)、二丙基二溴矽烷(dipropyldibromo silane)、二丙基二碘矽烷(dipropyldiiodo silane)、二異丙基二氯矽烷(diisopropyldichloro silane)、二異丙基二溴矽烷(diisopropyldibromo silane)、二異丙基二碘矽烷(diisopropyldiiodo silane)、二丁基二氯矽烷(dibutyldichloro silane)、二丁基二溴矽烷(dibutyldibromo silane)、二丁基二碘矽烷 (dibutyldiiodo silane)、二異丁基二氯矽烷(diisobutyldichloro silane)、二異丁基二溴矽烷(diisobutyldibromo silane)、二異丁基二碘矽烷(diisobutyldiiodo silane)、二仲丁基二氯矽烷(disecbutyldichloro silane)、二仲丁基二溴矽烷(disecbutyldibromo silane) 、二仲丁基二碘矽烷(disecbutyldiiodo silane)、二叔丁基二氯矽烷(ditertbutyldichloro silane)、二叔丁基二溴矽烷(ditertbutyldibromo silane)、二叔丁基二碘矽烷(ditertbutyldiiodo silane)、甲基-乙基-二氯矽烷(methyl-ethyl-dichloro silane)、甲基-乙基-二溴矽烷(methyl-ethyl-dibromo silane)、甲基-乙基-二碘矽烷(methyl-ethyl-diiodo silane)、甲基-丙基-二氯矽烷(methyl-propyl-dichloro silane)、甲基-異丙基-二氯矽烷(methyl-isopropyl-dichloro silane)、甲基-丁基 -二氯矽烷(methyl-butyl-dichloro silane)、甲基-異丁基-二氯矽烷(methyl-isobutyl-dichloro silane)、甲基-仲丁基-二氯矽烷(methyl-secbutyl-dichloro silane)、甲基-叔丁基-二氯矽烷(methyl-tertbutyl-dichloro silane)、甲基-叔丁基-二溴矽烷(methyl-tertbutyl-dibromide silane)、甲基-叔丁基-二碘矽烷(methyl-tertbutyl-diiodo silane);或其組合。
  29. 如請求項26-28中任一項所述之方法,其中所述處理進一步包括遞送含氧劑之蒸氣。
  30. 如請求項24所述之方法,其中所述處理包括濕式製程。
  31. 如請求項30所述之方法,其中所述處裡包括遞送於溶劑中之該酸。
  32. 如請求項31所述之方法,其中該酸包括無機酸、含鹵素的酸、鹵化氫、有機酸、磷含氧酸、硫含氧酸、羧酸、或鹵化矽烷。
  33. 如請求項30-32中任一項所述之方法,進一步包括,在所述處理之後: 用溶劑清洗該圖案。
  34. 一種處理基板的方法,包括: 將一輻射敏感阻膜曝光至一圖案化輻射源,因而提供具有一曝光區及一未曝光區之一曝光阻膜,其中該阻膜包括具有高圖案化輻射吸收截面之兩個或更多元素; 透過用酸去除該未曝光區以對該曝光阻膜顯影,因而透過負型乾式顯影製程形成一圖案;以及 利用基於電漿的蝕刻製程處理該圖案。
  35. 如請求項34所述之方法,其中所述顯影包括含氧劑。
  36. 如請求項34所述之方法,進一步包括,在所述顯影之後: 進行顯影後烘烤(PDB)。
  37. 如請求項1-36中任一項所述之方法,其中該阻膜包括一極紫外光(EUV)敏感光阻層或一EUV敏感無機光阻層。
  38. 如請求項37所述之方法,其中該圖案化輻射源包括一EUV輻射源。
  39. 如請求項1-38中任一項所述之方法,其中該阻膜包括錫(Sn)、銦(In)、鉍(Bi)、銻(Sb)、碲(Te)、其氧化物、其合金、或其組合。
  40. 如請求項1-39中任一項所述之方法,其中該阻膜包括化學氣相沉積(CVD)膜、旋塗膜、有機金屬氧化物膜、有機氧化金屬氫氧化物膜、氧化錫膜、或有機氧化錫膜。
  41. 如請求項1-40中任一項所述之方法,進一步包括,在所述曝光之前: 將該輻射敏感阻膜塗佈於一基板之一表面上;以及 選擇性地在該阻膜與該基板之間提供一輻射吸收層。
  42. 如請求項1-40中任一項所述之方法,進一步包括,在所述曝光之前: 提供一輻射吸收層於一基板之一表面上;以及 將該輻射敏感阻膜塗佈於該輻射吸收層之一表面上。
  43. 如請求項41或42所述之方法,其中所述塗佈包括: 將包含具有式( I)或( II)之結構的一或更多前驅物提供至該基板之該表面: M aR b( I), 其中: M為具有高EUV吸收截面之金屬或原子; 每一R獨立為H、鹵素、視情況取代之烷基、視情況取代之環烷基、視情況取代之環烯基、視情況取代之烯基、視情況取代之炔基、視情況取代之烷氧基、視情況取代之烷醯氧基、視情況取代之芳基、視情況取代之胺基、視情況取代之雙(三烷基矽烷基)胺基、視情況取代之三烷基矽烷基、側氧基(oxo)、陰離子配位基、中性配位基或多牙配位基; a≥1;且b≥1;或 M aR bL c( II), 其中: M為具有高EUV吸收截面之金屬或原子; 每一R獨立為鹵素、視情況取代之烷基、視情況取代之芳基、視情況取代之胺基、視情況取代之烷氧基、或L; 每一L獨立為配位基、陰離子配位基、中性配位基、多牙配位基、離子或與相對反應物具反應性之其他部分,其中R及L與M一起可視情況地形成雜環基或其中R與L一起可視情況地形成雜環基; a≥1;b≥1;且c≥1。
  44. 如請求項41或42所述之方法,其中所述塗佈包括: 提供一或更多前驅物,其選自由以下所組成之群組: InR 3,其中每一R獨立為鹵素、視情況取代之C 1-12烷基或二酮根; SnR 2或SnR 4,其中每一R獨立為鹵素、視情況取代之C 1-12烷氧基、視情況取代之胺基、視情況取代之芳基、環戊二烯基、或二酮根; BiR 3,其中每一R獨立為鹵素、視情況取代之C 1-12烷基、單-C 1-12烷基胺基、二-C 1-12烷基胺基、視情況取代之芳基、視情況取代之雙(三烷基矽烷基)胺基、或二酮根; SbR 3,其中每一R獨立為鹵素、視情況取代之C 1-12烷基、視情況取代之C 1-12烷氧基、或視情況取代之胺基;以及 TeR 2或TeR 4,其中每一R獨立為鹵素、視情況取代之C 1-12烷基、視情況取代之C 1-12烷氧基、視情況取代之芳基、羥基、側氧基或視情況取代之三烷基矽烷基。
  45. 如請求項41或42所述之方法,其中所述塗佈包括: 提供包含有碲(Te)之一第一前驅物及包含有錫(Sn)之一第二前驅物至該基板之該表面,其中該第一與第二前驅物各自以任何順序或同時提供至該基板。
  46. 如請求項41或42所述之方法,其中: 所述提供該輻射吸收層包括提供一第一前驅物,以及 所述塗佈該輻射敏感阻膜包括提供一第二前驅物, 其中該第一前驅物包括具有高EUV吸收截面之一第一原子,其中該第二前驅物包括具有高EUV吸收截面之一第二原子,且其中該第一原子與該第二原子不同。
  47. 如請求項46所述之方法,其中該第一原子包括碲(Te)、鉍(Bi)、銦(In)、或銻(Sb);且其中該第二原子包括錫(Sn)。
  48. 一種用於處理基板的設備,該設備包括: (a) 一或更多製程腔室,每一製程腔室可選地包括一吸盤或一基座;以及 進入該製程腔室之一或更多氣體入口及相關流量控制硬體;以及 (b) 一控制器,具有至少一處理器及一記憶體,其中 該至少一處理器與該記憶體彼此通訊連接, 該至少一處理器至少可操作地與該流量控制硬體連接,以及 該記憶體儲存電腦可執行指令,其用於控制該至少一處理器以至少控制該流量控制硬體,且用於引起請求項1-47中任一項之方法。
TW111148087A 2021-12-16 2022-12-14 高吸收性含金屬光阻的顯影策略 TW202340879A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163265558P 2021-12-16 2021-12-16
US63/265,558 2021-12-16

Publications (1)

Publication Number Publication Date
TW202340879A true TW202340879A (zh) 2023-10-16

Family

ID=86773643

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111148087A TW202340879A (zh) 2021-12-16 2022-12-14 高吸收性含金屬光阻的顯影策略

Country Status (2)

Country Link
TW (1) TW202340879A (zh)
WO (1) WO2023115023A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160041471A1 (en) * 2014-08-07 2016-02-11 International Business Machines Corporation Acidified conductive water for developer residue removal
JP6742748B2 (ja) * 2016-02-17 2020-08-19 株式会社Screenホールディングス 現像ユニット、基板処理装置、現像方法および基板処理方法
EP4038454A4 (en) * 2019-10-02 2023-10-25 Lam Research Corporation SUBSTRATO SURFACE MODIFICATION WITH HIGH EUV ABSORBERS FOR HIGH PERFORMANCE EUV PHOTORESISTS
WO2021178302A1 (en) * 2020-03-02 2021-09-10 Inpria Corporation Process environment for inorganic resist patterning
US11705332B2 (en) * 2020-03-30 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern

Also Published As

Publication number Publication date
WO2023115023A1 (en) 2023-06-22

Similar Documents

Publication Publication Date Title
US20220299877A1 (en) Positive tone development of cvd euv resist films
US20220365434A1 (en) Substrate surface modification with high euv absorbers for high performance euv photoresists
US20230152701A1 (en) Structure and method to achieve positive tone dry develop by a hermetic overlayer
US20230259025A1 (en) Dry deposited photoresists with organic co-reactants
US20230266664A1 (en) Photoresists from sn(ii) precursors
US20230266670A1 (en) Metal chelators for development of metal-containing photoresist
US20230314946A1 (en) Method of forming photo-sensitive hybrid films
US20230288798A1 (en) Photoresists containing tantalum
TW202340879A (zh) 高吸收性含金屬光阻的顯影策略
TW202344921A (zh) 有機金屬光阻的水性酸顯影或處理
US20240134274A1 (en) Halogen-and aliphatic-containing organotin photoresists and methods thereof
US20240192590A1 (en) Apparatus and process for euv dry resist sensitization by gas phase infusion of a sensitizer
TW202340858A (zh) 混合有機錫氧化物光阻的顯影
WO2023245047A1 (en) Tin precursors for deposition of euv dry resist
TW202413382A (zh) Euv乾式光阻沉積的錫前驅物
TW202246893A (zh) 具有量子效率之光阻及其方法