JP7455968B2 - Pmos高誘電率金属ゲート - Google Patents

Pmos高誘電率金属ゲート Download PDF

Info

Publication number
JP7455968B2
JP7455968B2 JP2022525608A JP2022525608A JP7455968B2 JP 7455968 B2 JP7455968 B2 JP 7455968B2 JP 2022525608 A JP2022525608 A JP 2022525608A JP 2022525608 A JP2022525608 A JP 2022525608A JP 7455968 B2 JP7455968 B2 JP 7455968B2
Authority
JP
Japan
Prior art keywords
metal gate
gate stack
dielectric constant
layer
work function
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022525608A
Other languages
English (en)
Other versions
JP2022553804A (ja
Inventor
イーシオン ヤン,
ジャックリーン エス. レンチ,
シュリーニヴァース ガンディコッタ,
ヨンジン リン,
スティーブン シー.エイチ. ハング,
シー チャン チェン,
ハオイェン シャー,
チー-チョウ リン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022553804A publication Critical patent/JP2022553804A/ja
Application granted granted Critical
Publication of JP7455968B2 publication Critical patent/JP7455968B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

[0001]本開示の実施形態は概して、高誘電率金属ゲート(HKMG)スタックに関する。
[0002]集積回路は、単一チップ上に数百万ものトランジスタ、キャパシタ、及びレジスタが搭載されうる、複雑なデバイスへと進化を遂げてきた。集積回路の進化の過程において、機能密度(すなわち、チップ面積あたりの相互接続デバイスの数)が全体として増加してきた一方、形状寸法サイズ(すなわち、製造プロセスを使用して作り出されうる最小の構成要素(又はライン))は縮小している。
[0003]デバイスの寸法が縮小するにつれて、デバイスの形状寸法及び材料には、故障を起こすことなく切替速度を維持する上での困難が課されている。チップ設計者がデバイス寸法を継続的に縮小させることを可能にする、いくつかの新技術が出現してきた。デバイス構造物の寸法制御は、現在及び将来の技術ジェネレーションの重要課題である。
[0004]1970年以降、チップあたりの構成要素数は2年ごとに倍増してきた。この傾向の結果として、トランジスタの縮小による回路の微細化が、半導体技術ロードマップの主たる駆動力となっていた。N-MOS及びP-MOSとして現在使用されている材料を縮小させることが、基本特性の変化のせいで課題となっている。
[0005]現在のPMOS高誘電率金属ゲートスタックは、高誘電率キャッピング層としてTiNを含み、その後に、PMOS仕事関数材料としてTiNを含む。新たなPMOS仕事関数材料の一部は、PMOSバンド端のVfb性能の向上を有利に示すが、同等酸化物厚さ(EOT)ペナルティがあることも示す。
[0006]したがってTiNを上回るバンド端のVfb性能を有する材料が必要とされている。更に、最小のEOTペナルティを有するデバイスも必要とされている。
[0007]本開示の一又は複数の実施形態は、高誘電率キャッピング層上にPMOS仕事関数材料を含む金属ゲートスタックを対象としている。PMOS仕事関数材料はMoNを含む。この金属ゲートスタックでは、TiNを含むPMOS仕事関数材料を含む金属ゲートスタックと比較して、Vfbが向上している。
[0008]本開示の追加の実施形態は、高誘電率金属酸化物層上に高誘電率キャッピング層を含む金属ゲートスタックを対象としている。高誘電率キャッピング層はTiSiNを含む。高誘電率キャッピング層上にはPMOS仕事関数材料がある。PMOS仕事関数材料はMoNを含む。この金属ゲートスタックでは、TiNを含む高誘電率キャッピング層とMoNを含むPMOS仕事関数材料とを含む金属ゲートスタックと比較して、EOTの増大が少なくなる。
[0009]本開示の更なる実施形態は、金属ゲートスタックを製造する方法を対象としている。この方法は、第1処理チャンバ内に高誘電率金属酸化物層を含む基板を配置することを含む。高誘電率金属酸化物層上に、原子層堆積によって、TiSiNを含む高誘電率キャッピング層が堆積される。基板は第2処理チャンバに移送される。高誘電率キャッピング層上に、原子層堆積によって、MoNを含むPMOS仕事関数材料が堆積される。
[0010]上述した本開示の特徴を詳しく理解しうるように、上記で簡単に要約された本開示のより詳細な説明が、実施形態を参照することによって得られる。一部の実施形態について、付随する図面に示している。しかし、本開示は他の等しく有効な実施形態も許容しうることから、付随する図面はこの開示の典型的な実施形態のみを示しており、したがって、本開示の範囲を限定するものと見なすべきではないことに、留意されたい。
[0011]本開示の一又は複数の実施形態による金属ゲートスタックの断面図である。 [0012]本開示の一又は複数の実施形態による、金属ゲートスタックを形成するための方法のフロー図である。 [0013]本開示の一又は複数の実施形態によるクラスタツールである。
[0014]本開示のいくつかの例示的な実施形態について説明する前に、本開示は、以下の説明に明記される構成又はプロセスステップの詳細事項に限定されないことを理解されたい。本開示は、その他の実施形態も実現可能であり、様々なやり方で実践され又は行われることが可能である。
[0015]この明細書及び付随する特許請求の範囲において使用される場合、「基板(substrate)」という語は、プロセスが作用する表面又は表面の一部分のことである。更に、基板に対する言及は、文脈上他のことが明確に示されない限り、基板の一部分のみへの言及でもありうると、当業者には理解されよう。加えて、基板上への堆積への言及は、裸基板と、一又は複数の膜又はフィーチャ(特徴部)が表面上に堆積し又は形成された基板の、両方を意味することがある。
[0016]「基板」とは、本書で使用される場合、製造プロセス中に膜処理が実施される、任意の基板又は基板上に形成された任意の材料表面のことである。例えば、処理が実施されうる基板表面は、用途に応じて、シリコン、酸化シリコン、ストレインドシリコン、シリコンオンインシュレータ(SOI)、炭素がドープされた酸化シリコン、アモルファスシリコン、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアといった材料、並びにその他の、金属、金属窒化物、金属合金、及び他の導電材料といった任意の材料、を含む。基板は半導体ウエハを含むが、これに限定されるわけではない。基板は、基板表面を研磨し、エッチングし、還元し、酸化し、ヒドロキシル化し、アニール処理し、UV硬化し、eビーム硬化し、かつ/又はベイクするために、前処理プロセスに曝露されることもある。基板の表面自体の上で直接膜処理を行うことに加えて、本開示では、開示されている膜処理ステップのいずれもが、以下により詳細に開示するように、基板上に形成された下層上で実施されてもよく、「基板表面(substrate surface)」という語は、文脈が示すように、かかる下層を含むことが意図されている。ゆえに、例えば、膜/層又は部分的な膜/層が基板表面上に堆積された場合、新たに堆積された膜/層の露出面が基板表面となる。
[0017]本開示の実施形態は、バンド端の(Vfb)性能が向上し、かつ/又はEOTが低減している、金属ゲートスタックに関する。この開示の実施形態の一部は、PMOS仕事関数材料としてTiNを使用している金属ゲートスタックと比較して、Vfbが向上した金属ゲートスタックを提供する。一部の実施形態では、PMOS仕事関数材料はMoNを含む。
[0018]この開示の実施形態の一部は、高誘電率キャッピング層としてTiNを使用している金属ゲートスタックと比較してEOTが低減した金属ゲートスタックを、有利に提供する。一部の実施形態では、高誘電率キャッピング層はTiSiNを含み、PMOS仕事関数材料はMoNを含む。
[0019]本開示の一又は複数の実施形態は、P型金属酸化物半導体(PMOS)集積回路デバイスを形成する上で特に有用な、デバイス及び形成方法を提供する。このことを踏まえて、これより、かかるデバイス及び形成方法について説明する。その他のデバイス及び応用も本発明の範囲に含まれる。
[0020]図1は、PMOS金属ゲートスタックデバイス100の断面図を示している。デバイス100は基板110を備える。一部の実施形態では、基板110はシリコンを含む。一部の実施形態では、基板110上に酸化物層115を形成するために、基板110の表面が酸化される。一部の実施形態では、基板は追加の電気素子及び材料(ソース領域、ドレイン領域、導電チャネル、及びその他の電気コネクタを含むが、これらに限定されるわけではない)を備える。
[0021]一又は複数の実施形態によると、PMOS金属ゲートスタックデバイス100は、ゲート誘電体120、高誘電率キャッピング層130、及び金属ゲート仕事関数層140を備える。本書で使用される場合、金属ゲート仕事関数層140は、「PMOS仕事関数材料(PMOS work function material)」と称されることもある。
[0022]ゲート誘電体120は、高誘電率キャッピング層130及び金属ゲート仕事関数層140を基板110から電気的に絶縁する。本書では、ゲート誘電体120、高誘電率キャッピング層130、及び金属ゲート仕事関数層140は共に、金属ゲートスタックと称されうる。一部の実施形態では、金属ゲートスタックは、金属ゲート仕事関数層140の上にゲート電極150を更に備える。
[0023]一部の実施形態では、ゲート誘電体120は金属酸化物を含む。一部の実施形態では、ゲート誘電体120は、高誘電率金属酸化物層と称される。一部の実施形態では、ゲート誘電体120はHfOを含む。
[0024]一部の実施形態では、高誘電率キャッピング層130はTiNを含むか、又は本質的にTiNからなる。一部の実施形態では、高誘電率キャッピング層はTiSiNを含むか、又は本質的にTiSiNからなる。「本質的に~からなる(consists essentially of)」とは、このように使用される場合、記載されている元素が、原子ベースで、記載されている材料の95%超、98%超、99%超、又は99.5%超を構成することを意味する。誤解を避けるために言うと、本書で開示している材料の同定によって化学量論比が暗示されるものではない。例えば、TiN材料はチタンと窒素とを含有する。これらの元素は、1:1の比で存在することも、そうではないことある。
[0025]高誘電率キャッピング層130は、任意の好適な厚さを有しうる。一部の実施形態では、高誘電率キャッピング層130の厚さは約5Åから約25Åの範囲内である。一部の実施形態では、高誘電率キャッピング層の厚さは約10Åである。
[0026]PMOS仕事関数材料140はMoNを含む。発明者らは、驚くべきことに、PMOS仕事関数材料としてMoNを使用することで、PMOSバンド端の性能がTiNよりも向上することを見出した。
[0027]PMOS仕事関数材料140は任意の好適な厚さを有しうる。一部の実施形態では、PMOS仕事関数材料140の厚さは約5Åから約50Åの範囲内である。一部の実施形態では、高誘電率キャッピング層の厚さは約15Åである。
[0028]フラットバンド電圧(Vfb)は、金属ゲートスタックに関する所与の材料のPMOS仕事関数の尺度を提供する。発明者らは、TINを含むPMOS仕事関数材料140をMoNに置き換えることで、Vfbが上昇することを見出した。
[0029]一部の実施形態では、高誘電率キャッピング層130はTiNを含む。高誘電率キャッピング層130がTiNを含む場合、Vfbは、約+100mV以上、約+125mV以上、約+150mV以上、約+200mV以上、約+225mV以上、約+250mV以上、約+275mV以上、約+300mV以上、又は約+325mV以上、上昇する。一部の実施形態では、Vfbは、約+125mV、約+175mV、約+275mV、又は約+300mV、上昇する。
[0030]発明者らは、PMOS仕事関数材料140としてMoNを使用することで、PMOS仕事関数材料としてTiNを含む金属ゲートスタックと比較して、EOTペナルティが追加されることも見出した。しかし、発明者らは、驚くべきことに、TiNを含む高誘電率キャッピング層130をTiSiNで置き換えることで、EOTペナルティが低減されることも見出した。
[0031]例えば、TiNを含む高誘電率キャッピング層130と、TiNを含むPMOS仕事関数層140とを備える金属ゲートスタックは、約8.1ÅのEOTを有する。一部の実施形態では、TiNを含むPMOS仕事関数層140は、MoNを含むPMOS仕事関数層140に置き換えられる。この置換によりEOTは増大することになる。一部の実施形態では、EOTのこの増大は、約0.4Å以上、約0.5Å以上、又は約0.6Å以上である。
[0032]一部の実施形態では、TiNを含む高誘電率キャッピング層130は、TiSiNを含む高誘電率キャッピング層130に置き換えられる。この置換により、EOTの増大が少なくなる。一部の実施形態では、EOTの増大は、約0.1Å以上、約0.15Å以上、約0.2Å以上、約0.25Å以上、約0.3Å以上、又は約0.35Å以上、少なくなる。換言すると、一部の実施形態では、EOTの増大は、約0.3Å以下、約0.25Å以下、約0.2Å以下、約0.15Å以下、約0.1Å以下、又は約0.05Å以下である。
[0033]一部の実施形態では、金属ゲートスタックデバイス100はゲート電極150を更に備える。ゲート電極150は複数の層を備えうる。一部の実施形態では、ゲート電極150は、TiAlを含む第1層と、TiNを含む第2層とを備える。一部の実施形態では、第1層は約25Åの厚さを有する。一部の実施形態では、第2層は約500Åの厚さを有する。第1層及び第2層は、任意の好適な方法によって堆積されうる。
[0034]図2を参照するに、本開示の別の実施形態は、金属ゲートスタックデバイス100を形成する方法200に関する。方法200は、210において、第1処理チャンバ内に高誘電率金属酸化物層を備える基板を提供することによって始まる。220において、高誘電率金属酸化物層上に、原子層堆積によって、TiSiNを含む高誘電率キャッピング層が堆積される。
[0035]220で言及されている原子層堆積プロセスについて、TiSiNを堆積させるための例示的なプロセスを以下に提示する。基板が、Tiを含む第1前駆体、窒素源を含む第2前駆体、及びSi源を含む第3の前駆体に曝露されることで、TiSiN膜が提供される。一部の実施形態では、所定の膜厚を得るために、基板は繰り返しこれらの前駆体に曝露される。一部の実施形態では、基板は、堆積中、約200℃から約700℃の温度に維持される。
[0036]多数の前駆体が本発明の範囲に含まれる。前駆体は、大気温度及び大気圧において、プラズマ、気体、液体、又は固体でありうる。しかし、前駆体はALDチャンバ内において揮発する。有機金属の化合物又は錯体は、金属と少なくとも1つの有機基(アルキル、アルコキシル、アルキルアミド、及びアニリドなど)を含有する、任意の化学物質を含む。前駆体は、有機金属化合物及び無機/ハロゲン化合物からなることもある。
[0037]一般に、任意の好適なチタン前駆体が使用されうる。チタン前駆体は、例えばTiCl、TiBr、TiI、TiF、テトラキスジメチルアミノチタンを含みうるが、これらに限定されるわけではない。加えて、任意の好適な窒素源前駆体も使用されうる。例としては、窒素ガス、アンモニアガス、N、又はNが含まれるが、これらに限定されるわけではない。
[0038]様々なシリコン前駆体も使用されうる。シリコン前駆体の例は、シラン、ジシラン、トリメチルシラン、ジクロロシラン及びネオペンタシランを含みうるが、これらに限定されるわけではない。
[0039]これらの前駆体に基板が曝露される順序は変更されうる。例えば、基板はTi/Si/N、又はTi/N/Siという順序で曝露されうる。一堆積サイクルにおいて曝露は何度も行われることがある。更に、1つの堆積サイクル中に、1つの前駆体への曝露が繰り返されることもある。例えば、基板が、Ti/N/Si/Nという順序で曝露されることもある。
[0040]高誘電率キャッピング層の堆積後、230において、基板は第2処理チャンバに移送される。一部の実施形態では、第1処理チャンバと第2処理チャンバとが一体化される。一部の実施形態では、方法200は、真空破断も周囲空気への曝露もなく実施される。240において、高誘電率キャッピング層上に、原子層堆積によって、MoNを含むPMOS仕事関数材料が堆積される。
[0041]この開示における方法は、同じチャンバ内で、又は一又は複数の別個の処理チャンバ内で実施されうる。一部の実施形態では、基板は、更なる処理のために、第1チャンバから別個の第2チャンバに動かされる。基板は、第1チャンバから別個の処理チャンバへと直接動かされることも、又は、第1チャンバから一又は複数の移送チャンバに動かされ、次いで別個の処理チャンバに動かされることもある。したがって、好適な処理装置は、移送ステーションと連通している複数のチャンバを備えうる。この種の装置は、「クラスタツール(cluster tool)」又は「クラスタシステム(clustered system)」などと称されうる。
[0042]一般に、クラスタツールは、複数のチャンバを備えるモジュール式システムであり、かかる複数のチャンバが、基板の中心検出及び配向、アニーリング、堆積、ならびに/又はエッチングを含む、様々な機能を実施する。一又は複数の実施形態によると、クラスタツールは、少なくとも第1チャンバ及び中央移送チャンバを含む。中央移送チャンバはロボットを収納してよく、このロボットは、処理チャンバとロードロックチャンバとの間で基板をシャトル搬送しうる。移送チャンバは、典型的には真空状態に維持され、基板を1つのチャンバから別のチャンバに、かつ/又はクラスタツールの前端部に配置されたロードロックチャンバに、シャトル搬送するための中間ステージを提供する。本開示に適合しうる2つの周知のクラスタツールは、Centura(登録商標)及びEndura(登録商標)であり、これらは両方とも、カリフォルニア州Santa ClaraのApplied Materials,Inc.から入手可能である。しかし、チャンバの実際の配置及び組合せは、本書に記載のプロセスの特定のステップを実施するという目的のために、変更されうる。使用されうるその他の処理チャンバは、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、予洗浄、化学洗浄、熱処理(RTPなど)、プラズマ窒化、アニール処理、配向付け、ヒドロキシル化、及びその他の基板プロセスを含むが、これらに限定されるわけではない。クラスタツールのチャンバ内でプロセスを実行することによって、大気中の不純物による基板の表面汚染が回避され、酸化を伴わずに、その後に後続膜が堆積されうる。
[0043]一部の実施形態では、第1処理チャンバ及び第2処理チャンバは、同じクラスタ型処理ツールの一部である。したがって、一部の実施形態では、方法は、インシトゥ(その場)の一体型の方法である。
[0044]一部の実施形態では、第1処理チャンバと第2処理チャンバとは異なる処理ツールである。したがって、一部の実施形態では、方法は、エクスシトゥ(ex-situ)の一体型の方法である。
[0045]一又は複数の実施形態によると、基板は、継続的に真空条件又は「ロードロック(load lock)」条件のもとにあり、1つのチャンバから次のチャンバへと移動している時にも周囲空気に曝露されない。つまり、移送チャンバは真空下にあり、真空圧力のもとで「ポンプダウン(pumped down)」されている。処理チャンバ又は移送チャンバ内には、不活性ガスが存在しうる。一部の実施形態では、反応体の一部又は全部を除去するために、パージガスとして不活性ガスが使用される。一又は複数の実施形態によると、反応体が堆積チャンバから移送チャンバへ、かつ/又は更なる処理チャンバへと移動することを防ぐために、堆積チャンバの出口にパージガスが注入される。つまり、不活性ガスの流れが、チャンバの出口にカーテンを形成する。
[0046]基板は、単一の基板堆積チャンバ内で処理されてよく、このチャンバでは、単一の基板がローディングされ、処理され、アンローディングされてから、別の基板が処理される。基板は、コンベヤシステムに類似した連続的な様態で処理されることもあり、この場合、複数の基板が個別に、チャンバの第1部分にローディングされ、チャンバを通って移動し、チャンバの第2部分からアンローディングされる。チャンバ及び関連するコンベヤシステムの形状により、直線経路又は湾曲経路が形成されうる。加えて、処理チャンバはカルーセルであってもよく、この場合、複数の基板が、中心軸の周りで動かされ、カルーセル経路全体において、堆積、エッチング、アニーリング、及び/又は洗浄のプロセスに曝露される。
[0047]基板は、処理中に静止していても、回転してもよい。回転基板は、連続的に又は段階的なステップで回転しうる。例えば、基板は、プロセス全体を通じて回転することも、種々の反応性ガス又はパージガスへの曝露と曝露との間に少しずつ回転することもある。処理中に基板を(連続的に又は段階的に)回転させることは、例えばガス流形状の局所的可変性の影響を最小化することによって、より均一な堆積又はエッチングを行うのに役立ちうる。
[0048]原子層堆積型のチャンバでは、基板は、空間的に若しくは時間的に分離された複数のプロセスにおいて、第1前駆体と第2前駆体とに曝露されうる。時間的ALDは、第1前駆体がチャンバに流入して表面と反応する、従来型のプロセスである。この第1前駆体は、第2前駆体が流される前にチャンバからパージされる。空間的ALDでは、第1前駆体と第2前駆体の両方が同時にチャンバへと流されるが、これらは空間的に分離されるので、流れと流れとの間に前駆体の混合を防止する領域が存在する。空間的ALDでは、ガス分配プレートに対して基板が動かされるか、又はその逆である。
[0049]方法の一又は複数の部分が1つのチャンバ内で行われる実施形態では、プロセスは空間的ALDプロセスでありうる。上述した化学作用のうちの一又は複数は適合性がない(すなわち、基板表面以外との反応及び/又はチャンバ上への堆積を引き起こす)ことがあるが、空間的分離により、反応物同士が気相で互いに曝露されないことが確実になる。例えば、時間的ALDは堆積チャンバのパージを伴う。しかし、実際には、追加の反応物を流す前に余剰反応物をチャンバ外にパージすることができない場合もある。したがって、チャンバ内に残存反応物があれば、それが反応することがある。空間的に分離することで、余剰反応物がパージされる必要がなくなり、かつ相互汚染は限定的になる。更に、チャンバをパージするには多くの時間がかかることがあり、したがって、パージステップをなくすことによってスループットが向上しうる。
[0050]図3を参照するに、本開示の追加的な実施形態は、本書に記載の方法を実行するための処理システム900を対象としている。図3は、本開示の一又は複数の実施形態による、基板を処理するために使用されうるシステム900を示している。システム900はクラスタツールと称されうる。システム900は、ロボット912を内部に有する中央移送ステーション910を含む。ロボット912は、単一のブレードロボットとして図示されているが、当業者には、ロボット912のその他の構成も本開示の範囲に含まれることが認識されよう。ロボット912は、中央移送ステーション910に接続されたチャンバ間で、一又は複数の基板を動かすよう構成される。
[0051]少なくとも1つの予洗浄/バッファチャンバ920が、中央移送ステーション910に接続される。予洗浄/バッファチャンバ920は、ヒータ、ラジカル源、又はプラズマ源、のうちの一又は複数を含みうる。予洗浄/バッファチャンバ920は、個々の半導体基板のための、又は処理されるウエハのカセットのための保持エリアとして使用されうる。予洗浄/バッファチャンバ920は、予洗浄プロセスを実施することも、又は処理される基板を予熱することも、又は単に処理シーケンスのためのステージングエリアであることも、可能である。一部の実施形態では、中央移送ステーション910に接続された2つの予洗浄/バッファチャンバ920が存在する。
[0052]図3に示している実施形態では、予洗浄チャンバ920は、ファクトリインターフェース905と中央移送ステーション910との間の通過チャンバとして機能しうる。ファクトリインターフェース905は、基板をカセットから予洗浄/バッファチャンバ920に動かすための一又は複数のロボット906を含みうる。ロボット912はその後、基板を、予洗浄/バッファチャンバ920からシステム900内の他のチャンバへと動かすこともある。
[0053]第1処理チャンバ930が中央移送ステーション910に接続されうる。第1処理チャンバ930は、高誘電率キャッピング層を堆積させるための原子層堆積チャンバとして構成されてよく、反応性ガスの一又は複数の流れが第1処理チャンバ930に提供されるよう、一又は複数の反応性ガス源と流体連通していることがある。基板は、ロボット912によって、分離バルブ914を通過して処理チャンバ930を出入りするように動かされうる。
[0054]処理チャンバ940も、中央移送ステーション910に接続されうる。一部の実施形態では、処理チャンバ940は、PMOS仕事関数材料を堆積させるための原子層堆積チャンバを含み、反応性ガスの流れを処理チャンバ940に提供するよう、一又は複数の反応性ガス源と流体連通している。基板は、ロボット912によって、分離バルブ914を通過して処理チャンバ940を出入りするように動かされうる。
[0055]一部の実施形態では、処理チャンバ960が、中央移送ステーション910に接続され、ゲート電極堆積チャンバとして機能するよう構成される。処理チャンバ960は、一又は複数の異なるエピタキシャル成長プロセスを実施するよう構成されうる。
[0056]一部の実施形態では、処理チャンバ930、940、及び960の各々は、処理方法の異なる部分を実施するよう構成される。例えば、処理チャンバ930は高誘電率キャッピング層の堆積プロセスを実施するよう構成されてよく、処理チャンバ940はPMOS仕事関数材料の堆積プロセスを実施するよう構成されてよく、処理チャンバ960はゲート電極の堆積プロセスを実施するよう構成されうる。当業者には、ツールにおける個々の処理チャンバの数及び配置は変更可能であり、図3に示している実施形態は1つの実現可能な構成を表わしているに過ぎないことが、認識されよう。
[0057]一部の実施形態では、処理システム900は一又は複数の計測ステーションを含む。例えば、計測ステーションは、予洗浄/バッファチャンバ920内、中央移送ステーション910内、又は個々の処理チャンバのいずれかの中に配置されうる。測定ステーションは、システム900内の任意の位置であって、基板を酸化環境に曝露することなく凹部の距離の測定が可能な、任意の位置にありうる。
[0058]少なくとも1つのコントローラ950が、中央移送ステーション910、予洗浄/バッファチャンバ920、処理チャンバ930、940、又は960、のうちの一又は複数に連結される。一部の実施形態では、個々のチャンバ又はステーションに接続された1を上回る数のコントローラ950が存在し、主要制御プロセッサが、システム900を制御するために、別個のプロセッサの各々に連結される。コントローラ950は、様々なチャンバ及びサブプロセッサを制御するために産業環境で使用されうる、任意の形態の汎用コンピュータプロセッサ、マイクロコントローラ、マイクロプロセッサ等のうちの1つでありうる。
[0059]少なくとも1つのコントローラ950は、プロセッサ952と、プロセッサ952に連結されたメモリ954と、プロセッサ952に連結された入出力デバイス956と、種々の電子部品同士の通信のためのサポート回路958とを有しうる。メモリ954は、一時的メモリ(例えばランダムアクセスメモリ)と非一時的メモリ(例えば記憶装置)のうちの一又は複数を含みうる。
[0060]プロセッサのメモリ954(又はコンピュータ可読媒体)は、ローカル又はリモートの、一又は複数の容易に入手可能なメモリ(ランダムアクセスメモリ(RAM)、読取専用メモリ(ROM)、フロッピーディスク、ハードディスク、又はその他の任意の形態のデジタル記憶装置など)でありうる。メモリ954は命令セットを保持してよく、この命令セットは、プロセッサ952によって、システム900のパラメータ及び構成要素を制御するよう実行可能である。サポート回路958は、従来的な様態でプロセッサをサポートするように、プロセッサ952に連結される。回路は、例えば、キャッシュ、電力供給装置、クロック回路、入出力回路、サブシステム等を含みうる。
[0061]プロセスは、一般に、ソフトウェアルーチンとしてメモリに記憶されてよく、このソフトウェアルーチンは、プロセッサによって実行されるとプロセスチャンバに本開示のプロセスを実施させる。ソフトウェアルーチンは、プロセッサによって制御されているハードウェアからリモートに配置されている第2プロセッサ(図示せず)によって、記憶されかつ/又は実行されることもある。本開示の方法の一部又は全部が、ハードウェアで実施されることもある。そのため、プロセスは、ソフトウェアで実装され、ハードウェアで(例えば、特定用途向け集積回路若しくはその他の種類のハードウェア実行形態として、又はソフトウェアとハードウェアとの組合せとして)コンピュータシステムを使用して実行されうる。ソフトウェアルーチンは、プロセッサによって実行されると、汎用コンピュータを、プロセスが実施されるようにチャンバの動作を制御する特定用途コンピュータ(コントローラ)に変換する。
[0062]一部の実施形態では、コントローラ950は、方法を実施するために個々のプロセス又はサブプロセスを実行するための、一又は複数の構成を有する。コントローラ950は、方法の機能を実施するために、中間構成要素に接続され、かつ中間コンポーネントを動作させるよう構成されうる。例えば、コントローラ950は、ガスバルブ、アクチュエータ、モータ、スリットバルブ、真空制御装置等のうちの一又は複数に接続され、かつこれらを制御するよう構成されうる。
[0063]一部の実施形態のコントローラ950は、ロボット上の基板を複数の処理チャンバと計測ステーションとの間で動かす構成、基板をローディングしかつ/若しくはシステムからアンローディングする構成、TiN若しくはTiSiNを含む高誘電率キャッピング層を堆積させる構成、MoNを含むPMOS仕事関数材料を堆積させる構成、及び/又はゲート電極を堆積させる構成、から選択される一又は複数の構成を有する。
[0064]この明細書全体を通じて、「一実施形態(one embodiment/an embodiment)」、「ある種の実施形態(certain embodiments)」、又は「一又は複数の実施形態(one or more embodiments)」への言及は、実施形態に関連して説明している特定の特徴、構造、材料、又は特性が、本開示の少なくとも1つの実施形態に含まれることを意味している。ゆえに、この明細書全体を通じて様々な箇所に出現している「一又は複数の実施形態では(in one or more embodiments)」、「ある種の実施形態において(in certain embodiments)」、又は「一実施形態では(in one embodiment/in an embodiment)」といった表現は、必ずしも、本開示の同一の実施形態に言及するものではない。更に、特定の特徴、構造、材料、又は特性は、一又は複数の実施形態において任意の好適な様態で組み合わされうる。
[0065]本書の開示では、特定の実施形態を参照して説明してきたが、当業者には、説明している実施形態が本開示の原理及び応答の単なる例示にすぎないことが理解されよう。本開示の本質及び範囲から逸脱せずに、本開示の方法及び装置に対して様々な改変及び変形がなされうることが、当業者には自明となろう。ゆえに、本開示は、付随する特許請求の範囲及びその均等物に含まれる改変及び変形を含みうる。

Claims (17)

  1. 高誘電率金属酸化物層上に、高誘電率キャッピング層を備え、且つ、前記高誘電率キャッピング層上に、PMOS仕事関数材料を備える金属ゲートスタックであって、
    前記高誘電率キャッピング層は、TiSiNを含むとともに約5Åから約25Åの範囲内の厚さを有し、前記PMOS仕事関数材料は、MoNを含むとともに約5Åから約50Åの範囲内の厚さを有し、
    TiNを含むPMOS仕事関数材料を備える金属ゲートスタックと比較して、Vfbが向上しており、
    TiNを含む高誘電率キャッピング層及びMoNを含むPMOS仕事関数材料を備える金属ゲートスタックと比較して、EOTの増大が少なくなっている、金属ゲートスタック。
  2. fbが約+125mV以上向上している、請求項に記載の金属ゲートスタック。
  3. fbが約+300mV以上向上している、請求項に記載の金属ゲートスタック。
  4. fbが約+175mV以上向上している、請求項に記載の金属ゲートスタック。
  5. fbが約+275mV以上向上している、請求項に記載の金属ゲートスタック。
  6. 金属ゲートスタックであって、
    高誘電率金属酸化物層上の、TiSiNを含む高誘電率キャッピング層と、
    前記高誘電率キャッピング層上の、MoNを含むPMOS仕事関数材料と、
    前記PMOS仕事関数材料上の、ゲート電極と、を備え、
    TiNを含む高誘電率キャッピング層及びMoNを含むPMOS仕事関数材料を備える金属ゲートスタックと比較して、EOTの増大が少なくなっており、前記ゲート電極が、TiAlを含む第1層と、TiNを含む第2層とを備える、金属ゲートスタック。
  7. 前記高誘電率金属酸化物層がHfOを含む、請求項に記載の金属ゲートスタック。
  8. 前記高誘電率キャッピング層が、約5Åから約25Åの範囲内の厚さを有する、請求項に記載の金属ゲートスタック。
  9. 前記PMOS仕事関数材料が、約5Åから約50Åの範囲内の厚さを有する、請求項に記載の金属ゲートスタック。
  10. EOTの増大が約0.3Å以上少なくなっている、請求項に記載の金属ゲートスタック。
  11. EOTの増大が、TiNを含む高誘電率キャッピング層及びTiNを含む仕事関数材料を備える金属ゲートスタックと比較して、約+0.30Å以下である、請求項に記載の金属ゲートスタック。
  12. EOTの増大が約0.05Å以下である、請求項11に記載の金属ゲートスタック。
  13. 酸化表面を有する基板材料であって、前記酸化表面上に前記高誘電率金属酸化物層がある、基板材料を更に含み、
    TiNを含む仕事関数材料を備える金属ゲートスタックと比較して、Vfbが向上している、請求項に記載の金属ゲートスタック。
  14. 金属ゲートスタックを製造する方法であって、
    第1処理チャンバ内に、高誘電率金属酸化物層を備える基板を配置することと、
    前記高誘電率金属酸化物層上に、原子層堆積によって、TiSiNを含む高誘電率キャッピング層を堆積させることと、
    前記基板を第2処理チャンバに移送することと、
    前記高誘電率キャッピング層上に、原子層堆積によって、MoNを含むPMOS仕事関数材料を堆積させることと、
    前記基板を第3処理チャンバに移送することと、
    前記PMOS仕事関数材料上に、一又は複数の異なるエピタキシャル成長プロセスによって、MoNを含むゲート電極を堆積させることであって、前記ゲート電極が、TiAlを含む第1層と、TiNを含む第2層とを備える、ゲート電極を堆積させることと、
    を含む、方法。
  15. 前記第1処理チャンバ前記第2処理チャンバ及び前記第3処理チャンバが一体化されており、前記方法が真空を破断することなく実施される、請求項14に記載の方法。
  16. 前記第1処理チャンバ前記第2処理チャンバ及び前記第3処理チャンバが同じ処理ツールの一部である、請求項15に記載の方法。
  17. 前記第1処理チャンバ前記第2処理チャンバ及び前記第3処理チャンバそれぞれ別々の処理ツールである、請求項15に記載の方法。
JP2022525608A 2019-11-05 2020-11-04 Pmos高誘電率金属ゲート Active JP7455968B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962931211P 2019-11-05 2019-11-05
US62/931,211 2019-11-05
PCT/US2020/058856 WO2021091995A1 (en) 2019-11-05 2020-11-04 Pmos high-k metal gates

Publications (2)

Publication Number Publication Date
JP2022553804A JP2022553804A (ja) 2022-12-26
JP7455968B2 true JP7455968B2 (ja) 2024-03-26

Family

ID=75689087

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022525608A Active JP7455968B2 (ja) 2019-11-05 2020-11-04 Pmos高誘電率金属ゲート

Country Status (6)

Country Link
US (1) US20210134972A1 (ja)
JP (1) JP7455968B2 (ja)
KR (1) KR20220093191A (ja)
CN (1) CN114616680A (ja)
TW (1) TW202129054A (ja)
WO (1) WO2021091995A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115701654A (zh) * 2021-08-02 2023-02-10 长鑫存储技术有限公司 半导体结构及其制作方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005217309A (ja) 2004-01-30 2005-08-11 Toshiba Corp 半導体装置及びその製造方法
JP2009278083A (ja) 2008-04-22 2009-11-26 Imec 二重仕事関数半導体デバイスの製造方法及びそのデバイス
US20150054029A1 (en) 2011-09-24 2015-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Metal Gate Stack Having TaAlCN Layer
US20190172716A1 (en) 2016-06-20 2019-06-06 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US7332433B2 (en) * 2005-09-22 2008-02-19 Sematech Inc. Methods of modulating the work functions of film layers
KR100775965B1 (ko) * 2006-08-17 2007-11-15 삼성전자주식회사 모스 트랜지스터 및 그 제조 방법
KR101194973B1 (ko) * 2010-04-27 2012-10-25 에스케이하이닉스 주식회사 반도체 소자의 트랜지스터 및 그 형성방법
US8440520B2 (en) * 2011-08-23 2013-05-14 Tokyo Electron Limited Diffused cap layers for modifying high-k gate dielectrics and interface layers
US8846474B2 (en) * 2012-08-20 2014-09-30 Tokyo Electron Limited Dual workfunction semiconductor devices and methods for forming thereof
EP2953162A1 (en) * 2014-06-06 2015-12-09 IMEC vzw Method for manufacturing a semiconductor device comprising transistors each having a different effective work function
KR20170044968A (ko) * 2015-10-16 2017-04-26 삼성전자주식회사 기판의 세정 방법 및 이를 이용한 반도체 장치의 제조 방법
US10879392B2 (en) * 2018-07-05 2020-12-29 Samsung Electronics Co., Ltd. Semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005217309A (ja) 2004-01-30 2005-08-11 Toshiba Corp 半導体装置及びその製造方法
JP2009278083A (ja) 2008-04-22 2009-11-26 Imec 二重仕事関数半導体デバイスの製造方法及びそのデバイス
US20150054029A1 (en) 2011-09-24 2015-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Metal Gate Stack Having TaAlCN Layer
US20190172716A1 (en) 2016-06-20 2019-06-06 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film

Also Published As

Publication number Publication date
US20210134972A1 (en) 2021-05-06
JP2022553804A (ja) 2022-12-26
KR20220093191A (ko) 2022-07-05
CN114616680A (zh) 2022-06-10
TW202129054A (zh) 2021-08-01
WO2021091995A1 (en) 2021-05-14

Similar Documents

Publication Publication Date Title
US9153486B2 (en) CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US20220254900A1 (en) Mosfet gate engineerinng with dipole films
US9224594B2 (en) Surface preparation with remote plasma
WO2008042528A2 (en) Uv-assisted dielectric formation for devices with strained germanium-containing layers
US20240038859A1 (en) Metal cap for contact resistance reduction
JP7455968B2 (ja) Pmos高誘電率金属ゲート
JP7144532B2 (ja) 選択的エッチングプロセスの選択性を高める方法
US20220254640A1 (en) Amorphous Silicon-Based Scavenging And Sealing EOT
US11171047B2 (en) Fluorine-doped nitride films for improved high-k reliability
US12051734B2 (en) PMOS high-k metal gates
US11552177B2 (en) PMOS high-K metal gates
US11997849B2 (en) V-NAND stacks with dipole regions
TW202301484A (zh) 基於非晶矽的清除及密封等效氧化物厚度
CN116918070A (zh) 具有偶极膜的mosfet栅极工程
TW202412185A (zh) 防止鋁擴散之阻障層
TW202417668A (zh) 選擇性mosi沉積
CN118355486A (zh) 使用硅化钌的双硅化物处理

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220630

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230718

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230725

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20231019

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231225

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240227

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240313

R150 Certificate of patent or registration of utility model

Ref document number: 7455968

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150