TW202301438A - 具有平坦頂部輪廓的間隔物圖案化製程 - Google Patents

具有平坦頂部輪廓的間隔物圖案化製程 Download PDF

Info

Publication number
TW202301438A
TW202301438A TW111116978A TW111116978A TW202301438A TW 202301438 A TW202301438 A TW 202301438A TW 111116978 A TW111116978 A TW 111116978A TW 111116978 A TW111116978 A TW 111116978A TW 202301438 A TW202301438 A TW 202301438A
Authority
TW
Taiwan
Prior art keywords
layer
etch
spacer layer
patterned mandrel
fluorine
Prior art date
Application number
TW111116978A
Other languages
English (en)
Inventor
李超
吉尼 李
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202301438A publication Critical patent/TW202301438A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Inorganic Chemistry (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一種用於形成含金屬特徵的方法,該方法包括執行沉積製程,該沉積製程包含在經圖案化心軸層的頂表面上且在經圖案化心軸層的側壁上的間隔物層上方保形地沉積覆蓋層;以及執行蝕刻製程,該蝕刻製程包含使用含氟蝕刻氣體從經圖案化心軸層的頂表面及間隔物層的肩部移除覆蓋層以及移除間隔物層的肩部。

Description

具有平坦頂部輪廓的間隔物圖案化製程
本案之實例通常係關於用於形成半導體結構的方法。特定言之,本案之實施例提供用於圖案化待在自對準多圖案化(self-aligned multi-patterning; SAMP)製程中使用的間隔物層的方法。
自對準微影製程技術在先進技術節點中起到重要作用。對於以5-nm及以下節點的緻密金屬層,已經利用了自對準多重圖案化(SAMP)製程,諸如自對準雙重圖案化(self-aligned double patterning; SADP)、自對準四重圖案化(self-aligned quadruple patterning; SAQP),及自對準光刻蝕刻光刻蝕刻(self-aligned litho-etch-litho-etch; SALELE)製程。
通常,在該SAMP製程中,間隔物層經沉積在預定特徵(通常稱為心軸)上且隨後經回蝕以使得心軸側壁上的間隔物部分保留。然後,心軸可經蝕刻掉,為心軸之每一邊緣留下兩個間隔物。然而,此傳統間隔物圖案化製程通常在經圖案化間隔物中產生非對稱輪廓。例如,經圖案化間隔物可在頂部具有圓形肩部。經圖案化間隔物中的不一致及非對稱可能影響圖案轉移,如此可能導致下游製程中的均勻性不良及間距偏移(亦即,間距變化)。
因此,需要一種用於圖案化具有期望頂部輪廓,諸如平坦頂部輪廓的間隔物的方法。
本案之實施例提供一種用於形成含金屬特徵的方法。該方法包括執行沉積製程,該沉積製程包含在經圖案化心軸層的頂表面上且在經圖案化心軸層的側壁上的間隔物層上方保形地沉積覆蓋層,以及執行蝕刻製程,該蝕刻製程包含使用含氟蝕刻氣體從經圖案化心軸層的頂表面及間隔物層的肩部移除覆蓋層以及移除間隔物層的肩部。
本案之實施例亦提供一種用於形成含金屬特徵的方法。該方法包括執行主蝕刻製程,該主蝕刻製程包括使用第一含氟蝕刻氣體從經圖案化心軸層的頂表面移除間隔物層的部分,僅在經圖案化心軸層的側壁上留下間隔物層的部分;執行沉積製程,該沉積製程包括在圖案化心軸層的頂表面上且在圖案化心軸層的側壁上的間隔物層之上保形地沉積覆蓋層;以及執行過度蝕刻製程,該過度蝕刻製程包含使用第二含氟蝕刻氣體從圖案化心軸層的頂表面和間隔物層的肩部移除覆蓋層並且移除間隔物層的肩部。
本發明的實施例進一步提供了一種形成含金屬特徵的方法。該方法包括執行第一蝕刻製程,該第一蝕刻製程包含使用第一含氟蝕刻氣體從圖案化心軸層的頂表面移除間隔物層的部分,僅在圖案化心軸層的側壁上留下間隔物層的部分;執行沉積製程,該沉積製程包含在圖案化心軸層的頂表面上和在圖案化心軸層的側壁上的間隔物層之上保形地沉積覆蓋層;執行第二蝕刻製程,該第二蝕刻製程包含使用第二含氟蝕刻氣體從圖案化心軸層的頂表面和間隔物層的肩部移除覆蓋層以及移除間隔物層的肩部;以及執行第三蝕刻製程,該第三蝕刻製程包含移除圖案化心軸層。
本文所述的實施例提供用於形成半導體結構的方法。更特定言之,本案之實施例提供用於圖案化具有期望頂部輪廓,諸如平坦頂部輪廓的間隔物層的方法。該圖案化間隔物層提供減少的不一致性及不對稱性,因此當圖案化間隔物層用作硬遮罩以將圖案轉移至下方的有效層時,在經製造結構中的間距的均勻性增加。本文提供的方法包括在安置於圖案化心軸層的側壁上和圖案化心軸層上的間隔物層上沉積覆蓋層,並且隨後從圖案化心軸層的頂表面和間隔物層的肩部選擇性地移除覆蓋層。該製程允許蝕刻間隔物層的肩部以改質間隔物層的頂部輪廓,例如,修改為平坦頂部輪廓。
第1圖為適用於執行蝕刻製程的電感耦合電漿(ICP)蝕刻腔室100的一個實例的截面圖。可經調適用於本文揭示的方法的適當ICP蝕刻腔室包括電感耦合電漿反應離子蝕刻(inductively coupled plasma reactive ion etching; ICP-RIE)腔室,例如可從加尼福尼亞聖克拉拉的Applied Materials, Inc.獲得的CENTRIS® SYM3™蝕刻腔室。儘管ICP蝕刻腔室100經圖示為包括實現優越蝕刻效能的複數個特徵,但可以預期,其他ICP蝕刻腔室可經調適以受益於本文揭示的本發明的特徵之一或多者。
ICP蝕刻腔室100包括圍封內部容積106的腔室主體102及蓋體104。腔室主體102通常由鋁、不銹鋼或其他適當的材料製成。腔室主體102通常包括側壁108及底部110。基板支撐基座存取埠(未圖示)通常界定在側壁108中並由狹縫閥選擇性地密封以促進基板W從ICP蝕刻腔室100進出。排氣口112經界定在腔室主體102中並且將內部容積106耦接至真空泵系統114。真空泵系統114通常包括一或多個泵及節流閥,該泵及節流閥用於排空且調節ICP蝕刻腔室100的內部容積106的壓力。
蓋體104經密封地支撐在腔室主體102的側壁108上。蓋體104經打開以允許存取ICP蝕刻腔室100的內部容積106。蓋體104包括促進光學製程監測的窗口116。在一個實施中,窗口116由石英或其他適當的材料構成,該材料對安裝在ICP蝕刻腔室100外部的光學監測系統118使用的信號可傳輸。
光學監測系統118經定位成穿過窗口116觀察腔室主體102的內部容積106及/或定位在基板支撐底座組件120上的基板W中的至少一者。在一個實施例中,光學監測系統118經耦合至蓋體104並促進整合沉積製程,該製程使用光學計量來提供資訊,該資訊使得能夠進行製程調整以補償傳入的基板圖案特徵的不一致性(例如厚度等),並根據需要提供製程狀態監測(如電漿監測、溫度監測等)。一種可適用於從本案中受益的光學監測系統為可從加利福尼亞州聖克拉拉的Applied Materials, Inc.獲得的EyeD ®全光譜干涉計量模組。
氣體分配盤122經耦接至ICP蝕刻腔室100以提供製程及/或清潔氣體至內部容積106。在第1圖中所示的實例中,入口埠124、126設置在蓋體104中以允許氣體從氣體分配盤122輸送至ICP蝕刻腔室100的內部容積106。在一個實施中,氣體分配盤122適於穿過入口埠124、126提供氟化製程氣體並進入ICP蝕刻腔室100的內部容積106。
噴頭組件128經耦接至蓋體104的內表面130。噴頭組件128包括複數個孔,該複數個孔允許氣體橫跨在ICP蝕刻腔室100中正經處理的基板W之表面,以預定分佈從入口埠124、126流過噴頭組件128至ICP蝕刻腔室100的內部容積106中。
遠端電漿源132可視情況地耦合至氣體分配盤122以促進在進入內部容積106進行處理之前從遠端電漿解離氣體混合物。射頻電源134經由匹配網路136耦接至噴頭組件128。射頻電源134通常能夠在從大約50 kHz至大約200 Mhz的範圍內的可調諧頻率下產生高達大約3000 W的功率。
噴頭組件128另外包括可透射光學計量信號的區域。光學透射區域或通道138適合於允許光學監測系統118觀察內部容積106及/或定位在基板支撐基座組件120上的基板W。通道138可為形成或安置於噴頭組件128中的一種材料、一孔或複數個孔,其大體上可透射由光學監測系統118產生並反射回光學監測系統118的能量波長。
在一個實施中,噴頭組件128經配置有複數個區域,該等區域允許單獨控制流入ICP蝕刻腔室100的內部容積106的氣體。在第1圖所示的實例中,噴頭組件128具有內部區域140及外部區域142,該內部區域及外部區域經由單獨的入口埠124、126分別耦接至氣體分配盤122。
基板支撐基座組件120經安置在ICP蝕刻腔室100的氣體分配(噴頭)組件128之下的內部容積106中。基板支撐基座組件120在處理期間保持基板W。基板支撐基座組件120通常包括穿過其中安置的複數個升舉銷(未圖示),該升舉銷經配置以將基板W從基板支撐底座組件120上升舉並且促進以傳統方式與機器人(未圖示)交換基板W。內襯墊144可緊密地圍繞基板支撐基座組件120的周邊。
在一個實施中,基板支撐基座組件120包括安裝板146、底座148及靜電卡盤150。安裝板146耦接至腔室主體102的底部110,並且包括用於將公共設施(例如,流體管線、電源線、感測器引線等)路由至基座148及靜電卡盤150的通道。靜電卡盤150包括用於將基板W保持在噴頭組件128下方的夾持電極152。靜電卡盤150由卡盤電源154驅動以產生將基板W保持在卡盤表面的靜電力,如常規已知的。或者,基板W可藉由夾持、真空或重力固持至基板支撐基座組件120。
底座148或靜電卡盤150中的至少一者可包括至少一個可選的嵌入式加熱器156、至少一個可選的嵌入式隔離器158及複數個導管160、162以控制基板支撐基座組件120的橫向溫度分佈。導管160、162經流體耦接至流體源164,該流體源穿過導管160、162循環溫度調節流體。加熱器156係藉由電源166調節。導管160、162及加熱器156用於控制底座148的溫度,從而加熱及/或冷卻靜電卡盤150,並最終控制設置在其上的基板W的溫度分佈。可使用複數個溫度感測器168、170監測靜電卡盤150及底座148的溫度。靜電卡盤150可進一步具有複數個氣體通道(未圖示),諸如凹槽,該等氣體通道形成在靜電卡盤150的基板支撐基座支撐表面中並且流體耦合至熱傳遞(或背側)氣體源,諸如氦氣(He)源。在操作中,背側氣體係以受控壓力提供至氣體通道中,以增強靜電卡盤150與基板W之間的熱傳遞。
在一個實施中,基板支撐基座組件120經配置為陰極並且包括耦合至複數個射頻偏壓電源172、174的電極152。射頻偏壓電源172、174耦合在設置於基板支撐基座組件120中的電極152與另一電極之間,該另一電極諸如噴頭組件128或腔室主體102的頂板(蓋體104)。射頻偏壓功率激發並維持由安置在腔室主體102的處理區域中的氣體形成的電漿放電。
在第1圖中所示的實例中,雙射頻偏壓電源172、174經由匹配電路176耦合至安置在基板支撐底座組件120中的電極152。由射頻偏壓電源172、174產生的信號經由匹配電路176透過單次饋送傳送至基板支撐基座組件120,以離子化ICP蝕刻腔室100中提供的氣體混合物,從而提供用於執行沉積或其他電漿增強製程所需的離子能量。射頻偏壓電源172、174通常能夠產生具有從大約50 kHz至大約200 MHz的頻率和在大約0瓦至大約5000瓦之間的功率的射頻信號。額外的偏壓電源178可經耦合至電極152以控制電漿的特性。
在一個操作模式中,基板W經安置在ICP蝕刻腔室100中的基板支撐基座組件120上。製程氣體及/或氣體混合物經由噴頭組件128從氣體分配盤122引入腔室主體102。真空泵系統114保持腔室主體102內部的壓力,同時移除沉積副產物。
控制器180經耦合至ICP蝕刻腔室100以控制ICP蝕刻腔室100的操作。控制器180包括中央處理單元(central processing unit; CPU) 182、記憶體184及支援電路186,用於控制製程序列且調節來自氣體分配盤122的氣流。CPU 182可為可在工業環境中使用的任何形式的通用電腦處理器。軟體常式可儲存於記憶體184中,例如隨機存取記憶體、唯讀記憶體、軟碟或硬碟驅動器或其他形式的數位儲存器。支援電路256通常耦接至CPU 182並且可包括高速緩衝記憶體、時鐘電路、輸入/輸出系統、電源等等。控制器180與ICP蝕刻腔室100的各個部件之間的雙向通訊係經由許多信號電纜來處置的。
第2圖為根據一個實施例的用於形成奈米結構300的方法200的流程圖。第3A圖、第3B圖、第3C圖、第3D圖及第3E圖為對應於方法200的各個階段的奈米結構300的一部分的橫截面圖。方法200可用於在材料層中形成特徵,該材料層諸如接觸介電層、閘極電極層、閘極介電層、淺溝槽隔離(shallow trench isolation; STI)絕緣層、金屬間層(inter-metal layer; IML)或任何合適的層。
如第3A圖中所示,奈米結構300包括基板302、安置在基板302上的界面層304、安置在界面層304上的圖案化心軸層306,及間隔物層308,該間隔物層安置在圖案化心軸層306的頂表面310及側壁312上以及圖案化心軸層306之內的開口316的頂表面314上。
基板302可包括材料,諸如結晶矽(例如,Si<100>或Si<111>)、氧化矽、應變矽、矽鍺、摻雜或未摻雜的多晶矽、摻雜或未摻雜的矽晶圓及圖案化或非圖案化的晶圓、絕緣體上矽(silicon on insulator; SOI)、碳摻雜的氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃,或藍寶石。基板302可具有各種尺寸,諸如200 mm、300 mm、450 mm或其他直徑的晶圓,以及矩形或方形面板。
界面層304可由氧化矽(SiO 2)、原矽酸四乙酯(TEOS)、氧氮化矽(SiON)、硼化矽(SiBx)、碳氮化矽(SiCN)、碳化硼(BC)、非晶碳、氮化硼(BN)、碳氮化硼(BCN)、碳摻雜氧化物、多孔二氧化矽、氮化矽(SiN)、碳氮氧化物、聚合物、磷矽酸鹽玻璃、氟矽酸鹽(SiOF)玻璃、有機矽酸鹽玻璃(SiOCH)、其他適當的氧化物材料、其他適當的碳化物材料、其他適當的碳氧化物材料或其他適當的氮氧化物材料形成。
心軸層306可由諸如非晶碳、旋塗碳(SoC)或其他適當的含碳材料的含碳材料形成,並且用藉由使用任何合適的微影及蝕刻製程用開口316圖案化。在一些實施例中,圖案化心軸層306具有約20 nm及約50 nm,例如約35 nm的線臨界尺寸(critical dimension; CD)。在一特定實例中,心軸層306由位於加利福尼亞州聖克拉拉的Applied Materials, Inc.生產的Saphira TM高級圖案化膜(Advanced Patterning Film; APF)碳硬遮罩形成。
間隔物層308可由含矽的介電材料,諸如氮化矽(Si 3N 4)形成。在一些其他實施例中,間隔物層308可由摻雜的含矽材料形成,例如硼摻雜的矽材料、磷摻雜的矽或其他合適的III族、IV族或V族摻雜的矽材料。在一些實施例中,間隔物層308具有約6 nm與約20 nm之間的厚度。間隔物層308可使用任何適當的沉積製程形成,諸如原子層沉積(atomic layer deposition; ALD)、化學氣相沉積(chemical vapor deposition; CVD)、旋塗、物理氣相沉積(physical vapor deposition; PVD)等。
方法200在方塊210中藉由第一蝕刻製程(亦稱為「主蝕刻」製程)開始,以從圖案化心軸層306的頂表面310部分移除間隔物層308的部分;在圖案化心軸層306的側壁312上留下間隔物層308的部分,如第3B圖中所示。圖案化心軸層306的開口316的底表面314上的部分間隔物層308亦在第一蝕刻製程期間得以移除。
方塊210中的主蝕刻製程藉由供應第一含氟蝕刻氣體來執行,該蝕刻氣體在諸如ICP蝕刻腔室100的ICP蝕刻腔室中從心軸層306選擇性地移除間隔物層308。圖案化心軸層306上的間隔物層308的部分由從第一含氟蝕刻氣體產生,並且經引導朝向圖案化心軸層306的頂表面310的電漿流出物轟擊。歸因於電漿流出物的方向性,圖案化心軸層306的側壁上的間隔物層308的部分保持未蝕刻。在方塊210的主蝕刻製程中,含氧氣體及惰性氣體係與第一含氟蝕刻氣體同時供應。第一含氟蝕刻氣體的適當實例包括氟仿(CHF 3)。含氧氣體的適當實例包括O 2、NO 2、N 2O、O 3、SO 2、COS、CO和CO 2。惰性氣體的適當實例包括氬氣(Ar)、氦氣(He)、氮氣(N 2)或氫氣(H 2)。
在一些實施例中,歸因於主要經由離子轟擊進行的蝕刻,在主蝕刻製程之後,間隔物層308在間隔物層308的肩部308S中呈現出錐形或圓形輪廓。在方塊220和230中的以下製程中,將間隔物層308的頂部輪廓修改為例如平坦頂部輪廓。
在方塊220中,執行沉積製程以在間隔物層308上方保形地沉積覆蓋層318,該間隔物層308保留在圖案化心軸層306的頂表面310和圖案化心軸層306的側壁312上,如第3C圖中所示。在方塊220中,心軸層306的頂表面310上的間隔物層308保護心軸層306免受沉積製程的影響。在沉積製程期間,覆蓋層318亦沉積在圖案化心軸層306的開口316的底表面314上。覆蓋層318可由可在方塊230的蝕刻製程中於圖案化心軸層306上方選擇性地蝕刻的材料形成,該材料諸如氧化矽(SiO 2)、原矽酸四乙酯(TEOS)、氧氮化矽(SiON)、硼化矽(SiBx)、碳氮化矽(SiCN)、碳化硼(BC)、非晶碳、氮化硼(BN)、碳氮化硼(BCN)、碳摻雜氧化物、多孔二氧化矽、氮化矽(SiN)、碳氮氧化物、聚合物、磷矽酸鹽玻璃、氟矽酸鹽(SiOF)玻璃、有機矽酸鹽玻璃(SiOCH)、其他適當的氧化物材料、其他適當的碳化物材料、其他適當的碳氧化物材料或其他適當的氮氧化物材料。在一些實施例中,覆蓋層318具有約1 nm與約3 nm之間的厚度。覆蓋層318可使用任何適當的沉積製程形成,諸如原子層沉積(ALD)、化學氣相沉積(CVD)、旋塗、物理氣相沉積(PVD)等。方塊220中的沉積製程可在ICP蝕刻腔室,諸如ICP蝕刻腔室100中執行。
在方塊230中,執行第二蝕刻製程(亦稱為「過蝕刻」製程)以從圖案化心軸層306的頂表面310及間隔物層的肩部308S移除部分覆蓋層318,且隨後移除間隔物層308的肩部308S,如第3D圖中所示。方塊230中的過蝕刻製程藉由向ICP蝕刻腔室(諸如ICP蝕刻腔室100)中的心軸層306供應第二含氟蝕刻氣體來執行,該第二含氟蝕刻氣體選擇性地移除覆蓋層318及間隔物層308。圖案化心軸層306上的覆蓋層318的部分及間隔物層308的肩部由從第二含氟蝕刻氣體產生,並且經引導朝向圖案化心軸層306的頂表面310的電漿流出物320轟擊。歸因於電漿流出物320的方向性,間隔物層308的側壁上的覆蓋層318的部分保持未蝕刻。歸因於第二含氟蝕刻氣體的蝕刻選擇性,心軸層306以比圖案化心軸層306側壁上的間隔物層306更慢的蝕刻速率被蝕刻。間隔物層308的肩部308S經進一步蝕刻,以使得間隔物層308具有平坦的頂部輪廓。在方塊230中的過蝕刻製程之後,間隔物層308的頂部輪廓可藉由調整提供給遠端電漿源(諸如ICP蝕刻腔室100的遠端電漿源132)的射頻源功率134及射頻偏壓功率來修改。
在方塊230中的過蝕刻製程中,含氧氣體及惰性氣體係與第二含氟蝕刻氣體同時供應。第二含氟蝕刻氣體的適當實例包括氟甲烷(CH 3F)。含氧氣體的適當實例包括O 2、NO 2、N 2O、O 3、SO 2、COS、CO和CO 2。惰性氣體的適當實例包括氦氣(He)、氮氣(N 2)、氬氣(Ar)和氫氣(H 2)。
在方塊240中,在諸如ICP蝕刻腔室100的ICP蝕刻腔室中,藉由乾式電漿蝕刻製程執行第三蝕刻製程以移除如第3E圖中所示的心軸層306。在第三蝕刻製程期間,亦移除在間隔物層308的側壁上的剩餘覆蓋層318。
藉由在處理腔室中同時供應含氧氣體與惰性氣體,諸如氬氣(Ar)、氮氣(N 2)、氦氣(He)或氫氣(H 2),來執行方塊240中的乾式電漿蝕刻製程。含氧氣體的適當實例包括O 2、NO 2、N 2O、O 3、SO 2、COS、CO和CO 2。在方塊240中的第三蝕刻製程之後,間隔物層308經圖案化為具有期望的頂部輪廓,例如平坦的頂部輪廓。
在一些實施例中,方塊210中的第一蝕刻製程(主蝕刻製程)、方塊220中的沉積製程、方塊230中的第二蝕刻製程(過蝕刻製程)和方塊240中的第三蝕刻製程係在無真空中斷的情況下於同一ICP蝕刻腔室中作為一序列執行。
在本文所述的實施例中,描述了用於圖案化具有所需頂部輪廓(諸如平坦頂部輪廓)的間隔物層的方法。該方法包括在安置於圖案化心軸層的側壁上和圖案化心軸層上的間隔物層上沉積覆蓋層,並且隨後從圖案化心軸層的頂表面和間隔物層的肩部選擇性地移除覆蓋層。該製程允許蝕刻間隔物層的肩部以修改間隔物層的頂部輪廓。具有平坦頂部輪廓的圖案化間隔物層提供減少的不一致性及不對稱性,因此當圖案化間隔物層用作硬遮罩以將圖案轉移至下方的有效層時,在經製造結構中的間距的均勻性增加。
雖然前述內容係針對本案的各個實施例,但是可在不背離本案的基本範疇的情況下設計本案之其他及進一步實施例,且本發明的範疇由以下的申請專利範圍確定。
100:電感耦合電漿(ICP)蝕刻腔室 102:腔室主體 104:蓋體 106:內部容積 108:側壁 110:底部 112:排氣口 114:真空泵系統 116:窗口 118:光學監測系統 120:基板支撐基座組件 122:氣體分配盤 124:入口埠 126:入口埠 128:噴頭組件 130:內表面 132:遠端電漿源 134:射頻電源 136:匹配網路 138:光學透射區域或通道 140:內部區域 142:外部區域 144:內襯墊 146:安裝板 148:底座 150:靜電卡盤 152:夾持電極 154:卡盤電源 156:嵌入式加熱器 158:嵌入式隔離器 160:導管 162:導管 164:流體源 166:電源 168:溫度感測器 170:溫度感測器 172:射頻偏壓電源 174:射頻偏壓電源 176:匹配電路 178:偏壓電源 180:控制器 182:中央處理單元 184:記憶體 186:支援電路 200:方法 210:步驟 220:步驟 230:步驟 240:步驟 300:奈米結構 302:基板 304:界面層 306:圖案化心軸層 308:間隔物層 308S:肩部 310:頂表面 312:側壁 314:底表面 316:開口 318:覆蓋層
以能夠詳細理解本案之實施例的上述特徵的方式,可經由參考實施例獲得簡要概述於上文的本案之更特定描述,該等實施例之一些實施例圖示於附圖中。然而,應將注意,附圖僅圖示本案的典型實施例並且因此不被視為限制本案之範疇,因為本案可允許其他同等有效的實施例。
第1圖圖示根據一個實施例可用於執行圖案化製程的電感耦合電漿(inductively coupled plasma; ICP)蝕刻腔室。
第2圖圖示根據一個實施例的形成奈米結構的方法的流程圖。
第3A圖、第3B圖、第3C圖、第3D圖及第3E圖為根據一個實施例的奈米結構的一部分的橫截面圖。
為了促進理解,在可能的情況下,已使用相同的元件符號來指示諸圖共用的相同元件。可以預期,一個實施例中揭示的元件可有利地用於其他實施例,而無需特定敘述。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:電感耦合電漿(ICP)蝕刻腔室
102:腔室主體
104:蓋體
106:內部容積
108:側壁
110:底部
112:排氣口
114:真空泵系統
116:窗口
118:光學監測系統
120:基板支撐基座組件
122:氣體分配盤
124:入口埠
126:入口埠
128:噴頭組件
130:內表面
132:遠端電漿源
134:射頻電源
136:匹配網路
138:光學透射區域或通道
140:內部區域
142:外部區域
144:內襯墊
146:安裝板
148:底座
150:靜電卡盤
152:夾持電極
154:卡盤電源
156:嵌入式加熱器
158:嵌入式隔離器
160:導管
162:導管
164:流體源
166:電源
168:溫度感測器
170:溫度感測器
172:射頻偏壓電源
174:射頻偏壓電源
176:匹配電路
178:偏壓電源
180:控制器
182:中央處理單元
184:記憶體
186:支援電路

Claims (20)

  1. 一種用於形成一含金屬特徵的方法,該方法包含以下步驟: 執行一沉積製程,該沉積製程包含在一圖案化心軸層的頂表面上且在該圖案化心軸層的側壁上的一間隔物層之上保形地沉積一覆蓋層;以及 執行一蝕刻製程,該蝕刻製程包含使用一含氟蝕刻氣體從該圖案化心軸層的該等頂表面和該間隔物層的肩部移除該覆蓋層並且移除該間隔物層的該肩部。
  2. 如請求項1所述之方法,其中該沉積製程及該蝕刻製程係在無真空中斷的情況下於一電感耦合電漿(ICP)蝕刻腔室中執行。
  3. 如請求項1所述之方法,其中該間隔物層包含氮化矽。
  4. 如請求項1所述之方法,其中該圖案化心軸層包含一含碳材料。
  5. 如請求項1所述之方法,其中該覆蓋層包含氧化矽。
  6. 如請求項1所述之方法,其中該含氟蝕刻氣體包含氟甲烷(CH 3F)。
  7. 一種用於形成一含金屬特徵的方法,該方法包含以下步驟: 執行一主蝕刻製程,該主蝕刻製程包含使用一第一含氟蝕刻氣體從一經圖案化心軸層的頂表面移除一間隔物層的部分,僅在該經圖案化心軸層的側壁上留下該間隔物層的部分; 執行一沉積製程,該沉積製程包含在該圖案化心軸層的該等頂表面上且在該圖案化心軸層的該側壁上的該間隔物層之上保形地沉積一覆蓋層;以及 執行一過蝕刻製程,該過蝕刻製程包含使用一第二含氟蝕刻氣體從該圖案化心軸層的該等頂表面和該間隔物層的肩部移除該覆蓋層並且移除該間隔物層的該肩部。
  8. 如請求項7所述之方法,其中該主蝕刻製程、該沉積製程及該過蝕刻製程係在無真空中斷的情況下於一電感耦合電漿(ICP)蝕刻腔室中執行。
  9. 如請求項7所述之方法,其中該間隔物層包含氮化矽。
  10. 如請求項7所述之方法,其中該圖案化心軸層包含一含碳材料。
  11. 如請求項7所述之方法,其中該覆蓋層包含氧化矽。
  12. 如請求項7所述之方法,其中該第一含氟蝕刻氣體包含氟仿(CHF 3)。
  13. 如請求項7所述之方法,其中該第二含氟蝕刻氣體包含氟甲烷(CH 3F)。
  14. 一種用於形成一含金屬特徵的方法,該方法包含以下步驟: 執行一第一蝕刻製程,該第一蝕刻製程包含使用一第一含氟蝕刻氣體從一圖案化心軸層的頂表面移除一間隔物層的部分,僅在該經圖案化心軸層的側壁上留下該間隔物層的部分; 執行一沉積製程,該沉積製程包含在該圖案化心軸層的該等頂表面上且在該圖案化心軸層的該側壁上的該間隔物層之上保形地沉積一覆蓋層; 執行一第二蝕刻製程,該第二蝕刻製程包含使用一第二含氟蝕刻氣體從該圖案化心軸層的該等頂表面和該間隔物層的肩部移除該覆蓋層並且移除該間隔物層的該肩部;以及 執行一第三蝕刻製程,該第三蝕刻製程包含移除該圖案化心軸層。
  15. 如請求項7所述之方法,其中該第一蝕刻製程、該沉積製程、該第二蝕刻製程,及該第三蝕刻製程係在無中斷的情況下於一電感耦合電漿(ICP)蝕刻腔室中執行。
  16. 如請求項14所述之方法,其中該間隔物層包含氮化矽。
  17. 如請求項14所述之方法,其中該圖案化心軸層包含一含碳材料。
  18. 如請求項14所述之方法,其中該覆蓋層包含氧化矽。
  19. 如請求項14所述之方法,其中該第一含氟蝕刻氣體包含氟仿(CHF 3)。
  20. 如請求項14所述之方法,其中該第二含氟蝕刻氣體包含氟甲烷(CH 3F)。
TW111116978A 2021-05-06 2022-05-05 具有平坦頂部輪廓的間隔物圖案化製程 TW202301438A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163185335P 2021-05-06 2021-05-06
US63/185,335 2021-05-06

Publications (1)

Publication Number Publication Date
TW202301438A true TW202301438A (zh) 2023-01-01

Family

ID=83901529

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111116978A TW202301438A (zh) 2021-05-06 2022-05-05 具有平坦頂部輪廓的間隔物圖案化製程

Country Status (5)

Country Link
US (1) US20220359201A1 (zh)
KR (1) KR20220151557A (zh)
CN (1) CN117203740A (zh)
TW (1) TW202301438A (zh)
WO (1) WO2022235361A1 (zh)

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090049524A (ko) * 2007-11-13 2009-05-18 주식회사 하이닉스반도체 스페이서를 이용한 반도체소자의 미세 패턴 형성 방법
CN103839783B (zh) * 2012-11-21 2017-06-09 中芯国际集成电路制造(上海)有限公司 自对准双重图形的形成方法
CN104022022A (zh) * 2013-02-28 2014-09-03 中芯国际集成电路制造(上海)有限公司 多重图形的形成方法
US9406522B2 (en) * 2014-07-24 2016-08-02 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
US9673059B2 (en) * 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9997369B2 (en) * 2016-09-27 2018-06-12 International Business Machines Corporation Margin for fin cut using self-aligned triple patterning
JP7395094B2 (ja) * 2018-03-20 2023-12-11 東京エレクトロン株式会社 プラットフォーム、及び統合的エンドツーエンド自己整合マルチパターニングプロセスの操作方法
US11276560B2 (en) * 2019-08-30 2022-03-15 Mattson Technology, Inc. Spacer etching process
CN112908836B (zh) * 2019-12-04 2023-07-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
JP7357528B2 (ja) * 2019-12-06 2023-10-06 東京エレクトロン株式会社 エッチング方法及びエッチング装置

Also Published As

Publication number Publication date
CN117203740A (zh) 2023-12-08
KR20220151557A (ko) 2022-11-15
WO2022235361A1 (en) 2022-11-10
US20220359201A1 (en) 2022-11-10

Similar Documents

Publication Publication Date Title
US10319603B2 (en) Selective SiN lateral recess
KR102283949B1 (ko) 주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 방법들
US9269587B2 (en) Methods for etching materials using synchronized RF pulses
US9595451B1 (en) Highly selective etching methods for etching dielectric materials
US10497578B2 (en) Methods for high temperature etching a material layer using protection coating
WO2015060929A1 (en) Methods for patterning a hardmask layer for an ion implantation process
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes
US11335565B2 (en) Systems and methods to form airgaps
US11127599B2 (en) Methods for etching a hardmask layer
TWI817066B (zh) 用於蝕刻用於半導體應用的材料層的方法
US20040256353A1 (en) Method and system for deep trench silicon etch
TW202301438A (zh) 具有平坦頂部輪廓的間隔物圖案化製程
US20240162057A1 (en) Spacer patterning process with flat top profile
US10872778B2 (en) Systems and methods utilizing solid-phase etchants
WO2020215183A1 (en) Methods for etching a material layer for semiconductor applications
US20220189771A1 (en) Underlayer film for semiconductor device formation
US20230066543A1 (en) Fully self aligned via integration processes
US20220285167A1 (en) Selective barrier metal etching