TW202248443A - 選擇性沉積的表面處理 - Google Patents

選擇性沉積的表面處理 Download PDF

Info

Publication number
TW202248443A
TW202248443A TW111106004A TW111106004A TW202248443A TW 202248443 A TW202248443 A TW 202248443A TW 111106004 A TW111106004 A TW 111106004A TW 111106004 A TW111106004 A TW 111106004A TW 202248443 A TW202248443 A TW 202248443A
Authority
TW
Taiwan
Prior art keywords
substrate
film
barrier layer
barrier
exposing
Prior art date
Application number
TW111106004A
Other languages
English (en)
Inventor
卡曼 李爾塞芬提斯
龍珍 金
凱文 卡雪菲
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202248443A publication Critical patent/TW202248443A/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

公開了選擇性沉積期間的表面預處理方法。本公開發明的一個或多個實施例提供了有助於去除阻隔層的表面預處理。本公開發明的一些實施例包括表面預處理,其包括暴露具有第一表面和第二表面的基板以修改第一表面,在經修改第一表面上沉積阻隔層,在阻隔層上的第二表面上選擇性地沉積膜,並去除阻隔層。

Description

選擇性沉積的表面處理
本申請要求於2021年2月28日提交的美國臨時申請號63/154,807的優先權,其全部公開內容透過引用併入本文。
本公開發明的實施例總體上涉及用於非金屬表面上的選擇性沉積方法的表面處理。更具體地,本公開發明的一些實施例涉及使用表面處理和包含SAM和/或不飽和烴的阻隔化合物在非金屬表面上選擇性沉積的方法。表面處理有助於在隨後的沉積之前去除阻隔化合物。
選擇性沉積處理正在獲得大量動力,主要是因為對半導體進行圖案化應用的需求所致。傳統上,微電子工業中的圖案化是使用各種微影和蝕刻處理完成的。然而,由於微影正變得指數級地複雜和昂貴,使用選擇性沉積來沉積特徵變得越來越有吸引力。選擇性沉積的另一個潛在應用是間隙填充。在間隙填充中,填充膜從溝槽底部向頂部選擇性地生長。選擇性沉積可用於其他應用,例如在鰭片側面生長膜的選擇性側壁沉積。這將能夠在不需要複雜的圖案化步驟的情況下沉積側壁間隔物。
在線路中間(MOL)和線路後端(BEOL)結構中,屏障膜通常用於金屬線和介電層之間,以防止介電層和金屬線之間的擴散和其他不利的相互作用。然而,由於其高電阻率,屏障膜通常對通孔電阻的貢獻最大。
過去的方法集中在減小屏障膜的厚度或尋找具有較低電阻率的屏障膜以降低通孔電阻。然而,由於屏障膜而增加的通孔電阻仍然是一個問題,尤其是當側壁上的屏障膜形成的通孔體積百分比增加時在較小的CD特徵中所生的問題。
另一種方法是阻隔或減小通孔底部金屬表面上的屏障膜的厚度,而側壁處的介電質表面上的厚度保持不變。由於屏障膜的阻隔特性與金屬和介電質之間的膜厚度直接相關,因此這種方法可以使屏障膜保持完整,但金屬表面的減小的厚度會提高通孔電阻。這些處理被稱為選擇性沉積處理。
目前的解決方案是使用自組裝單層(SAM)來抑制屏障膜在金屬表面的成核和生長。為了防止沉積,SAM和金屬表面之間的強烈的相互作用是較佳的,但這種相互作用可能成為在以後的沉積處理中去除SAM的障礙。
因此,需要有利於去除SAM的表面預處理方法。
本公開發明的一個或多個實施例涉及一種選擇性沉積方法,該方法包括將包含具有第一表面的第一材料和具有第二表面的第二材料的基板暴露於表面預處理以修改第一表面並形成經修改第一個表面。將基板暴露於阻隔化合物以在經修改第一表面上選擇性地形成阻隔層。第一膜選擇性地沉積在阻隔層上的第二表面上。從第一材料去除阻隔層。表面預處理有利於從第一表面去除阻隔層。
在描述本公開發明的若干示例性實施例之前,應理解本公開發明不限於以下描述中闡述的構造或處理步驟的細節。本公開發明能夠具有其他實施例並且能夠以各種方式實踐或執行。
如在本說明書和所附請求項中使用的,術語「基板」是指表面或表面的一部分,於其上執行處理。所屬技術領域具有通常知識者還將理解,提及基板也可以僅指基板的一部分,除非上下文另有明確說明。此外,提及在基板上沉積可以指裸基板和其上沉積或形成有一個或多個膜或特徵的基板。
如本文所用,「基板」是指形成在基板上的任何基板或材料表面,在製造處理期間在其上進行膜處理。例如,可以在其上執行處理的基板表面包括諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、碳摻雜氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石等材料,以及任何其他材料,例如金屬、金屬氮化物、金屬合金和其他導電材料,其具體取決於應用。基板包括但不限於半導體晶圓。可將基板暴露於預處理處理以拋光、蝕刻、還原、氧化、羥基化、退火、UV固化、電子束固化和/或烘烤基板表面。除了直接在基板本身的表面上進行膜處理,在本公開發明中,還可以對形成在基板上的底層進行任何公開的膜處理步驟,如下文更詳細公開的,並且術語「基板表面」旨在包括上下文所指示的這種底層。因此,例如,當膜/層或部分膜/層已經沉積到基板表面上時,新沉積的膜/層的暴露表面變成基板表面。
如在本說明書和所附請求項中使用的,術語「反應性氣體」、「前驅物」、「反應物」等可互換使用以表示包括與基板表面反應的物質的氣體。例如,第一「反應性氣體」可以簡單地吸附到基板表面上並且可用於與第二反應性氣體的進一步化學反應。
近幾十年來,半導體界已嘗試透過用可轉化為成本更低、處理時間縮短和特徵尺寸更小的替代方案替換微影步驟來改進積體電路(IC)處理。許多這些替代品都屬於「選擇性沉積」的總稱。一般來說,選擇性沉積是指在目標基板材料上的淨沉積速率相對於其他基板材料更高的處理,從而在目標基板材料上實現所需的膜厚度,而在其他基板材料上的沉積可忽略不計(其中「可忽略」由處理約束來定義)。
材料的選擇性沉積可以以多種方式完成。相對於另一表面(金屬或介電質),化學前驅物可以選擇性地與一個表面反應。可以調節諸如壓力、基板溫度、前驅物分壓和/或氣流的處理參數以調節特定表面反應的化學動力學。另一種可能的方案涉及表面預處理,該預處理可用使感興趣表面對引入的薄膜沉積前驅物激活或去激活。通常,選擇性沉積是指在金屬表面上沉積膜。反向選擇性沉積處理在介電質表面而不是金屬表面上沉積膜。
實現選擇性沉積的一種策略是使用阻隔層。理想情況下,該策略包括(1)在基板材料上形成阻隔層,在其上的沉積將會被避免,而對目標基板材料的影響可以忽略不計,(2)在目標基板材料上沉積(其中在其他基板材料上的沉積會「被阻隔層阻隔」),和(3)去除阻隔層而不會對沉積膜產生淨不利影響。
本公開發明的一個或多個實施例涉及表面處理方法,其有助於去除阻隔層。在一些實施例中,阻隔層用於選擇性沉積方案中。在一些實施例中,選擇性沉積方案在基板特徵內沉積阻隔層。
如在本說明書和所附請求項中使用的,短語「金屬材料表面」或「非金屬材料表面」分別是指金屬或非金屬材料的表面。就本公開發明而言,非金屬材料是表現出不良導體或良好絕緣體的特性的任何材料。相反,金屬材料是表現出良好導體或不良絕緣體特性的任何材料。非金屬材料可以包括金屬原子(例如氮化鉭、氮化鈦),但仍屬於非金屬材料的範疇。在一些實施例中,術語「導電材料」和「絕緣材料」分別用於代替金屬材料和非金屬材料。
如在本說明書和所附請求項中使用的,術語「在第二表面上選擇性地沉積在第一表面上」等等是指在第一表面上沉積第一量或厚度並且在第二表面上沉積第二量或厚度,其中第二量或厚度小於第一量或厚度,或者在一些實施例中,沒有量沉積在第二表面上。
如在這方面使用的,術語「上」並不意味著一個表面在另一個表面之上的物理取向,而是一個表面相對於另一個表面的化學反應的熱力學或動力學性質的關係。例如,在介電表面上的銅表面上選擇性地沉積鈷膜意味著鈷膜沉積在銅表面上,而在介電表面上沉積較少或沒有鈷膜;或者在銅表面上形成鈷膜相對於在介電質表面上形成鈷膜在熱力學或動力學上是更有利的。
在一些實施例中,「選擇性地」是指主題材料以大於或等於約在非選定表面上的形成速率的2x、3x、4x、5x、7x、10x、15x、20x、25x、30x、35x、40x、45x或50x的速率在選定表面上形成。換句話說,相對於非選定表面,選定表面的所述處理的選擇性大於或等於約2:1、3:1、4:1、5:1、7:1、10:1、15:1、20:1、25:1、30:1、35:1、40:1、45:1或50:1。
本公開發明的一個或多個實施例涉及在基板的第一材料表面上選擇性地在第二材料表面上形成阻隔層的方法。在一些實施例中,基板包括金屬材料(導電材料)和非金屬材料(絕緣材料)。在一些實施例中,金屬材料的表面被稱為第一材料表面並且非金屬材料的表面被稱為第二材料表面。在一些實施例中,交換第一材料表面和第二材料表面的標籤。
基板的金屬材料可以是任何合適的金屬材料。在一些實施例中,本發明的金屬材料是導電材料。合適的金屬材料包括但不限於金屬、導電金屬氮化物、導電金屬氧化物、金屬合金、矽、它們的組合和其他導電材料。
在一些實施例中,金屬材料包含或基本上由以下材料:鉻、錳、鐵、銅、鎳、鈷、鎢、釕、鉬、鉭或鈦中的一種或多種所組成。在一些實施例中,金屬材料包括或基本上由銅、鈷、釕、鎢或鉬組成。在一些實施例中,金屬材料包括矽或基本上由矽組成。如在本說明書和所附請求項中所使用的,術語「基本上由……組成」是指材料大於或等於所述材料的以原子為基礎的約95%、98%或99%。
如在本說明書和所附請求項中使用的,術語「氧化物」等是指材料包含指定的金屬元素和氧。該術語不應被解釋為暗示元素的特定比例(化學計量的或非化學計量的)。
基板的非金屬材料可以是任何合適的材料。在一些實施例中,本發明的非金屬材料是介電材料。合適的非金屬材料包括但不限於二氧化矽(例如SiO 2)、氮化矽、碳化矽及其組合(例如SiCON)。合適的非金屬材料還包括氧化鋁、氮化鋁和低k介電材料。在一些實施例中,非金屬材料或基本上由二氧化矽(SiO 2)組成。在一些實施例中,非金屬材料包括或基本上由氮化矽組成。
參考圖1,示例性方法100從基板105開始,基板105包括具有第一表面112的第一材料110和具有第二表面122的第二材料120。此外,基板105具有包括第一表面112和第二表面122的基板表面。如上所述,在一些實施例中,第一材料110包括導電材料並且第二材料120包括絕緣材料。
在操作150,基板表面暴露於表面預處理以至少修改第一表面112並形成經修改第一表面115。如以這種方式使用的,短語「基板表面暴露於」是指整個基板,包括其上的各個材料和層(特別是它們的暴露表面)暴露於所述處理或條件。不受理論的束縛,表面預處理有助於隨後去除在操作160處沉積的阻隔層。
表面預處理可以是任何表面修改,其有利於阻隔層的去除而不會顯著不利地影響阻隔層的防止或最小化在第一材料上的沉積的能力。在一些實施例中,表面預處理包括將第一表面暴露於含氮反應物。在一些實施例中,含氮反應物作為電漿而暴露於第一表面。在一些實施例中,含氮反應物在沒有電漿的情況下暴露於第一表面(例如,熱暴露)。在一些實施例中,含氮反應物包含或基本上由以下材料:氮氣(N 2)、氨氣(NH 3)、一氧化二氮(N 2O)、二氧化氮(NO 2)、肼(N 2H 4)或其衍生物的一種或多種所組成。
不受理論束縛,據信暴露於含氮反應物會透過將氮原子化學吸附到第一表面的至少一部分來修改第一表面。該表面上的氮原子不會不利地影響阻隔化合物結合到第一表面並抑制其上的沉積的能力,但它們確實透過在阻隔化合物的至少一些分子之間***氮原子來促進阻隔層的去除和第一表面,從而降低阻隔化合物和第一表面之間的平均鍵能。
在操作160,基板105暴露於阻隔化合物以在第二表面122上的經修改第一表面115上選擇性地形成阻隔層130。在一些實施例中,阻隔層的表面被描述為經阻隔第一表面132。或者,阻隔層可以被稱為鈍化層並且經阻隔第一表面被稱為經鈍化的第一表面。
阻隔層130在圖中被示為一系列平行的波浪線;然而,所屬技術領域具有通常知識者將認識到這僅用作一般表示,並不暗示阻隔層130的任何特定形態、密度或結構。
阻隔化合物可以是能夠在第二表面122上的經修改第一表面115上選擇性地形成阻隔層130的任何化合物。在一些實施例中,阻隔化合物包含具有通式A-L的阻隔分子,其中A為反應性頭基(head group)且L為碳質尾基(tail group)。在一些實施例中,阻隔分子包含正十八烷基三(二甲氨基)矽烷或基本上由其組成。
如以這種方式使用,「頭基」是與經修改第一表面115締合的化學部分,「尾基」是遠離經修改第一表面115延伸的化學部分。
在一些實施例中,第一材料110包括絕緣材料並且A選自(R 2N) 3Si-、X 3Si-和(RO) 3Si-,其中每個R獨立地選自C1-C6烷基、C4-C8環烷基和C4-C8芳基,每個X獨立地選自鹵素。在一些實施例中,第一材料110包括導電材料並且A選自由(HO) 2OP-、HS-和H 3Si-組成的組。
上面列出的一些反應性頭部基團在連接到尾基L的單個反應性頭部基團中包含一個以上的反應性部分(例如H 3Si-可以與表面鍵合多達3次)。在一些實施例中,A選自反應性基團,其少於上面列出的反應部分的數量並且A連接到一個以上的尾基L。在這些實施例中,尾基可以相同或不同。
在一些實施例中,L是-(CH 2) nCH 3並且n是從3到25的整數。在一些實施例中,尾基L包含少於18個碳原子。在一些實施例中,尾基包含少於17、16、15、14、13、12、11、10、9、8、7、6或5個碳原子。在一些實施例中,L包含一個或多個反應性部分以形成反應性尾基。
在一些實施例中,尾基團透過相對較慢的范德華(van der Waals)相互作用彼此締合。在一些實施例中,尾基可以相同或不同,從而可以形成同質或異質的SAM。在一些實施例中,阻隔化合物包含至少兩種不同的阻隔分子,從而形成異質SAM。
阻隔化合物可以作為單一化合物或多種化合物的連續曝光而被遞送到基板以形成阻隔層130。在一些實施例中,經修改第一表面115暴露於以有序或半有序方式組裝在表面上的單一化合物。
在一些實施例中,將阻隔化合物遞送至基板的條件加以控制。在一些實施例中,控制條件以最大化基板表面上的SAM均勻性。在一些實施例中,遞送阻隔化合物期間的壓力在15至50托的範圍內。在一些實施例中,基板的溫度維持在150℃至400℃的範圍內。
在一些實施例中,阻隔化合物包含不飽和烴。不受理論束縛,據信金屬材料的d-軌道開始與不飽和烴的sp 2軌道共享電子。因此,在一些實施例中,不飽和烴包含至少一種在兩個碳原子之間具有至少一個雙鍵的化合物。在一些實施例中,不飽和烴包含至少一種在兩個碳原子之間具有至少一個三鍵的化合物。換句話說,在一些實施例中,不飽和烴包含至少一種具有通式R'=R”或R'≡R”的化合物。在一些實施例中,不飽和烴的化合物僅包含一個不飽和鍵。不受理論束縛,據信多個不飽和鍵增加了聚合的可能性並增加了去除阻隔層而不損壞周圍基板材料的難度。
此外,不受理論束縛,據信不飽和烴(阻隔層130)抑制經修改第一表面115上後續膜的一個或多個成核或生長速率。
在一些實施例中,R'和R''是相同的。在一些實施例中,R'和R”是獨立的C2-C6基團。如在這方面使用的,「C2-C6基團」包含2-6個碳原子。在一些實施例中,R'和R”僅包含碳和氫原子。在一些實施例中,R'和R”不包含任何表面反應性部分。在一些實施例中,不飽和烴的化合物不包含具有末端碳的不飽和鍵。在一些實施例中,不飽和烴的化合物包含4-12個碳原子。在一些實施例中,R'和/或R”是線性分子(例如,直鏈不飽和烴)。在一些實施例中,R'和/或R”是支鏈的。在一些實施例中,不飽和烴的化合物包含或基本上由3-己炔組成。如以這種方式使用的,術語「基本上由……組成」是指所述化合物是大於或等於約95%、98%、99%或99.5%的不飽和烴(以摩爾計)。在一些實施例中,不飽和烴的化合物包含5-癸炔或基本上由其組成。
在一些實施例中,基板被沉浸在不飽和烴的蒸氣中。在一些實施例中,基板暴露於不飽和烴的處理條件可加以控制。
在一些實施例中,控制處理腔室的壓力。處理腔室的壓力可以是任何適合形成阻隔層的壓力。在一些實施例中,處理腔室的壓力維持在小於或等於約80托、小於或等於約70托、小於或等於約60托、小於或等於約50托、小於小於或等於約40托、小於或等於約30托、小於或等於約20托、小於或等於約15托、小於或等於約10托、或小於或等於約5托。在一些實施例中,處理腔室的壓力維持在約10托、約20托、約25托、約30托、約40托或約50托。
在一些實施例中,將進入處理腔室的不飽和烴的流速加以控制。不飽和烴的流速可以是任何適合形成阻隔層的流速。在一些實施例中,不飽和烴的流速在約50sccm至約1000sccm的範圍內、在約100sccm至約800sccm的範圍內、在約400sccm至約700sccm的範圍內、在約500sccm至約650sccm的範圍內,或在約550sccm至約650sccm的範圍內。在一些實施例中,不飽和烴的流速小於或等於約1000sccm、小於或等於約800sccm、小於或等於約700sccm、小於或等於約650sccm、小於或等於約600sccm、小於或等於約550sccm、小於或等於約500sccm、小於或等於約400sccm、小於或等於約300sccm、或小於或等於約200sccm。在一些實施例中,不飽和烴的流速大於或等於約50sccm、大於或等於約100sccm、大於或等於約200sccm、大於或等於約300sccm、小於或等於約大於400sccm、大於或等於約500sccm、大於或等於約550sccm、大於或等於約600sccm、大於或等於約650sccm、大於或等於約700sccm,或大於或等於約800sccm。在一些實施例中,不飽和烴的流速為約50sccm、約100sccm、約200sccm、約300sccm、約400sccm、約500sccm、約550sccm、約600sccm、約650sccm、約700sccm、約800sccm或約1000sccm。
在一些實施例中,將不飽和烴暴露於基板的沉浸時間加以控制。沉浸時間可以是用於形成阻隔層的任何合適的期間。在一些實施例中,沉浸時間大於或等於約10秒、大於或等於約20秒、大於或等於約30秒、大於或等於約45秒、大於或等於約60秒、大於或等於約90秒、大於或等於約120秒、大於或等於約150秒、大於或等於約200秒、或大於或等於約250秒。在一些實施例中,沉浸時間小於或等於約300秒、小於或等於約250秒、小於或等於約200秒、小於或等於約150秒、小於或等於約120秒、小於或等於約90秒、小於或等於約60秒、小於或等於約45秒、小於或等於約30秒、或小於或等於約20秒。在一些實施例中,沉浸時間為約60秒。在一些實施例中,沉浸時間為約200秒。
在一些實施例中,在暴露於不飽和烴期間控制基板的溫度。在一些實施例中,本文公開的每個操作在約相同的溫度下執行。在這種情況下,基板的溫度也可以稱為操作溫度。在一些實施例中,在暴露於不飽和烴期間,基板的溫度小於或等於約300°C、小於或等於約275°C、小於或等於約250°C、小於或等於等於約225°C、小於或等於約200°C、或小於或等於約150°C。在一些實施例中,在暴露於不飽和烴期間,基板的溫度大於或等於約100°C、大於或等於約150°C、大於或等於約200°C、大於或等於等於約225°C、大於或等於約250°C、或大於或等於約275°C。
在一些實施例中,不飽和烴的化合物在操作溫度下是液體。在一些實施例中,烴的化合物在操作溫度下具有大於或等於約0.1托的蒸氣壓。
在一些實施例中,方法100在操作170處繼續沉積,其中在經阻隔第一表面132上的第二表面122上沉積第一膜140。可以透過所屬技術領域具有通常知識者已知的任何技術來沉積第一膜140。在第二表面122上沉積第一膜140。在一些實施例中,第一材料110包括導電材料而第二材料120包括絕緣材料,因此該方法可以稱為「反向選擇性沉積」。所屬技術領域具有通常知識者將理解術語「選擇性沉積」通常用於在絕緣材料上的導電材料上形成膜。反向選擇性沉積處理會在絕緣材料上形成膜。
在一些實施例中,第一膜140透過原子層沉積來沉積。在一些實施例中,透過將基板105順序地暴露於金屬前驅物和反應物來沉積第一膜140。在一些實施例中,膜140包括金屬氮化物。
在一些實施例中,第一膜140用作屏障膜。在一些實施例中,第一膜140包括或基本上由氮化鈦組成。在一些實施例中,第一膜140包括或基本上由氮化鉭組成。在一些實施例中,金屬前驅物包括五(二甲氨基)鉭。在一些實施例中,反應物包括氨。在一些實施例中,第一膜140在不使用電漿的情況下形成。
在一些實施例中,方法100可選地包括在去除阻隔層之前的後處理(post-treatment)處理。不受理論束縛,據信後處理還可有助於促進阻隔層的去除。在一些實施例中,後處理包括將基板暴露於包含或基本上由以下的反應物:氫(H 2)、氨、水或氧(O 2)中的一種或多種所組成。在一些實施例中,反應物基本上不包含氫(H 2)。在一些實施例中,反應物基本上不包含氧(O 2)。在一些實施例中,後處理反應物在沒有電漿(例如,熱)的情況下提供。
方法100在操作180處透過從經修改第一表面115去除阻隔層130而繼續。阻隔層130可以透過任何合適的方式去除,包括但不限於電漿清洗處理。在一些實施例中,基板105暴露於電漿以從經修改第一表面115去除阻隔層130。在一些實施例中,電漿包括或基本上由氬(Ar)、氮(N 2)、氫(H 2)或氨中的一種或多種所組成。如在本說明書中所使用的,包含氮、氫、氧等的電漿是指由所述物質的分子形式形成的電漿。例如,氮電漿是指由分子氮(N 2)點燃的電漿。如在本說明書和所附請求項中使用的,含元素電漿(例如,含氮電漿)是指包含該元素的化合物。例如,含氮電漿包括或基本上由一種或多種具有氮作為元素的化合物(例如,氨(NH 3))組成。在一些實施例中,電漿主要由氬組成。在一些實施例中,電漿包含或基本上由H 2和Ar的混合物組成。
在一些實施例中,H 2和Ar的混合物具有在約10:1至約1:10範圍內、在約10:1至約1:1範圍內、在約1:1至約1:10的範圍內、約5:1至約1:5的範圍內、約5:1至約1:1的範圍內、約1:1至約1:5的範圍內、在約2:1至約1:2的範圍內、在約2:1至約1:1的範圍內,或在約1:1至約1:2的範圍內的H 2:Ar比率。在一些實施例中,H 2/Ar的混合物為約1:1。
電漿的功率可以根據阻隔層的成分、填充和/或厚度以及周圍材料的成分和/或厚度而變化。在一些實施例中,電漿功率在約200W到約1000W的範圍內、在約300W到約800W的範圍內、在約400W到約600W的範圍內、在約200W至約800W的範圍內、在約200W至約600W的範圍內、在約400W至約1000W的範圍內、或在約400W至約800W的範圍內。在一些實施例中,電漿功率為約300W、約400W、約500W、約600W或約700W。
電漿暴露的持續時間可以根據阻隔層130的成分、填充和/或厚度以及周圍材料的成分和/或厚度而變化。在一些實施例中,基板暴露於電漿約2秒至約600秒的範圍內、約5秒至約300秒範圍內,或約10秒至約200秒範圍內的時間段。在一些實施例中,基板暴露於電漿約15秒、約30秒、約60秒、約100秒、約200秒或約300秒的時間。
方法100可以透過在基板表面上沉積第二膜來繼續。雖然此操作未在圖1中顯示,操作的參數在下面關於操作290和390進行詳細描述。
參考圖2,示例性反向選擇性沉積方法200透過提供具有基板頂表面202的基板205開始,其中形成有至少一個特徵206。所描述的不同膜和層類似於圖1所示,所屬技術領域具有通常知識者將認識到這些僅僅是相似方法的不同結構形式。
至少一個特徵從基板頂表面202延伸到基板中一段深度到底部209並且具有在第一側壁207和第二側壁208之間的寬度。在所示實施例中,側壁207、208包括第一材料210並且由第一材料210形成。底部209包括第二材料220並且由第二材料220形成。至少一個特徵206可以具有一個側壁(例如圓形通孔)、兩個側壁(例如溝槽)或更多側壁(例如方形或多邊形通孔)。
該方法在操作250處繼續,透過將基板表面暴露於表面預處理以至少修改第一表面112並形成經修改第一表面115。上文關於操作150公開了預處理的參數。
方法200在操作260處繼續,透過將基板205暴露於阻隔化合物以在第一材料210的經修改第一表面215上選擇性地形成阻隔層230,從而在第二材料220的第二表面222上形成特徵206的底部209而形成基板頂表面202和特徵側壁207、208。換句話說,基板205用阻隔化合物處理以使第一材料210上的未來沉積失活或阻隔。
方法200在操作270透過在阻隔層230上的第二表面222上選擇性沉積第一膜240而繼續。在一些實施例中,操作270代表反向選擇性沉積處理。再次,所屬技術領域具有通常知識者將理解術語「反向選擇性沉積」是指其中在絕緣材料的表面上形成膜的選擇性沉積處理。在一些實施例中,透過將基板205順序地暴露於金屬前驅物和反應物來沉積第一膜240。在一些實施例中,第一膜240包括用作阻隔層的材料。
在操作280,方法200透過從特徵206的底部209上的第一材料210的第一表面212去除阻隔層230來繼續。
不受理論的束縛,據信阻隔層230的持續存在導致由於第一材料210和隨後經沉積第二膜245之間的不良黏附力而導致的經沉積材料拉出的問題。此外,阻隔層230的持續存在可能有助於在第一材料210和第二膜245之間形成底部空隙。
在操作280去除阻隔層230之後,方法200在操作290繼續透過在至少一個特徵206內沉積第二膜245以形成低電阻金屬通孔。在一些實施例中,第二膜是會促進透過特徵206的第一材料210之間的電接觸的導電材料。
圖3圖示了與圖1和圖2中所示的那些相似的本公開發明的另一個實施例。圖3所示的方法300採用與前面所述相同的材料和整個處理來進行。圖3所示的實施例表示用於形成連接M x和M x+1金屬線的通孔的方法300,其如所屬技術領域具有通常知識者將認識到的。為簡單起見,未示出對第一表面進行處理(類似於操作150和250)以形成經修改第一表面315。圖3示出了具有包括導電材料的第一材料310和包括絕緣材料的第二材料320的基板305。在基板305中形成具有多個層或級的特徵306。特徵306具有下部306a和上部306b。下段具有側壁307a、308a和底部309a。上段具有側壁307b、308b和底部309b。側壁307a、307b、308a、308b由第二材料320形成。下部306a的底部309a由第一材料310的經修改第一表面315形成。第一材料310也稱為M x線。底部309b由第二材料320的上段表面323形成。
雖然圖3中未顯示,方法300具有類似於先前公開的方法100、200的預處理操作。如上所述,第一材料310具有經修改第一表面315。
在處理360中,將基板暴露於阻隔化合物以在第一材料310的表面315上選擇性地形成阻隔層330,從而在第二材料320的表面上形成特徵306的下部306a的底部309a而形成上段表面323的基板頂表面302、側壁307a、307b、308a、308b和底部309b。
方法300在操作370處透過在阻隔層330上的第二材料320上選擇性地沉積屏障層340而繼續。如圖3所示,屏障層340選擇性地沉積在第二材料320的上段表面323的側壁307a、307b、308a、308b、底部309b和基板頂表面302上。屏障層340沉積在經暴露第二材料320表面上,如果是第一材料310,則不沉積在經暴露表面上。屏障層340可以透過所屬技術領域具有通常知識者已知的任何合適的技術來沉積。在一些實施例中,透過將基板305順序地暴露於金屬前驅物和反應物來沉積屏障層340。
在操作380,方法300包括從特徵306的下部306a的底部309a上的第一材料310的經修改第一表面315去除阻隔層330。
方法300在操作390處繼續進行選擇性通孔沉積。包括導電填充材料的第二膜350至少沉積在特徵306的下部306a內以形成低電阻金屬通孔。在所示實施例中,第二膜350僅形成在特徵306的下部306a中。然而,所屬技術領域具有通常知識者將認識到,可以沉積導電填充材料以填充包括上部306b在內的整個特徵306。
在類似於圖3所示的實施例中,其中第二膜350以自下而上的方式生長以填充構成特徵306的下部306a的通孔部分,第三膜355沉積在上部306b中。例如,在操作395中,如圖3所示,特徵306的上部306b填充有包括導電材料的第三膜355以形成M x+1導線。
第三膜355可以是所屬技術領域具有通常知識者已知的任何合適的材料。在圖示的實施例中,第一材料310和第三膜355是相同的材料,而第二膜350是不同的材料。例如,在一些實施例中,第一材料310和第三膜355包括銅並且第二膜350包括鈷。在一些實施例中,第二膜350和第三膜355是相同的材料。在一些實施例中,整個特徵306一次填充單一材料以在一個處理中填充特徵306的下部306a和上部306b。
可以透過所屬技術領域具有通常知識者已知的任何合適的技術來沉積第三膜355。在一些實施例中,透過化學氣相沉積(CVD)處理、原子層沉積(ALD)處理或物理氣相沉積(PVD)處理中的一種或多種來沉積第三膜355。在一些實施例中,沉積第三膜355以過度填充特徵306並在基板305的頂表面302上形成覆蓋層。然後透過任何合適的技術(例如,蝕刻、化學機械平坦化(CMP))去除覆蓋層。
參考圖4,本公開發明的另外的實施例係關於用於執行本文描述的方法的處理系統900。圖4圖示了根據本公開發明的一個或多個實施例的可用於處理基板的系統900。系統900可以稱為集群工具。系統900包括其中具有機械手912的中央轉移站910。機械手912被示為單刀片機械手;然而,所屬技術領域具有通常知識者將認識到,其他機械手912配置也在本公開發明的範圍內。機械手912被配置為在連接到中央轉移站910的腔室920、930、940、960之間移動一個或多個基板。
至少一個預清潔/緩衝腔室920可以連接到中央轉移站910。預清潔/緩衝腔室920可以包括加熱器、自由基源或電漿源中的一種或多種。預清潔/緩衝腔室920可以用作單個半導體基板或用於處理的晶片盒的保持區域。預清潔/緩衝腔室920可以執行預清潔處理或可以預熱基板以進行處理或可以簡單地作為處理序列的暫存區。在一些實施例中,有兩個預清潔/緩衝腔室920連接到中央轉移站910。
在圖4所示的實施例中,預清潔腔室920可以充當工廠界面905和中央轉移站910之間的通過腔室(pass through chambers)。工廠界面905可以包括一個或多個機械手906,以將基板從盒移動到預清潔/緩衝腔室920。然後機械手912可以將基板從預清潔/緩衝腔室920移動到系統900內的其他腔室。
第一處理腔室930可以連接到中央轉移站910。第一處理腔室930可以被配置為表面預處理腔室和/或阻隔層沉積腔室,以用於修改第一表面並形成阻隔層。第一處理腔室930可以與一個或多個反應性氣體源流體連通以向第一處理腔室930提供一個或多個反應性氣體流。可透過機械手912穿過隔離閥914將基板移入和移出處理腔室930。
處理腔室940也可以連接到中央轉移站910。在一些實施例中,處理腔室940包括膜沉積腔室並且與一個或多個反應性氣體源流體連通以向處理腔室940提供反應性氣體流以執行沉積處理。可透過機械手912穿過隔離閥914將基板移入和移出處理腔室940。
在一些實施例中,處理腔室960連接到中央轉移站910並且被配置為用作阻隔層去除室。處理腔室960可經配置以執行一種或多種沉積處理。
在一些實施例中,處理腔室930、940和960中的每一者被配置為執行處理方法的不同部分。例如,處理腔室930可以被配置為執行預處理和/或阻隔層形成處理,處理腔室940可以被配置為執行一個或多個膜沉積處理,並且處理腔室960可以被配置為執行阻隔層去除處理。所屬技術領域中具通常知識者將認識到,工具上各個處理腔室的數量和佈置可以改變,並且圖4中所示的實施例僅代表一種可能的配置。
在一些實施例中,處理系統900包括一個或多個計量站。例如,計量站可以位於預清潔/緩衝腔室920內、中央轉移站910內或任何單獨的處理腔室內。計量站可以是系統900內允許在不將基板暴露於周圍環境的情況下測量基板的特性的任何位置。
至少一個控制器950耦合到中央轉移站910、預清潔/緩衝腔室920或處理腔室930、940或960中的一個或多個。在一些實施例中,有一個以上的控制器950連接到各個腔室或站,並且主控制處理器耦合到每個單獨的控制器以控制系統900。控制器950可以是任何形式的通用電腦處理器、微控制器、微處理器等中的一種,其可以在工業環境中用於控制各種腔室和子處理器。
至少一個控制器950可以具有處理器952、耦合到處理器952的記憶體954、耦合到處理器952的輸入/輸出元件956、以及支援電路958以在不同電子部件之間進行通訊。記憶體954可以包括暫態記憶體(例如,隨機存取記憶體)和非暫態記憶體(例如,記憶體)中的一種或多種。
處理器的記憶體954或電腦可讀媒體可以是容易獲得的記憶體中的一種或多種,例如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟或任何其他形式的本端或遠端數位儲存。記憶體954可以保留可由處理器952操作以控制系統900的參數和部件的指令集。支援電路958耦合到處理器952,用於以常規方式支援處理器。電路可以包括例如高速緩存、電源、時脈電路、輸入/輸出電路、子系統等。
處理通常可以作為軟體例程儲存在記憶體中,當由處理器執行時,使處理腔室執行本公開發明的處理。軟體例程也可以由遠離處理器控制的硬體的第二處理器(未示出)儲存和/或執行。本公開發明的部分或全部方法也可以在硬體中執行。這樣,該處理可以軟體實現並使用電腦系統、在硬體中作為(例如)專用積體電路或其他類型的硬體來實現、或作為軟體和硬體的組合來執行。當由處理器執行時,軟體例程將通用電腦轉換為控制腔室操作的專用電腦(控制器),從而執行處理。
在一些實施例中,控制器950具有一種或多種配置來執行單獨的處理或子處理以執行該方法。控制器950可以連接並配置為操作中間部件以執行方法的功能。例如,控制器950可以連接並配置為控制氣閥、致動器、馬達、狹縫閥、真空控制等中的一個或多個。
一些實施例的控制器950具有選自以下的一種或多種配置:用於在複數個處理腔室或計量站之間移動機械手上的基板的配置;從系統裝載和/或卸載基板的配置;預處理基板的第一表面的配置;用於在經修改第一表面上形成阻隔層的配置;在第二表面上選擇性地沉積第一膜的配置;移除阻隔層的配置;和/或在第一材料和/或第一膜上沉積第二膜的配置。
示例
如下表1中所示處理了幾種鈷基板。在表1的處理之後,用36個循環的ALD沉積處理和30秒的沉積後電漿處理來處理每個基板。
表1
基板 預處理 阻擋層 去除 ALD薄膜的觀察厚度(Å)
1     X 15.91
2   X X 13.12
3 X X   1.99
4 X X X 14.55
發明人發現,當沉積阻隔層時,在去除之前使用預處理提供更多的沉積。該結果表明阻隔層的去除更有效。
本說明書通篇對「一個實施例」、「某些實施例」、「一個或多個實施例」或「一個實施例」的引用意指結合實施例描述的特定特徵、結構、材料或特性包括在本發明的至少一實施例。因此,諸如「在一個或多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在一實施例中」之類的短語在整個說明書的各個地方的出現並不一定指代相同的所接露的實施例。此外,特定特徵、結構、材料或特性可以在一個或多個實施例中以任何合適的方式組合。
儘管已經參考特定實施例描述了本文的公開,但是所屬技術領域具有通常知識者將理解,所描述的實施例僅是對本公開發明的原理和應用的說明。對於本領域的技術人員來說,在不脫離本公開發明的精神和範圍的情況下,可以對本公開發明的方法和裝置進行各種修改和變化是顯而易見的。因此,本公開發明可以包括在所附請求項及其等價物的範圍內的修改和變化。
100:方法 105:基板 112:第一表面 110:第一材料 122:第二表面 120:第二材料 150:操作 160:操作 130:阻隔層 132:經阻隔第一表面 115:經修改第一表面 170:操作 140:第一膜 180:操作 290:操作 390:操作 200:方法 202:頂表面 205:基板 206:特徵 209:底部 207:第一側壁 208:第二側壁 210:第一材料 220:第二材料 250:操作 260:操作 215:經修改第一表面 230:阻隔層 222:第二表面 270:操作 240:第一膜 280:操作 245:第二膜 290:操作 300:方法 315:經修改第一表面 310:第一材料 320:第二材料 305:基板 306:特徵 306a:下部 306b:上部 307a、308a、307b、308b:側壁 309a:底部 323:上段表面 360:處理 330:阻隔層 302:頂表面 370:操作 340:屏障層 380:操作 390:操作 350:第二膜 355:第三膜 900:系統 912:機械手 910:中央轉移站 920、930、940、960:腔室 905:工廠界面 906:機械手 912:機械手 914:隔離閥 950:控制器 952:處理器 954:記憶體 956:輸入/輸出元件 958:支援電路
為了能夠詳細理解本公開發明的一些特徵,可以透過參考實施例來獲得對以上簡要概括的本公開發明的更具體的描述,其中一些實施例在附圖中示出。然而,要注意,附圖僅圖示了本公開發明的一些示例性實施例,因此不應被視為限制其範圍,因為本公開發明可以承認其他同等有效的實施例。
圖1示出了根據本公開發明的一個或多個實施例的在處理期間的示例性基板的截面視圖;
圖2說明根據本公開發明的一個或多個實施例在處理期間的示例性基板的截面視圖;和
圖3是根據本公開發明一或多個實施例的選擇性沉積期間基板的示意性截面視圖;和
圖4示出了根據本公開發明的一個或多個實施例的示例性集群工具。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:方法
105:基板
112:第一表面
110:第一材料
122:第二表面
120:第二材料
150:操作
160:操作
130:阻隔層
132:經阻隔第一表面
115:經修改第一表面
170:操作
140:第一膜
180:操作

Claims (20)

  1. 一種反向選擇性沉積方法,包括以下步驟: 將包含具有一第一表面的一第一材料和具有一第二表面的一第二材料的一基板暴露於一表面預處理以修改該第一表面並形成一經修改第一表面; 將該基板暴露於一阻隔化合物,以在該經修改第一表面上選擇性地形成一阻隔層; 在該阻隔層上的該第二表面上選擇性地沉積一第一膜;和 從該第一材料去除該阻隔層, 其中該表面預處理有利於從該第一表面去除該阻隔層。
  2. 如請求項1所述的方法,其中,該基板的該頂表面包括至少一個特徵,該特徵延伸進該基板到一底部的一深度,該至少一個特徵具有在一第一側壁和一第二側壁之間的一寬度。
  3. 如請求項2所述的方法,其中,該第一側壁和該第二側壁由該第二材料表面構成,該底部由該第一材料表面構成。
  4. 如請求項1所述的方法,其中,該第一材料包括一導電材料並且該第二材料包括一絕緣材料。
  5. 如請求項4所述的方法,其中,該第一材料包括銅、鈷、鎢、鉬或釕中的一種或多種。
  6. 如請求項4所述的方法,其中,該第二材料包括氧化矽、氮化矽或低k介電質中的一種或多種。
  7. 如請求項1所述的方法,其中該表面預處理之步驟包括以下步驟:將該第一表面暴露於一含氮反應物。
  8. 如請求項7所述的方法,其中該含氮反應物包括熱氨(NH 3)。
  9. 如請求項1所述的方法,其中該阻隔化合物包含具有一反應性頭基和一尾基的一阻隔分子。
  10. 如請求項1所述的方法,其中該阻隔化合物包括至少一種具有一通式R'=R”或R'≡R”的化合物。
  11. 如請求項10所述的方法,其中,該阻隔化合物僅包含一個不飽和鍵。
  12. 如請求項10所述的方法,其中R'和R”是獨立的C2-C6基團。
  13. 如請求項10所述的方法,其中R'和R”是相同的。
  14. 如請求項1所述的方法,其中該阻隔化合物包括5-癸炔。
  15. 如請求項1所述的方法,其中該第一膜透過將該基板表面順序地暴露於一金屬前驅物和一反應物來沉積。
  16. 如請求項15所述的方法,其中該金屬前驅物包括五(二甲氨基)鉭(pentakis(dimethylamino)tantalum),該反應物包括氨並且該第一膜包括氮化鉭。
  17. 如請求項1所述的方法,還包括以下步驟:在去除該阻隔層之前將該第一膜和該阻隔層暴露於一後處理。
  18. 如請求項1所述的方法,其中去除該阻隔層之步驟包括以下步驟:將該基板表面暴露於由一電漿氣體形成的一電漿。
  19. 如請求項18所述的方法,其中,該電漿氣體包括氫氣(H 2)和氬氣。
  20. 如請求項1所述的方法,還包括以下步驟:在去除該阻隔層之後在該第一膜和該第一材料上沉積一第二膜。
TW111106004A 2021-02-28 2022-02-18 選擇性沉積的表面處理 TW202248443A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163154807P 2021-02-28 2021-02-28
US63/154,807 2021-02-28

Publications (1)

Publication Number Publication Date
TW202248443A true TW202248443A (zh) 2022-12-16

Family

ID=83006971

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111106004A TW202248443A (zh) 2021-02-28 2022-02-18 選擇性沉積的表面處理

Country Status (5)

Country Link
US (1) US20220275501A1 (zh)
KR (1) KR20230150854A (zh)
CN (1) CN116917534A (zh)
TW (1) TW202248443A (zh)
WO (1) WO2022183106A1 (zh)

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US7700480B2 (en) * 2007-04-27 2010-04-20 Micron Technology, Inc. Methods of titanium deposition
US9490145B2 (en) * 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10497613B2 (en) * 2015-04-29 2019-12-03 Intel Corporation Microelectronic conductive routes and methods of making the same
US10290540B2 (en) * 2016-11-01 2019-05-14 Versum Materials Us, Llc Disubstituted alkyne dicobalt hexacarbonyl compounds, method of making and method of use thereof
WO2018118085A1 (en) * 2016-12-23 2018-06-28 Intel Corporation Bottom-up fill dielectric materials for semiconductor structure fabrication and their methods of fabrication
US10176984B2 (en) * 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
TWI722301B (zh) * 2017-07-18 2021-03-21 美商應用材料股份有限公司 在金屬材料表面上沉積阻擋層的方法
US10985014B2 (en) * 2017-07-23 2021-04-20 Applied Materials, Inc. Methods for selective deposition on silicon-based dielectrics
US10515896B2 (en) * 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
TWI757565B (zh) * 2017-12-22 2022-03-11 美商應用材料股份有限公司 在導電表面上沉積阻擋層的方法
KR20230036161A (ko) * 2018-06-22 2023-03-14 어플라이드 머티어리얼스, 인코포레이티드 금속 막들의 촉매화된 증착
US20200347493A1 (en) * 2019-05-05 2020-11-05 Applied Materials, Inc. Reverse Selective Deposition
US10930551B2 (en) * 2019-06-28 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for fabricating a low-resistance interconnect

Also Published As

Publication number Publication date
KR20230150854A (ko) 2023-10-31
CN116917534A (zh) 2023-10-20
WO2022183106A1 (en) 2022-09-01
US20220275501A1 (en) 2022-09-01

Similar Documents

Publication Publication Date Title
TWI791985B (zh) 非金屬表面上之選擇性沉積
TWI809712B (zh) 用於在基板上形成鈷層的方法
TWI645511B (zh) 用於銅阻障層應用之摻雜的氮化鉭
TWI786217B (zh) 增強選擇性沉積製程
TWI821661B (zh) 金屬阻障層之摻雜
CN115003854A (zh) 金属膜的沉积
TW202323565A (zh) 使用雙官能自組裝單層的金屬表面的選擇性阻擋
TW202248443A (zh) 選擇性沉積的表面處理
TW202140834A (zh) 碳基膜的氣相沉積
US20240258161A1 (en) Methods of forming interconnect structures
US20240258164A1 (en) Methods of forming interconnect structures
US20230072614A1 (en) Method Of Forming A Metal Liner For Interconnect Structures
US20230253248A1 (en) Methods of forming metal liner for interconnect structures
TW201825699A (zh) 金屬膜之沉積
US20230115211A1 (en) Self-assembled monolayer for selective deposition
US20240006235A1 (en) Composite barrier layers
WO2024158877A1 (en) Methods of forming interconnect structures
TW202326860A (zh) 用於選擇性沉積的自組裝單層
TW202315118A (zh) 經摻雜之含鉭阻障膜
TW202341352A (zh) 用於選擇性沉積之金屬表面阻隔分子