TW202203482A - 電阻式隨機存取記憶體(reram)單元用金屬-絕緣體-金屬(mim)堆疊的原位封裝 - Google Patents

電阻式隨機存取記憶體(reram)單元用金屬-絕緣體-金屬(mim)堆疊的原位封裝 Download PDF

Info

Publication number
TW202203482A
TW202203482A TW110112035A TW110112035A TW202203482A TW 202203482 A TW202203482 A TW 202203482A TW 110112035 A TW110112035 A TW 110112035A TW 110112035 A TW110112035 A TW 110112035A TW 202203482 A TW202203482 A TW 202203482A
Authority
TW
Taiwan
Prior art keywords
metal
random access
layer
access memory
resistive random
Prior art date
Application number
TW110112035A
Other languages
English (en)
Inventor
凱蒂 露特克李
安潔莉 萊利
蒂娜 特里優索
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202203482A publication Critical patent/TW202203482A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of switching materials, e.g. deposition of layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/063Shaping switching materials by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/068Shaping switching materials by processes specially adapted for achieving sub-lithographic dimensions, e.g. using spacers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8833Binary metal oxides, e.g. TaOx
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0007Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements comprising metal oxide memory material, e.g. perovskites
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/50Resistive cell structure aspects
    • G11C2213/51Structure including a barrier layer preventing or limiting migration, diffusion of ions or charges or formation of electrolytes near an electrode
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本文提供用於改善RERAM單元之金屬-絕緣體-金屬(MIM)堆疊中氧含量控制同時亦維持產量的方法。更特別地,本文提供用於蝕刻及封裝RERAM單元之MIM堆疊的單腔室解決方案,以控制RERAM單元之記憶體單元介電質中的氧含量。根據一實施例,不含氧的介電質封裝層係原位沉積於MIM堆疊上,同時基板保持在用來蝕刻MIM堆疊的處理腔室中。藉由在同一處理腔室中蝕刻MIM堆疊及沉積封裝層,本文所述技術使記憶體單元介電質對氧的曝露最小化,同時維持產量。

Description

電阻式隨機存取記憶體(RERAM)單元用金屬-絕緣體-金屬(MIM)堆疊的原位封裝
本揭露內容關於基板的處理。特別地,本揭露內容提供在基板上蝕刻覆層的方法。
[相關案之交互參考]本申請案主張2020年4月7日申請之名為「In-situ Encapsulation of Metal-Insulator-Metal (MIM) stacks for Resistive Random Access Memory (RERAM) Cells」的美國臨時專利申請案第63/006,437號的優先權,其揭露內容係明確地整體併入於此,以供參考。
電阻式隨機存取記憶體(RRAM或RERAM, resistive random access memory)為一種非揮發性隨機存取記憶體,包括由頂部電極、記憶體單元介電質及底部電極形成的金屬-絕緣體-金屬(MIM, Metal-Insulator-Metal)堆疊。 由於RERAM單元藉由改變記憶體單元介電質的電阻進行操作,故MIM堆疊內使用之介電材料的電阻特性顯著地影響RERAM單元的性能。
RERAM單元中使用的記憶體單元介電質通常採用高k值介電材料實施,例如鉿氧化物(HfO2 )。對於一些高k值介電材料,介電材料中的氧空位(oxygen vacancy)是提供記憶體單元介電質之期望電阻的重要因素。不幸地,已知諸多基板處理步驟會影響記憶體單元介電質中氧空位的控制。例如,在蝕刻MIM堆疊之後,記憶體單元介電質易受到記憶體單元介電質氧含量變化的影響,且因此,RERAM單元的電阻特性亦受影響。 因此,例如為了控制記憶體單元介電質的氧含量,應注意避免氧進入記憶體單元介電質。
一種控制記憶體單元介電質氧含量的技術是在MIM堆疊進行蝕刻之後,利用不含氧的介電材料包覆MIM堆疊。例如,MIM堆疊可被包覆於矽氮化物 (SiN) 介電質層或其他不含氧的介電材料中,以避免氧在後續處理步驟期間進入記憶體單元介電質。
圖1A-1E繪示用於形成RERAM單元的至少一部分的習知製程流程。 特別地, 圖1A-E繪示形成用於RERAM單元之金屬-絕緣體-金屬(MIM)堆疊的習知製程流程。 如圖1A所示,RERAM單元可藉由在一或更多下方層105上形成底部電極110層、記憶體單元介電質115層、及頂部電極120層來製造。記憶體單元介電質115層可用高k值介電材料(例如,HfO2 )實施。頂部電極120層及底部電極110層可用阻隔件金屬材料(例如,TiN)實施。如下文更詳細地描述,後續可蝕刻頂部電極120層、記憶體單元介電質115層、及底部電極110層,以形成用於一或更多RERAM單元的(複數)MIM堆疊100。
下方層105可包括常用於形成RERAM單元之任何數量及/或類型的覆層及/或基板。 例如,下方層105可包括形成在基板上的一或更多RERAM單元閘極,該基板包括用於(複數)RERAM單元的源極/汲極區域。下方層105亦可包括其他覆層,例如形成在基板上的一或更多介電質層,以及一或更多導電插件,該一或更多導電插件係將後續形成的(複數)MIM堆疊100及覆蓋金屬層(未顯示)連接至(複數)RERAM單元的源極/汲極區域。
在蝕刻(複數)MIM堆疊 100之前,如圖1C所示,有機平坦化層(OPL,organic planarization layer)125、抗反射塗層(ARC,anti-reflective coating)130及圖案化層140可利用已知的半導體處理步驟形成於頂部電極120層上。圖案化層140可包括已針對形成MIM堆疊而進行圖案化的光阻層及/或硬遮罩層。然而,將察知,廣泛種類技術的任何者可用來形成圖案,以用於蝕刻(複數)MIM堆疊100。一旦形成圖案化層140,圖1A中所示的基板可進行蝕刻,以形成用於一或更多RERAM單元的(複數)MIM堆疊100。
圖1B-1E繪示用於蝕刻圖1A中所示基板的一部分150的例示性製程流程步驟,以形成用於RERAM單元的MIM堆疊100。圖1A中所示的基板可設置於用來蝕刻MIM堆疊100的蝕刻腔室內。用於形成MIM堆疊100的蝕刻製程通常可實施為一或更多蝕刻製程步驟。
為防止氧在後續的基板處理步驟中進入記憶體單元介電質115,頂部電極120、記憶體單元介電質115、及底部電極110可用不含氧的介電材料層加以封裝,例如,矽氮化物(SiN)。在圖1D所示的製程步驟中,將包括已蝕刻MIM堆疊100的基板(圖1C)移至另一處理腔室(例如,沉積腔室),以在MIM堆疊100及周圍表面上沉積封裝層145。封裝層145通常可包括不含氧的介電材料(例如,SiN)。一旦沉積封裝層145,包括被封裝MIM堆疊100(圖1D)的基板可再次被移至蝕刻腔室,使得可執行另一蝕刻製程(例如,間隔件蝕刻),以從MIM堆疊100的頂部表面及周圍表面移除封裝層145,如圖1E所示。用於執行間隔件蝕刻的蝕刻製程通常可實施為一或更多蝕刻製程步驟。藉由使用間隔件蝕刻,在MIM堆疊100的側壁上留下封裝層,但從MIM堆疊100頂部及下方層105的頂部移除封裝層。
在如圖1A-1E所示的習知RERAM製程流程中,包括已蝕刻MIM堆疊100的基板係從蝕刻腔室移至沉積腔室,以利用封裝層145進行封裝,該封裝層145包括不含氧的介電材料。一旦沉積封裝層145,包括被封裝MIM堆疊100的基板係移回至同一或不同的蝕刻腔室,以進行額外的處理。由於需要移進/移出複數的製程腔室,如此的製程流程降低產量,且可能將記憶體單元介電質曝露於氧。
期望提供一種改善的製程,以形成用於RERAM單元的MIM堆疊,其使得記憶體單元介電質對氧的曝露最小化,同時亦維持產量。
本文提供用於改善RERAM單元之金屬-絕緣體-金屬(MIM)堆疊中氧含量控制同時亦維持產量的方法。更特別地,本文提供用於蝕刻及封裝RERAM單元之MIM堆疊的單腔室解決方案,以控制RERAM單元之記憶體單元介電質中的氧含量。根據一實施例,不含氧的介電質封裝層係原位沉積於MIM堆疊上,同時基板保持在用來蝕刻MIM堆疊的處理腔室中。藉由在同一處理腔室中蝕刻MIM堆疊及沉積封裝層,本文中所述技術使記憶體單元介電質對氧的曝露最小化,同時維持產量。
在第一方法中,提供形成電阻式隨機存取記憶體 (RERAM)的方法。第一方法可包括:形成第一電極層、形成記憶體單元介電質層、及形成第二電極層,其中記憶體單元介電質層係設置於第一電極層及第二電極層之間。方法更包括蝕刻第一電極層、記憶體單元介電質層、及第二電極層,以形成用於一或更多RERAM單元的一或更多金屬-絕緣體-金屬(MIM)堆疊,其中蝕刻係在至少一電漿蝕刻腔室內執行,以及在一或更多MIM堆疊及周圍表面上沉積封裝層,其中沉積係在該至少一電漿蝕刻腔室內執行。
在第一方法的一實施例中,記憶體單元介電質層包括選自以下者組成之群組的金屬氧化物:鉿氧化物(HfO)、鉿鋯氧化物(HfZrO)、鉭氧化物(TaOx)、鋁氧化物(AlOx)、鋯氧化物(ZrOx)、或鈦氧化物(TiOx)、及其混合物。在另一實施例中,封裝層包括矽(Si)、矽氮化物(SiN)、氧氮化物(ON)、或矽氧氮化物(SiON)。  在另一實施例中,封裝層包括有機材料。
在第一方法的又另一實施例中,沉積包括提供一或更多矽前驅物到至少一電漿蝕刻腔室,以在一或更多MIM堆疊及周圍表面上沉積該封裝層。在一實施例中,一或更多矽前驅物包括含矽氣體。在又一特別實施例中,一或更多矽前驅物係選自以下者組成的群組:四氯化矽(SiCl4 )及四氟化矽(SiF4 )。
在第一方法的另一實施例中,方法更包括執行間隔件蝕刻製程,以從一或更多MIM堆疊的水平表面及周圍表面移除封裝層。在一實施例中,間隔件蝕刻亦移除蝕刻第一電極層、記憶體單元介電質層、及第二電極層之後剩下的任何蝕刻副產物。 在另一實施例中,執行間隔件蝕刻製程包括在至少一電漿蝕刻腔室中利用無氧電漿蝕刻化學物來從一或更多MIM堆疊的水平表面及周圍表面移除封裝層。在又另一實施例中,當從一或更多MIM堆疊的水平表面及周圍表面移除封裝層時,藉由將封裝層留在一或更多MIM堆疊的側壁上,沉積該封裝層防止氧進入記憶體單元介電質層。
在第二方法中,提供電阻式隨機存取記憶體(RERAM)的形成方法。第二方法可包括形成第一電極層;形成記憶體單元介電質層;以及形成第二電極層,其中記憶體單元介電質層係設置於第一電極層及第二電極層之間。方法還包括蝕刻第一電極層、記憶體單元介電質層、及第二電極層,以形成用於一或更多RERAM單元的一或更多金屬-絕緣體-金屬(MIM)堆疊,其中蝕刻係在至少一電漿蝕刻腔室內執行。第二方法亦包括在一或更多MIM堆疊及周圍表面上沉積封裝層,其中該沉積係在至少一電漿蝕刻腔室內執行。進一步講,第二方法包括從一或更多MIM堆疊的頂部表面及周圍表面移除封裝層,其中該移除係在至少一電漿蝕刻腔室內執行。第一方法的上述諸多實施例亦可用作第二方法的實施例。
本文提供用於改善RERAM單元之金屬-絕緣體-金屬(MIM)堆疊中氧含量控制同時亦維持產量的方法。更特別地,本文提供用於蝕刻及封裝RERAM單元之MIM堆疊的單腔室解決方案,以控制RERAM單元之記憶體單元介電質中的氧含量。根據一實施例,不含氧的介電質封裝層係原位沉積於MIM堆疊上,同時基板保持在用來蝕刻MIM堆疊的處理腔室中。藉由在同一處理腔室中蝕刻MIM堆疊及沉積封裝層,本文中所述技術使記憶體單元介電質對氧的曝露最小化,同時維持產量。
本文所述之用來保護RERAM單元之氧含量完整性的技術可利用於廣泛範圍的RERAM單元設計、RERAM記憶體單元介電質、RERAM封裝介電質、及RERAM製程流程。將察知,本文所示的RERAM單元設計、RERAM記憶體單元介電質、RERAM封裝介電質、及RERAM製程流程僅為例示性,且本領域技術人員將察知,許多其他替代方案仍可獲得本文所述技術的優點。用來形成RERAM單元之MIM堆疊的改善的製程流程的一範例係顯示於圖2中。然而,亦可利用其他的製程流程。
在執行本文所述的技術之前,可利用廣泛種類之基板處理技術的任何者在基板上設置用來形成RERAM單元的一或更多覆層,以達成所示的基板。本文揭露技術所用的基板可為需要進行材料蝕刻的任何基板。例如,在一實施例中,基板可為其上形成有一或更多半導體處理層的半導體基板(這些半導體處理層的全部可包括基板)。在一實施例中,基板可為已經過複數半導體處理步驟的基板,該等半導體處理步驟產生廣泛種類的基板及覆層,這些基板及覆層的全部者在基板處理領域係已知的。在一實施例中,基板可為半導體晶圓,包括所形成的各種結構及覆層。
圖2A-2C繪示根據本文揭露技術之形成RERAM單元之金屬-絕緣體-金屬(MIM)堆疊的改善的製程流程的一範例。類似於圖1A-1E中所示的習知製程流程,圖2A-2C繪示例示性製程步驟,其可在其上形成有一或更多覆層的基板的一部分250上執行,以形成用於RERAM單元的MIM堆疊200。 雖然圖2A-2C中顯示如此之覆層的一範例,但本領域技術人員將察知,本文所揭露技術可如何在基板上執行,在該基板上,於MIM堆疊層的上方及/或下方形成額外的及/或替代的覆層。
如圖2A所示,在一或更多下方層205上形成底部電極210層、記憶體單元介電質215層、及頂部電極220層。如上所述,下方層205可包括任何數量及/或類型之常用來形成RERAM單元的覆層及/或結構。下方層205通常可包括其上形成有一或更多半導體處理層的基板。用於一或更多RERAM單元的源極/汲極區域可形成在基板內,且一或更多RERAM單元閘極可形成在基板上、介於分別之源極/汲極區域之間。下方層205亦可包括其他覆層,例如,形成在基板上的一或更多介電質層、及將隨後形成之(複數)MIM堆疊200及覆蓋金屬層(未示出)連接至(複數)RERAM單元之源極/汲極區域的一或更多導電插件。
記憶體單元介電質215層係利用高k值介電材料實施。適用於記憶體單元介電質215層的高k值介電質的範例包括但不限於金屬氧化物,例如鉿氧化物(HfO2 )、任何經摻雜的HfO2 材料、鉿鋯氧化物(HfZrO)、鉭氧化物(TaOx)、鋁氧化物(AlOx)、鋯氧化物(ZrOx)、鈦氧化物(TiOx)、及其混合物。在一例示性實施例中,頂部電極220層及底部電極210層可利用阻隔件金屬材料(例如,TiN)實施。然而,將察知,TiN僅為例示性材料,且可利用其他的電極材料,例如鉭氮化物、釕等。在一例示性實施例中,頂部電極220層係由5~75nm的TiN組成,記憶體單元介電質215層係由3~20nm的HfO2 組成,且底部電極210層係由25~75nm的TiN組成。
儘管上文提供特定的範例,但本領域技術人員將察知,可如何用其他的材料及/或覆層厚度來形成頂部電極220層、記憶體單元介電質215層、及/或底部電極210層,同時實施本文所述的技術。如下文更詳細描述,頂部電極220層、記憶體單元介電質215層、及底部電極210層可隨後加以蝕刻,以形成用於一或更多RERAM單元的(複數)MIM堆疊200。
在蝕刻(複數)MIM堆疊200之前,可在頂部電極220層上形成一或更多覆蓋層。在圖2所示的例示性實施例中,有機平坦化層(OPL,organic planarization layer)225、抗反射塗層(ARC,anti-reflective coating)230、及圖案化層240係形成在頂部電極220層上,以使堆疊圖案化。OPL225可包括但不限於50~400nm。ARC層230可包括但不限於5~50nm。ARC層230亦可替換為頂部具有ARC層的介電質層,例如,頂部具有底部ACR層的低溫氧化物。圖案化層240可為經圖案化的光阻層、經圖案化的硬遮罩層、或另一經圖案化的覆層。利用已知的半導體處理步驟,OPL225、ARC層230、及圖案化層240可由常用於如此目的的諸多材料形成。一旦形成圖案化層240,圖2A中所示的覆層可加以蝕刻,以形成用於一或更多RERAM單元的(複數)MIM堆疊200。
用於形成(複數)MIM堆疊200的蝕刻製程可實施為一或更多電漿蝕刻製程步驟,其利用一或更多電漿蝕刻化學物。此外,可在一或更多電漿蝕刻工具或電漿蝕刻腔室中執行蝕刻製程。 在一例示性較佳實施例中,(複數)MIM堆疊200的蝕刻係在單一電漿蝕刻腔室中執行。 將察知,廣泛範圍的電漿蝕刻工具可用來蝕刻MIM堆疊200。例如,電漿蝕刻工具可為電感耦合電漿(ICP,inductively coupled plasma)蝕刻工具、電容耦合電漿(CCP,capacitively coupled plasma)蝕刻工具、微波電漿蝕刻工具、或其他蝕刻工具。下面參考圖4討論適當電漿蝕刻工具的額外範例。
在一範例中,用於蝕刻MIM堆疊200的蝕刻可為利用諸多蝕刻氣體之任何者的電漿蝕刻,蝕刻氣體包括但不限於三氯化硼(BCl3 )、氯(Cl2 )、氦(He)、氬(Ar)、氫(H2 )、二氧化硫(SO2 )、氧(O2 )、三氟化氮(NF3 )、六氟化硫(SF6 )、四氟化碳(CF4 )、氮(N2 )等。
一旦頂部電極220層、記憶體單元介電質215層、及底部電極210層經蝕刻形成(複數)MIM堆疊200,MIM堆疊利用封裝層245加以封裝。在一些實施例中,封裝層245可包括不含氧的介電材料,例如矽(Si)或矽氮化物(SiN)。其他例示性材料包括但不限於有機聚合物(例如,在電漿腔室中由例如CH4 、C4 F6 、C4 F8 、CH3 F等前驅物所製成的有機聚合物)。在其他實施例中,封裝層245可包括含氧介電材料,例如氧氮化物(ON)、矽氧氮化物(SiON)、及矽氧碳氮化物(SiOCN)。如圖2B所示,封裝層245係沉積在(複數)MIM堆疊200及下方層205的周圍表面上。在一些實施例中,可沉積介於2與50nm之間之範圍的相對薄的封裝層245,且甚至更佳地,介於4與10nm之間。
在圖2B所示的製程步驟中,封裝層245係原位沉積在MIM堆疊200及下方層205的周圍表面上,同時基板保持在電漿蝕刻腔室中。封裝層245提供用來保護MIM堆疊免於氧進入的覆層。如此之保護可提供免於氧氣進入的保護(氧氣進入可由於隨後的製程步驟而發生),亦免於由MIM堆疊曝露於大氣條件所導致的進入。
封裝層245可在單一步驟中沉積、複數步驟中沉積、或藉由循環製程沉積。在一些實施例中,可使用一或更多含矽前驅物(例如,四氯化矽(SiCl4 )、四氟化矽(SiF4 )等)來在電漿蝕刻腔室中沉積封裝層245於MIM堆疊200(及周圍表面)上。可使用其他的矽前驅物,例如矽烷、其他四鹵化矽等。在一例示性實施例中,可在電漿蝕刻腔室中使用四氯化矽(SiCl4 )前驅物來沉積矽氮化物(SiN)封裝層245。封裝層可由其他材料構成,例如但不限於,矽氧氮化物(SiON)或矽。多種沉積製程可用來形成覆層,且在一實施例中,可利用原子層沉積製程。原子層沉積製程可在電漿蝕刻腔室中執行。
針對在電漿處理腔室中沉積封裝層245,可調整廣泛範圍的參數,包括例如氣體流動(例如,SiCl4 、SiF4 、H2 、Ar、N2 、He 、Cl2 等)、高頻 (HF,high frequency)/低頻(LF,low frequency)功率設定、連續波/脈衝電漿、壓力設定、及卡盤溫度。在一例示性實施例中,用於沉積封裝層245的製程條件可包括但不限於:3-50標準立方厘米每分鐘(SCCM,standard cubic centimeters per minute)之SiCl4 、0-250SCCM之H2 、及200-500SCCM之Ar的氣體流動;介於2-300毫托(mT)之間的壓力;200-1000W的HF/LF功率設定;及0-100°C之間的卡盤溫度。本文使用的技術有利地利用蝕刻MIM堆疊之同一製程腔室來執行沉積。因此,用於產生封裝層的特定化學物可取決於蝕刻製程腔室內可用的特定氣體而改變。因此,將察知,所沉積的特定封裝層(以及用於如此之沉積的製程)可取決於用來蝕刻MIM堆疊之蝕刻腔室中可用的特定氣體。因此,本領域技術人員在受益於本揭露內容的情形下,可協調MIM堆疊蝕刻(及所使用的化學物)與特定的封裝沉積製程(以及其中所使用的化學物)。
在一些實施例中,可選的沉積後處理可在電漿蝕刻腔室中原位執行、或在另一處理腔室中異位執行,以調整封裝層245的沉積。例如,在沉積封裝層245之後,可在電漿蝕刻腔室中執行原位熱處理,以使封裝層245暴露於Ar、N2 、或He。
一旦沉積(及可選地處理)封裝層245,另一蝕刻製程(例如,間隔件蝕刻)可在電漿蝕刻腔室中執行,以從MIM堆疊200的頂部表面、及從下方層205的周圍表面移除封裝層245,如圖2C所示。用於執行間隔件蝕刻的蝕刻製程通常可實施為利用一或更多電漿蝕刻化學物的一或更多電漿蝕刻製程步驟。間隔件蝕刻可在用來蝕刻MIM堆疊及沉積封裝層的同一製程腔室中執行。或者,間隔件蝕刻可在不同的製程腔室中執行。間隔件蝕刻亦可移除OPL225蝕刻、ARC層230蝕刻、或MIM堆疊200蝕刻的任何剩下的蝕刻副產物。
在一些實施例中,用於蝕刻封裝層245的蝕刻配方可包括無氧電漿蝕刻化學物。在一些實施例中,無氧電漿蝕刻化學物可為氫氟碳化物或基於氟碳的電漿蝕刻化學物。在一實施例中,封裝介電質為SiN,且間隔件蝕刻中使用的電漿蝕刻化學物為C4 H9 F、H2 、及Ar。其他蝕刻化學物可包括Cl2 、HBr、C4 F8 、CF4 、C4 F6 、C4 F8 等。藉由利用無氧蝕刻化學物對封裝層245進行蝕刻,使得間隔件蝕刻對記憶體單元介電質215中氧空位的影響最小化。
在一些實施例中,RERAM單元的形成可藉由以下步驟來完成:在MIM堆疊200上方及周圍形成一或更多介電質層、在(複數)介電質層內形成凹槽(或穿孔)、及利用導體填充凹槽以形成(與RERAM單元之MIM堆疊200及源極/汲極區域的)接觸部。亦可執行本領域已知的其他處理步驟。
圖3繪示根據本文揭露技術之可用於形成RERAM單元之MIM堆疊的方法300的一實施例。在一些實施例中,方法300可形成第一電極層(步驟310),形成記憶體單元介電質層(步驟320),以及形成第二電極層(步驟330),其中記憶體單元介電質層係設置於第一電極層與第二電極層之間。步驟320中形成的記憶體單元介電質層通常可包括選自以下者組成之群組的金屬氧化物:鉿氧化物(HfO)、鉿鋯氧化物(HfZrO)、鉭氧化物(TaOx)、鋁氧化物(AlOx)、鋯氧化物(ZrOx)、或鈦氧化物(TiOx)、及其混合物。
在步驟340中,方法300蝕刻第一電極層、記憶體單元介電質層及第二電極層,以形成用於一或更多RERAM單元的一或更多金屬-絕緣體-金屬(MIM)堆疊。如上所述,在步驟340中執行的蝕刻可在使用一或更多電漿蝕刻化學物的至少一電漿蝕刻腔室內執行。
在步驟350中,方法300在一或更多MIM堆疊及周圍表面上沉積封裝層。在一些實施例中,在步驟350執行的沉積可在執行蝕刻步驟340的同一電漿蝕刻腔室(即,至少一電漿蝕刻腔室)內原位執行。在一些實施例中,可提供一或更多矽前驅物(例如,四氯化矽(SiCl4 )、或四氟化矽(SiF4 ))到至少一電漿蝕刻腔室,以在步驟350中於一或更多MIM堆疊及周圍表面上沉積封裝層。在一些實施例中,步驟350中沉積的封裝層可包括不含氧的介電材料,例如矽(S)、或矽氮化物(SiN)。在其他實施例中,封裝層可包括含氧介電材料,例如氧氮化物(ON)、或矽氧氮化物(SiON)。
在步驟360中,方法300從一或更多MIM堆疊的頂部表面及周圍表面移除封裝層。在一些實施例中,步驟360中執行的移除可與蝕刻步驟340及沉積步驟350在同一電漿蝕刻腔室(即,至少一電漿蝕刻腔室)內原位執行。在一些實施例中,不含氧的電漿蝕刻化學物可用於至少一電漿蝕刻腔室中,以從一或更多MIM堆疊的頂部表面及周圍表面移除封裝層。在一些實施例中,無氧電漿蝕刻化學物可包括氫氟碳化物或基於氟碳的電漿蝕刻化學物。
本文所述技術改善RERAM單元中的氧含量控制,同時亦維持產量。更特別地,本文所述技術防止氧在後續基板處理期間進入記憶體單元介電質215。與圖1A-1E中所示的習知製程流程不同,在圖2A-2C所示的製程流程及圖3所示的方法步驟中,原位地沉積封裝層245,同時基板保持在用來蝕刻MIM堆疊200的電漿蝕刻腔室中,藉此改善產量。
應注意,本文所述技術可用於包括電漿處理系統的廣泛範圍的處理設備。例如,該技術可用於電漿蝕刻處理系統、電漿沉積處理系統、其他電漿處理系統、及/或其他類型的處理系統。
圖4提供電漿處理系統400的一例示性實施例,其可用於所揭露技術,且僅係針對說明目的而提供。電漿處理系統400可為電容耦合電漿處理設備、電感耦合電漿處理設備、微波電漿處理設備、徑向線縫隙天線(RLSATM,Radial Line Slot Antenna)微波電漿處理設備、電子迴旋共振(ECR,electron cyclotron resonance)電漿處理設備,或其他類型的處理系統或系統組合。因此,本領域技術人員將察知,本文所述技術可用於廣泛種類的電漿處理系統。
電漿處理系統400可用於多種操作,包括但不限於蝕刻、沉積、清潔、電漿聚合、電漿增強化學氣相沉積(PECVD,plasma-enhanced chemical vapor deposition)、原子層沉積(ALD,atomic layer deposition)、原子層蝕刻 (ALE,atomic layer etch) 等。電漿處理系統400的基板係熟知的,且本文所提供的特定基板僅係說明性目的。將察知,可實施不同的及/或附加的電漿處理系統,同時仍利用本文所述技術。
更詳細地看圖4,電漿處理系統400可包括製程腔室405。如本領域已知,製程腔室405可為壓力受控制的腔室。基板410(在一範例中為半導體晶圓)可被固持在台或卡盤415上。如圖所示,可提供上電極420及下電極425。透過上匹配網路455,上電極420可電性耦合至上部射頻(RF,radio frequency)源430。上部RF源430可提供處於上部頻率(fU ,upper frequency)的上部頻率電壓435。透過下匹配網路457,下電極425可電性耦合至下部RF源440。下部RF源440可提供處於下部頻率(fL ,lower frequency)的下部頻率電壓445。儘管未顯示,本領域技術人員將知道,亦可施加電壓到卡盤415。
電漿處理系統400的元件可連接到且受控於控制單元470,控制單元470又可連接到對應的記憶體儲存單元及使用者界面(均未顯示)。經由使用者界面,可進行諸多電漿處理操作,且諸多電漿處理配方及操作可儲存在儲存單元中。因此,給定的基板可在電漿處理腔室內利用諸多微製造技術加以處理。將察知,控制單元470可耦合到電漿處理系統400的諸多元件,以接受來自元件的輸入及提供輸出給元件。
控制單元470可以廣泛種類的方式加以實施。例如,控制單元470可為電腦。在另一範例中,控制單元可包括一或更多可程序化積體電路,其係程序化成提供本文所述的功能。例如,一或更多處理器(例如,微處理器、微控制器、中央處理單元等)、可程序化邏輯裝置(例如,復雜可程序化邏輯裝置(CPLD,complex programmable logic device))、場域可程序化閘極陣列(FPGA,field programmable gate array)等)、及/或其他可程序化積體電路,該可程序化積體電路可利用軟體或其他程序化指令加以程序化,以實施所禁止電漿製程配方的功能。更應注意,軟體或其他程序化指令可儲存在一或更多非臨時性電腦可讀媒體中(例如,記憶體儲存裝置、FLASH記憶體、動態隨機存取(DRAM,dynamic random access)記憶體、可重程序化儲存裝置、硬驅動器、軟性磁碟、DVD、CD-ROM 等),且當藉由可程序化積體電路執行軟體或其他程序化指令時,軟體或其他程序化指令使可程序化積體電路執行本文所述的製程、功能、及/或能力。亦可實施其他變化。
在操作中,當從上部射頻(RF)源430及下部RF源440施加電力至系統時,電漿處理設備利用上電極及下電極在製程腔室405中產生電漿460。進一步講,如本領域已知,電漿460中產生的離子可被吸引至基板410。產生的電漿可在諸多類型的處理(例如但不限於電漿蝕刻及沉積)中用於處理目標基板(例如,基板410、或任何待處理的材料)。
施加電力導致在上電極420及下電極425之間產生高頻電場。輸送到製程腔室405的處理氣體然後可被分解並轉化為電漿。如圖4所示,所述的例示性系統利用上部及下部RF源。例如,就例示性電容耦合電漿系統而言,可施加來自上部RF源430之約3MHz至150MHz或以上範圍的高頻電力,且可施加來自下部RF源之約0.2MHz至40MHz範圍內的低頻電力。亦可使用不同的操作範圍。進一步講,將察知,本文所述技術可用於諸多其他電漿系統中。在一例示性系統中,可切換源(例如,在下電極處的較高頻率,且在上電極處的較低頻率)。進一步講,雙源系統僅作為例示性系統示出,且將察知,本文所述技術可用於其他系統,其中僅針對一電極設置頻率電源,使用直流 (DC,direct current) 偏壓源,或使用其他系統元件。
應注意,一或更多沉積製程可用於形成本文所述的一或更多材料層。例如,利用化學氣相沉積(CVD)、電漿增強CVD(PECVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、及/或其他沉積製程。在一例示性電漿沉積製程中,在諸多壓力、功率、流動、及溫度條件下,可使用前驅物氣體混合物,包括但不限於含矽氣體、氫碳化合物、氟碳化合物、或含氮的氫碳化合物與一或更多稀釋氣體(例如,氬、氮等)的組合。此外,可利用電漿蝕刻製程、放電蝕刻製程、及/或其他期望蝕刻製程來實施一或更多的蝕刻製程。例如,可利用電漿來實施電漿蝕刻製程,電漿包括氟碳化合物、氧、氮、氫、氬、及/或其他氣體。
此外,製程步驟的操作變量可加以調整,以控制本文所述的諸多沉積及/或蝕刻製程。操作變量可包括例如腔室溫度、腔室壓力、氣體流速、氣體類型、頻率、及/或在產生電漿時施加到電極組件的電力、及/或用於處理步驟的其他操作變量。亦可加以變化,同時仍利用本文所述技術的優點。
應注意,本說明書上下文中所稱「一實施例 」或「一個實施例」意指結合該實施例所述的特定特徵、基板、材料、或特性係包括在本發明的至少一實施例中,但不表示其存在於每一實施例中。因此,本說明書上下文各處出現的片語「在一實施例中」或「在一個實施例中」未必是指本發明的同一實施例。再者,在一或更多實施例中,特定特徵、基板、材料、或特性可以任何合適的方式組加以組合。在其他實施例中,可包括諸多的額外覆層及/或基板,及/或可省略所述的特徵。
如本文所用,用語「基板」意指且包括基礎基板或其上形成有材料的結構。將察知,基板可包括單一材料、不同材料的複數層、其中具有不同材料區或不同基板的一或更多覆層等。這些材料可包括半導體、絕緣體、導體、或其組合。例如,基板可為半導體基板、支撐基板上的基礎半導體層、金屬電極、或其上形成有一或更覆層、基板、或區域的半導體基板。基板可為習知的矽基板、或包括半導體材料層的其他主體基板。如本文所用,用語「主體基板」不僅意指且包括矽晶圓,還包括矽-絕緣體(SOI,silicon-on-insulator)基板(例如,矽-藍寶石(SOS,silicon-on-sapphire)基板及矽-玻璃(SOG,silicon-on-glass) 基板)、基礎半導體基底上的矽磊晶層、及其他半導體或光電材料,例如矽鍺、鍺、砷化鎵、氮化鎵、及磷化銦。基板可為摻雜的或未摻雜的。
在諸多實施例中描述用於處理基板的系統及方法。基板可包括裝置的任何材料部分或基板,特別是半導體或其他電子裝置,且可例如為基礎基板,如半導體基板或基礎基板上或覆蓋在基礎基板上的覆層,如薄膜。因此,基板不旨在受限制於任何特定的基礎基板、下方層或覆蓋層、圖案化或未覆蓋層,而是被認為包括任何如此的覆層、或基礎基板、及覆層及/或基礎基板的任何組合。
相關領域的技術人員將察知,諸多實施例可在沒有一或更多具體細節的情況下、或利用其他替換及/或額外的方法、材料、或元件加以實施。在其他情況中,已熟知的基板、材料、或操作未顯示或描述,以免模糊本發明諸多實施例的態樣。類似地,針對解釋的目的,提出特定的數字、材料、及配置,以提供對本發明的透徹理解。然而,本發明可在沒有具體細節的情況下實施。再者,應理解,圖中所示的諸多實施例係說明性的表示,且未必按比例繪製。
鑑於本描述,所述系統及方法的進一步修改及替代性實施例對本領域技術人員將顯而易見。因此,將察知,所述系統及方法不受限制於這些例示性設置。應理解,本文所示及所述系統及方法的形式係作為例示性實施例。可在實施例作出諸多改變。因此,雖然本文中參考特定實施例描述發明,但可在不脫離本發明範圍的情況下作出諸多改變及及變化。因此,說明書及圖式應被認為是說明性而非限制性意義,且如此修改旨在包括於本發明的範圍內。進一步講,本文中關於特定實施例描述的任何益處、優點、或問題的解決方案不應被解讀為專利申請範圍之任何或全部者之關鍵、必需、或基本的特徵或元件。
100:MIM堆疊 105:下方層 110:底部電極 115:介電質 120:頂部電極 125:平坦化層 130:抗反射塗層 140:圖案化層 145:封裝層 150:部分 200:MIM堆疊 205:下方層 210:底部電極 215:介電質 220:頂部電極 225:平坦化層 240:圖案化層 245:封裝層 250:部分 300:方法 310:步驟 320:步驟 330:步驟 340:步驟 350:步驟 360:步驟 400:電漿處理系統 405:製程腔室 410:基板 415:卡盤 420:電極 425:電極 430:RF源 435:電壓 440:RF源 445:電壓 455:匹配網路 457:匹配網路 460:電漿 470:控制單元
藉由結合附圖,參考以下描述內容,可獲得對本發明及其優點的較完整的理解,其中相似的參考數字表示相似的特徵。 然而,應注意,附圖僅繪示所揭露概念的例示性實施例,且因此不應被認為是對範圍的限制,因為所揭露的概念可允許其他的等效實施例。
圖1A-1E(先前技術)繪示用來形成RERAM單元之MIM堆疊的習知製程流程。
圖2A-2C繪示根據所揭露技術之形成RERAM單元之MIM堆疊的改善的製程流程。
圖3係流程圖,其繪示根據所揭露技術之形成RERAM單元之MIM堆疊的方法的一實施例。
圖4係方塊圖,其繪示電漿處理系統400的一實施例,圖2A-2C中所示的製程流程及圖3中所示的方法可在該電漿處理系統400中執行。
300:方法
310:步驟
320:步驟
330:步驟
340:步驟
350:步驟
360:步驟

Claims (20)

  1. 一種電阻式隨機存取記憶體形成方法,包括: 形成一第一電極層; 形成一記憶體單元介電質層; 形成一第二電極層,其中該記憶體單元介電質層係設置於該第一電極層及該第二電極層之間; 蝕刻該第一電極層、該記憶體單元介電質層、及該第二電極層,以形成用於一或更多電阻式隨機存取記憶體單元的一或更多金屬-絕緣體-金屬堆疊,其中該蝕刻係在至少一電漿蝕刻腔室內執行;以及 在該一或更多金屬-絕緣體-金屬堆疊及周圍表面上沉積一封裝層,其中該沉積係在該至少一電漿蝕刻腔室內執行。
  2. 如請求項1之電阻式隨機存取記憶體形成方法,其中該記憶體單元介電質層包括選自以下者組成之群組的一金屬氧化物:鉿氧化物(HfO2 )、鉿鋯氧化物(HfZrO)、鉭氧化物(TaOx)、鋁氧化物(AlOx)、鋯氧化物(ZrOx)、鈦氧化物(TiOx)、及其混合物。
  3. 如請求項1之電阻式隨機存取記憶體形成方法,其中該封裝層包括矽(Si)、矽氮化物(SiN)、氧氮化物(ON)、或矽氧氮化物(SiON)。
  4. 如請求項1之電阻式隨機存取記憶體形成方法,其中該封裝層包括一有機材料。
  5. 如請求項1之電阻式隨機存取記憶體形成方法,其中該沉積包括提供一或更多矽前驅物至該至少一電漿蝕刻腔室,以在該一或更多金屬-絕緣體-金屬堆疊及該周圍表面上沉積該封裝層。
  6. 如請求項5之電阻式隨機存取記憶體形成方法,其中該一或更多矽前驅物包括含矽氣體。
  7. 如請求項5之電阻式隨機存取記憶體形成方法,其中該一或更多矽前驅物係選自以下者組成的群組:四氯化矽(SiCl4 )及四氟化矽(SiF4 )。
  8. 如請求項1之電阻式隨機存取記憶體形成方法,更包括執行一間隔件蝕刻製程,以從該一或更多金屬-絕緣體-金屬堆疊的一水平表面及該周圍表面移除該封裝層。
  9. 如請求項8之電阻式隨機存取記憶體形成方法,其中該間隔件蝕刻製程亦移除蝕刻該第一電極層、該記憶體單元介電質層、及該第二電極層之後剩下的任何蝕刻副產物。
  10. 如請求項8之電阻式隨機存取記憶體形成方法,其中執行該間隔件蝕刻製程包括在該至少一電漿蝕刻腔室中利用一無氧電漿蝕刻化學物來從該一或更多金屬-絕緣體-金屬堆疊的該水平表面及該周圍表面移除該封裝層。
  11. 如請求項8之電阻式隨機存取記憶體形成方法,其中當從該一或更多金屬-絕緣體-金屬堆疊的該水平表面及該周圍表面移除該封裝層時,藉由將該封裝層留在該一或更多金屬-絕緣體-金屬堆疊的側壁上,沉積該封裝層防止氧進入該記憶體單元介電質層。
  12. 一種電阻式隨機存取記憶體形成方法,包括: 形成一第一電極層; 形成一記憶體單元介電質層; 形成一第二電極層,其中該記憶體單元介電質層係設置於該第一電極層及該第二電極層之間; 蝕刻該第一電極層、該記憶體單元介電質層、及該第二電極層,以形成用於一或更多電阻式隨機存取記憶體單元的一或更多金屬-絕緣體-金屬堆疊,其中該蝕刻係在至少一電漿蝕刻腔室內執行; 在該一或更多金屬-絕緣體-金屬堆疊及周圍表面上沉積一封裝層,其中該沉積係在該至少一電漿蝕刻腔室內執行;以及 從該一或更多金屬-絕緣體-金屬堆疊的一頂部表面及該周圍表面移除該封裝層,其中該移除係在該至少一電漿蝕刻腔室內執行。
  13. 如請求項12之電阻式隨機存取記憶體形成方法,其中該記憶體單元介電質層包括選自以下者組成之群組的一金屬氧化物:鉿氧化物(HfO)、鉿鋯氧化物(HfZrO)、鉭氧化物(TaOx)、鋁氧化物(AlOx)、鋯氧化物(ZrOx)、鈦氧化物(TiOx)、及其混合物。
  14. 如請求項12之電阻式隨機存取記憶體形成方法,其中該封裝層包括矽(Si)或矽氮化物(SiN)。
  15. 如請求項12之電阻式隨機存取記憶體形成方法,其中該封裝層包括氧氮化物(ON)或矽氧氮化物(SiON)。
  16. 如請求項12之電阻式隨機存取記憶體形成方法,其中該沉積包括提供一或更多矽前驅物至該至少一電漿蝕刻腔室,以在該一或更多金屬-絕緣體-金屬堆疊及該周圍表面上沉積該封裝層。
  17. 如請求項16之電阻式隨機存取記憶體形成方法,其中該一或更多矽前驅物包括含矽氣體。
  18. 如請求項16之電阻式隨機存取記憶體形成方法,其中該一或更多矽前驅物係選自以下者組成的群組:四氯化矽(SiCl4 )及四氟化矽(SiF4 )。
  19. 如請求項16之電阻式隨機存取記憶體形成方法,其中該一或更多矽前驅物包括四氯化矽(SiCl4 )。
  20. 如請求項12之電阻式隨機存取記憶體形成方法,其中該移除包括在該至少一電漿蝕刻腔室內利用一無氧電漿蝕刻化學物來從該一或更多金屬-絕緣體-金屬堆疊的該頂部表面及該周圍表面移除該封裝層。
TW110112035A 2020-04-07 2021-04-01 電阻式隨機存取記憶體(reram)單元用金屬-絕緣體-金屬(mim)堆疊的原位封裝 TW202203482A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063006437P 2020-04-07 2020-04-07
US63/006,437 2020-04-07

Publications (1)

Publication Number Publication Date
TW202203482A true TW202203482A (zh) 2022-01-16

Family

ID=77922234

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110112035A TW202203482A (zh) 2020-04-07 2021-04-01 電阻式隨機存取記憶體(reram)單元用金屬-絕緣體-金屬(mim)堆疊的原位封裝

Country Status (4)

Country Link
US (1) US11882776B2 (zh)
JP (1) JP2023522165A (zh)
TW (1) TW202203482A (zh)
WO (1) WO2021206908A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11882776B2 (en) * 2020-04-07 2024-01-23 Tokyo Electron Limited In-situ encapsulation of metal-insulator-metal (MIM) stacks for resistive random access memory (RERAM) cells

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8860001B2 (en) * 2012-04-09 2014-10-14 Freescale Semiconductor, Inc. ReRAM device structure
US20180330945A1 (en) 2012-06-12 2018-11-15 Lam Research Corporation Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US9023699B2 (en) * 2012-12-20 2015-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Resistive random access memory (RRAM) structure and method of making the RRAM structure
US8956939B2 (en) * 2013-04-29 2015-02-17 Asm Ip Holding B.V. Method of making a resistive random access memory device
US9847473B2 (en) 2015-04-16 2017-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. MRAM structure for process damage minimization
US9431603B1 (en) 2015-05-15 2016-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. RRAM device
US9847481B2 (en) 2015-10-27 2017-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Metal landing on top electrode of RRAM
US9812505B2 (en) 2015-11-16 2017-11-07 Sandisk Technologies Llc Non-volatile memory device containing oxygen-scavenging material portions and method of making thereof
CN107665946A (zh) * 2016-07-29 2018-02-06 联华电子股份有限公司 半导体元件及其制造方法
US11489112B2 (en) 2017-09-28 2022-11-01 Intel Corporation Resistive random access memory device and methods of fabrication
US11882776B2 (en) * 2020-04-07 2024-01-23 Tokyo Electron Limited In-situ encapsulation of metal-insulator-metal (MIM) stacks for resistive random access memory (RERAM) cells

Also Published As

Publication number Publication date
WO2021206908A1 (en) 2021-10-14
US11882776B2 (en) 2024-01-23
US20210313513A1 (en) 2021-10-07
JP2023522165A (ja) 2023-05-29

Similar Documents

Publication Publication Date Title
US10304725B2 (en) Manufacturing methods to protect ULK materials from damage during etch processing to obtain desired features
KR102653066B1 (ko) 반도체 제조시 금속 도핑된 탄소계 하드마스크 제거
US20080057724A1 (en) Selective etch chemistries for forming high aspect ratio features and associated structures
TWI781260B (zh) 針對多色圖案化之自間隔物的心軸拉除用製造方法
US11651967B2 (en) Non-atomic layer deposition (ALD) method of forming sidewall passivation layer during high aspect ratio carbon layer etch
US10950444B2 (en) Metal hard mask layers for processing of microelectronic workpieces
US11882776B2 (en) In-situ encapsulation of metal-insulator-metal (MIM) stacks for resistive random access memory (RERAM) cells
US11637242B2 (en) Methods for resistive RAM (ReRAM) performance stabilization via dry etch clean treatment
US11651965B2 (en) Method and system for capping of cores for self-aligned multiple patterning
US11342195B1 (en) Methods for anisotropic etch of silicon-based materials with selectivity to organic materials
JP2023530554A (ja) 等方性窒化ケイ素の除去
US20230343598A1 (en) Method For Improving Etch Rate And Critical Dimension Uniformity When Etching High Aspect Ratio Features Within A Hard Mask Layer
TW202121527A (zh) 以多色選擇性非等向性蝕刻相鄰線的方法
US11721578B2 (en) Split ash processes for via formation to suppress damage to low-K layers
US20240162043A1 (en) Sidewall Inorganic Passivation for Dielectric Etching Via Surface Modification
US11495436B2 (en) Systems and methods to control critical dimension (CD) shrink ratio through radio frequency (RF) pulsing
US20240112919A1 (en) Low-Temperature Etch
US20230049714A1 (en) Etching methods for integrated circuits
CN116569311A (zh) 使用掺杂硼的硅材料的整合工艺
KR20230004014A (ko) 반도체 소자 제조 방법