TW202135187A - 凸塊底層金屬蝕刻製程期間使底切最小化之裝置及方法 - Google Patents

凸塊底層金屬蝕刻製程期間使底切最小化之裝置及方法 Download PDF

Info

Publication number
TW202135187A
TW202135187A TW109138896A TW109138896A TW202135187A TW 202135187 A TW202135187 A TW 202135187A TW 109138896 A TW109138896 A TW 109138896A TW 109138896 A TW109138896 A TW 109138896A TW 202135187 A TW202135187 A TW 202135187A
Authority
TW
Taiwan
Prior art keywords
substrate
etching
wafer
processor
light
Prior art date
Application number
TW109138896A
Other languages
English (en)
Inventor
約翰 泰迪
大衛A 葛德柏格
伊蓮娜 羅倫斯
伊恩 柯克倫
克里斯多弗 奧蘭多
詹姆士 史瓦洛
威廉 吉爾伯特 比恩甘
Original Assignee
美商維克儀器公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/685,640 external-priority patent/US11069583B2/en
Application filed by 美商維克儀器公司 filed Critical 美商維克儀器公司
Publication of TW202135187A publication Critical patent/TW202135187A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Weting (AREA)

Abstract

在一基材之一濕式蝕刻製程中,要判定複數個終點。在一第一基材之一濕式蝕刻製程期間,使用由一HSV色彩模型表示的該第一基材之樣本位置的第一光資訊,來判定複數個基準終點。產生用於一第二基材之一濕式蝕刻製程的蝕刻參數。使用該等所產生蝕刻參數及由與該第二基材之複數個樣本位置相關聯之色度、飽和度、亮度色彩模型的至少一值所表示的第二光資訊,以在一第二基材之該濕式蝕刻製程期間達到各別終點。

Description

凸塊底層金屬蝕刻製程期間使底切最小化之裝置及方法
相關申請案的交叉參考
本申請案為2019年6月20日申請之第16/447,723號之美國專利申請案之部分繼續申請案,該專利申請案主張於2018年6月20日申請之第62/687,630號的美國專利申請案的優先權及權益,在此明確地將該等申請案之每一者整體併入本文中。
本申請案於總體上係關於半導體蝕刻製程。更特定地,本申請案係關於藉由嚴格控制蝕刻輪廓、蝕刻完成之辨識及過蝕刻時間之最小化而在蝕刻製程期間使底切最小化,以增大生產率。
通常,半導體裝置之先進封裝方法依賴於連接電氣介面。焊料凸塊、金屬柱及焊墊的使用已被用作實現連接之手段。圖1中展示形成凸塊及金屬柱之示例性製程。此製程係以沈積鈍化層、沈積障壁膜(通常由鈦(Ti)或鈦-鎢(Ti-W)構成)開始,且接著沈積銅(Cu)晶種層,但應注意,許多金屬可 用於此目的。障壁膜中的組合金屬通常在數千埃範圍內,其中晶種層(例如,Cu)厚於障壁膜。一旦覆蓋晶種層(例如,Cu),施加厚光阻(PR-光阻)(旋塗或乾膜)作為光罩。接著經由在光罩中形成開口之製程對光罩進行曝光及顯影,使得焊料(例如,Pb、Sn、Ag)或金屬柱(例如,Cu、Ni、Au、Pd)形式的額外金屬可填充光罩中產生的空隙(開口)。再者,應注意,可使用多種金屬及金屬組合來形成此等結構。同樣地,重佈層(Redistribution Layer,RDL)圖案亦可使用上述序列之變體來建構。
一旦完成此製程,就藉由例如加熱溶劑製程來剝離光阻。在電氣上,在此階段,所有此等特徵皆與導電晶種層(例如,Cu)及障壁膜(Ti/TiW)短路。下一步驟為移除場金屬(不位於互連結構下面的晶種層及障壁膜,諸如凸塊、金屬柱或焊墊)。通常經由濕式蝕刻製程移除場金屬。用於濕式蝕刻的蝕刻劑通常為各向同性的,其意指蝕刻劑將以相同速率進行全向蝕刻。因此,在蝕刻劑於垂直方向作用以移除場金屬時,其亦將在結構下面側向地蝕刻。因此,通常使用術語凸塊底層金屬(Under Bump Metal,UBM)蝕刻。針對互連,應用相同製程,且此製程被稱為RDL蝕刻。此類結構下面的側向蝕刻被稱為「底切」。圖2A至圖2C為顯示已在焊料凸塊下面執行側向蝕刻或「底切」的基材之示例圖。圖2D至圖2E為顯示金屬柱形成之示例圖,且圖2F至圖2G為顯示UBM及RDL基材之示例影像。類似地,圖3顯示示例基材之影像,其顯示Cu柱層及Cu晶種層下面的側向蝕刻或底切。側向蝕刻或底切可產生兩種所不欲得之影響:1)其可藉由減少電力流動的面積而降低特徵之效能;及2)其可減少凸塊\金屬柱對基材之黏附,從而可能帶來特徵損失。
過去,特徵之尺寸已很大(例如,100m寬焊料凸塊),而相比之下,場金屬相對較薄(例如,5000埃[5000A])。即使大量底切,仍存在大量 金屬以實現凸塊黏附及電氣效能。例如,對於200%之蝕刻(或100%之過蝕刻),底切大約為1μm(5000A×2=10,000A,或1μm)。此為98μm之底切下的凸塊直徑之最終結果。如此,在4%的底切直徑損失之後,仍存在大約96%的面積。此不會明顯影響凸塊之凸塊黏附或電氣特性。
因此,由於一次採用25個晶圓來批量處理載具中之晶圓,通常已採用較大的過蝕刻。另外,由於數個因素,先前已採用較大的過蝕刻,該等因素包括:不同晶圓間的膜厚度差異;取決於晶圓在載具中之位置的蝕刻差異;批量製程中之不佳蝕刻均勻性;及無判定蝕刻何時已完成之構件。因此,先前需要大量過蝕刻來確保已清除所有場金屬。未能清除場金屬會導致基材中發生電氣短路並直接帶來產量損失。因此,由於防止了電氣短路,且因為過去的基材係相當大的,已容許較大的過蝕刻。
然而,目前在半導體行業中存在裝置不斷變小的趨勢,且此趨勢亦適用於互連裝置(RDL裝置)。例如,在一些情況下,基材(晶圓)已縮小至10μm及以下。考量具有100%過蝕刻製程之5000A場金屬的上文實例。對於5μm凸塊,自所有側面之1um底切將由於底切而移除大約36%的面積。數個方法已用於處理減少底切的需要,諸如減少場金屬厚度。當使用相同於如用於1500A場金屬的上文實例中所描述之條件時,5μm凸塊的底切損失可降至12%,但此損失仍過高。因此,需要一種消除過蝕刻,尤其對於較小基材消除過蝕刻,且亦判定何時終止蝕刻製程的方法。
本系統及方法得已解決此需求及其他。
在一個示例實施例中,提供一種電腦實施之控制系統及方法,其 用於判定基材之濕式蝕刻製程中的複數個終點。藉由執行指令而被構型之至少一處理器,在第一基材之濕式蝕刻製程期間判定複數個基準終點,該複數個基準終點係藉由使用第一光資訊判定的,該第一光資訊是由與第一基材之複數個樣本位置相關聯的色度、飽和度、亮度色彩模型表示。該處理器基於所判定基準終點,產生用於第二基材之濕式蝕刻製程的蝕刻參數。該處理器使用所產生蝕刻參數及第二光資訊以在第二基材之濕式蝕刻製程期間達到各別終點,該第二光資訊是由與第二基材之複數個樣本位置相關聯的色度、飽和度、亮度色彩模型之至少一值表示。
100:系統
110:殼體
112:壁部
120:中空內部
130:門總成
140:窗口
150:接取點
160:晶圓保持及裝載裝置(裝載埠)
162:門部
170:電腦終端機
200:第一站
210:第二站
220:第三站
230:第四站
240:第五站
300:晶圓傳送裝置
400:濕式蝕刻裝置
401:蝕刻控制器
410:蝕刻室
420:旋轉夾盤
430:臂
435:噴嘴
500:終點偵測裝置
501:清潔控制器
510:光發射器
520:光偵測器
600:量測裝置,度量裝置,成像裝置
610:平台
620:量測組件
630:感測器
700:製程控制系統
705:製程控制器
710:處理器
715:介面
720:記憶體
730:軟體模組
740:顯示器
750:通信介面
760:音訊輸出
770:量測模組
772:晶圓輪廓模組
774:蝕刻配方模組
776:蝕刻製程模組
778:資料庫模組
780:使用者介面模組
782:終點偵測模組
784:臂掃掠輪廓模組
785:資料庫
790:儲存器
1200:系統
1205:臂擺動
1210:基材或晶圓
1215:樣本位置
1300:常式
1305:步驟
1310:步驟
1315:步驟
1320:步驟
1325:步驟
1330:步驟
1335:步驟
1340:步驟
1345:步驟
1402:範本選擇
1405:樣本位置及位置內的樣本數目
1650:噴嘴
1700:清潔裝置
1710:蝕刻室
1740:臂
1750:噴嘴
1780:超聲波清潔裝置
1790:乾燥裝置
2000:蝕刻室
2010:夾盤
2020:圓頂蓋
2021:側壁
2022:操作窗口
2030:遮光板
2100:蝕刻室
2110:旋轉蓋盤
A:線條
B:線條
CF:線條
SA:區域
圖1說明根據本文中揭示之一或多個實施例的焊料凸塊形成製程;
圖2A至圖2C說明顯示根據本文中揭示之一或多個實施例的焊料凸塊下之側向蝕刻的示例性凸塊底層金屬蝕刻;
圖2D至圖2E顯示根據本文中揭示之一或多個實施例的金屬柱形成之圖式;
圖2F至圖2G顯示根據本文中揭示之一或多個實施例的UBM及RDL基材之示例影像;
圖3顯示根據本文中揭示之一或多個實施例的經歷凸塊底層金屬蝕刻之示例基材的影像,該影像展示金屬柱下面之側向蝕刻及晶種層下面之側向蝕刻;
圖4為顯示根據本文中揭示之一或多個實施例的用於執行濕式蝕刻製程之系統的透視圖;
圖5為顯示根據本文中揭示之一個實施例的用於執行濕式蝕刻製程之系統 的前平面圖;
圖6為顯示根據本文中揭示之一或多個實施例的用於執行濕式蝕刻製程之系統的示例性構型的方塊圖;
圖7為顯示根據本文中揭示之一個實施例的量測站之前平面圖;
圖8為顯示根據本文中揭示之一或多個實施例的濕式蝕刻站之透視圖;
圖9為顯示根據本文中揭示之一個實施例的清潔站之前平面圖;
圖10A為顯示根據本文中揭示之一或多個實施例的用於執行濕式蝕刻製程之系統的示例性構型之方塊圖;
圖10B為顯示根據本文中揭示之一或多個實施例的製程控制系統之示例性構型的方塊圖;
圖11為根據本文中揭示之至少一個實施例的圖形使用者介面之螢幕截圖;
圖12為顯示根據本文中揭示之一或多個實施例的用於蝕刻終點偵測之系統的透視圖;
圖13為說明根據本文中揭示之一或多個實施例的用於在基材蝕刻期間進行終點偵測及底切最小化之常式的流程圖;
圖14A顯示根據本文中揭示之一或多個實施例的用於蝕刻終點偵測之系統的示例性圖形使用者介面;
圖14B顯示根據本文中揭示之一或多個實施例的基材之示例影像,該影像展示樣本位置;
圖14C展現了顯示根據本文中揭示之一或多個實施例的示例基材上之樣本位置的每個樣本終點(以秒為單位)之圖式;
圖14D展現了顯示根據本文中揭示之一或多個實施例的針對示例基材上之樣本位置的每個樣本,由CCD相機在終點處偵測到之色彩的圖式,其中在圖14D 中,頂部線條表示紅色,中間線條表示綠色,且底部線條為藍色;
圖14E展現了顯示根據本文中揭示之一或多個實施例的針對示例基材上之複數個樣本中之每一者,由CCD相機在終點處偵測到之色彩變化的每個樣本之圖式;
圖14F展現了顯示根據本文中揭示之一或多個實施例的在示例基材上進行蝕刻之前,由CCD相機針對每個樣本偵測到之色彩變化的圖式,其中頂部線條表示紅色,中間線條表示綠色,且底部線條表示藍色;
圖14G展現了顯示根據本文中揭示之一或多個實施例的在示例基材上進行蝕刻之後,由CCD相機針對每個樣本偵測到之色彩變化的圖式;
圖14H展現根據本文中揭示之一或多個實施例的示例基材之蝕刻前影像,及展示蝕刻之前的示例基材上之特定樣本(例如,「樣本2」)處的色彩變化之圖式,且顯示示例基材之蝕刻後影像,及展示蝕刻期間在示例基材上之樣本2處及蝕刻終點處的色彩變化之圖式,其中在圖14H中,在蝕刻前及蝕刻後影像兩者上,頂部線條為紅色,中間線條為綠色,且底部線條為藍色;
圖14I顯示根據本文中揭示之一或多個實施例的用於終點偵測之系統的示例性圖形使用者介面,其顯示參考基材上的特定樣本(「樣本3」)處之過處理時間;及顯示在參考基材之蝕刻期間在樣本3處隨時間推移所偵測到之色彩變化的圖式;
圖14J顯示根據本文中揭示之一或多個實施例的用於終點偵測之系統的示例性圖形使用者介面,其顯示示例基材上的特定樣本(「樣本1」)處之過處理時間;及顯示基材蝕刻期間在樣本1處隨時間推移所偵測到之色彩變化的圖式;
圖15A顯示使用終點偵測系統蝕刻之晶圓的影像,且圖15B為顯示根據本文中揭示之一或多個實施例的使用本終點偵測系統(WaferChekTM)相較於利用 過蝕刻方法之系統的給定蝕刻中之底切量差異的圖式;
圖15C顯示不同膜厚度、凸塊半徑及過蝕刻的示例性蝕刻晶圓量測之表格。該表格亦顯示根據本文中揭示之一或多個實施例的藉由減少過蝕刻時間可保持用於收縮幾何特徵的剩餘材料百分比;
圖16為顯示根據本文中揭示之一或多個實施例的用於蝕刻終點偵測之系統的頂部透視圖;
圖17為圖16的用於終點偵測之系統的橫截面圖,其中說明了光偵測器位置及影像/光路徑,其中系統包括圓頂蓋但不包括旋轉蓋盤;
圖18為顯示根據本文中揭示之另一實施例的用於蝕刻終點偵測之系統的頂部透視圖;
圖19為圖18的用於終點偵測之系統的橫截面圖,其中說明了光偵測器位置及影像/光路徑,其中系統包括圓頂蓋且進一步包括安置於晶圓上方的旋轉蓋盤;
圖20顯示根據本文中揭示之一或多個實施例的基材之示例影像,該影像展示樣本位置;
圖21為顯示一個示例晶圓的自樣本1(邊緣處)至樣本10(中心處)的RGB值之圖式;
圖22顯示根據本文中揭示之一或多個實施例的基材之示例影像,該影像展示樣本位置,其中樣本區域略微偏離晶圓中心以避開分注臂及其反射的路徑;
圖23說明第一視訊檔案(例如,「avi」檔案)中表示之樣本1至12隨時間推移的RGB值之圖式,其中樣本1至12中之頂部線條表示藍色,中間線條表示綠色,且底部線條表示紅色;
圖24A為來自第一視訊之樣本1的RGB值之圖式,其中頂部線條表示藍 色,中間線條表示綠色,且底部線條表示紅色;
圖24B為自樣本1之RGB值轉換的HSV值之圖式;
圖24C為僅樣本1之HS值的圖式;
圖25A為來自第一視訊之樣本11的RGB值之圖式,其中頂部線條表示藍色,中間線條表示綠色,且底部線條表示紅色;
圖25B為自樣本11之RGB值轉換的HSV值之圖式;
圖25C為僅樣本11之HS值的圖式;
圖26A為來自第一視訊之樣本12的RGB值之圖式,其中頂部線條表示藍色,中間線條表示綠色,且底部線條表示紅色;
圖26B為自樣本12之RGB值轉換的HSV值之圖式;
圖26C為僅樣本12之HS值的圖式;
圖27說明第二視訊檔案中表示之樣本1至12隨時間推移的RGB值之圖式,其中樣本1至12中之頂部線條表示藍色,中間線條表示綠色,且底部線條表示紅色;
圖28A為來自第二視訊之樣本4的RGB值之圖式,其中頂部線條表示藍色,中間線條表示綠色,且底部線條表示紅色;
圖28B為自樣本4之RGB值轉換的HSV值之圖式;
圖28C為僅樣本4之HS值的圖式;
圖29A為來自第二視訊之樣本11的RGB值之圖式,其中頂部線條表示藍色,中間線條表示綠色,且底部線條表示紅色;
圖29B為自樣本11之RGB值轉換的HSV值之圖式;
圖29C為僅樣本11之HS值的圖式;
圖30說明第三視訊檔案中表示之樣本1至12隨時間推移的RGB值之圖 式,其中在圖式之左起點處,頂部線條表示紅色,中間線條表示綠色,且底部線條表示藍色;
圖31A為來自第三視訊檔案之樣本4的RGB值之圖式;
圖31B為自樣本4之RGB值轉換的HSV值之圖式;
圖31C為僅樣本4之HS值的圖式;
圖32A為來自第三視訊之樣本12的RGB值之圖式;
圖32B為自樣本12之RGB值轉換的HSV值之圖式;
圖32C為僅樣本12之HS值的圖式;
圖33為第一晶圓蝕刻前的半徑上之通道位準之圖式;
圖34為晶圓蝕刻後的半徑上之通道位準之圖式;及
圖35為第二晶圓蝕刻前的半徑上之通道位準之圖式。
本申請案提供用於基材的(焊料)凸塊底層金屬(UBM)蝕刻期間的蝕刻終點偵測及底切最小化之系統及方法。在一或多個實施例中,本系統及方法利用光偵測器,諸如CMOS、彩色電荷耦合裝置(CCD)偵測器(相機)或等效結構,以用於捕獲蝕刻期間反射離開基材上之多個樣本的光。因此,雖然圖式簡單說明參考CCD相機之影像,但應瞭解,可使用CMOS偵測器(感測器)(相機)或其他結構獲得相同影像。光偵測器可操作地連接至計算裝置,其構型以在蝕刻基材時判定每個樣本處之反射光的色彩,且接著基於所反射色彩判定何時完成蝕刻第一基材。系統接著可利用基材蝕刻期間捕獲的色彩資料,以更有效且高效地蝕刻後續基材,從而限制所蝕刻基材中顯示之任何底切。
應注意,2018年9月6日公開的共同擁有的美國專利申請案第US 2018-0254221號、2018年1月16日公告之第9,870,928號美國專利案,及2017年7月4日公告之第9,698,062號美國專利案之教示,在此皆以整體引用的方式併入本文中,如同闡述於本文中一般。
本系統及方法允許消除基材的不完全蝕刻,同時亦限制或消除由過蝕刻引起的基材之側向蝕刻。本系統藉由下列方式實現此等目的以及其他:a)在終點偵測方法中使用多個基材樣本以確保無不完全蝕刻;b)增大光偵測器(例如,CMOS或CCD相機)的圖框捕獲速度,以更精確地判定特定基材之蝕刻終點;及c)由系統部分基於先前基材蝕刻期間所捕獲的光資料,改變用於後續基材蝕刻的蝕刻參數。修改用於後續基材之蝕刻參數進一步確認了用於每種類型之基材的適當蝕刻參數,以確保無不完全蝕刻之基材,且亦無過蝕刻。本系統及方法之此等及其他態樣將參考附圖加以描述。
現將參考附圖更完全地描述所參考之系統及方法,在附圖中展示一或多個所說明實施例及/或系統及方法的配置。系統及方法未以任何方式限於所說明實施例及/或配置,因為下述所說明實施例僅為熟習該項技藝者瞭解的本系統及方法之示例。因此,應理解,本文中揭示的任何結構及功能細節不應解釋為限制該等系統及方法,而是提供為用於教示熟習該項技藝者以一或多個方式實施系統及方法的代表性實施例及/或配置。因此,本系統及方法的態樣可採用整個硬體實施例、整個軟體實施例或組合軟體及硬體的實施例之形式。熟習該項技藝者可瞭解,可將軟體處理變換成等效硬體結構,且硬體結構自身可變換成等效的軟體處理。因此,硬體實施相較於軟體實施之選擇為設計選擇中之一者。
圖4至圖10說明根據本發明之至少一實施例中用於執行濕式蝕刻及最小化底切之終點偵測的示例系統100。因此,該系統100可被視為用於半 導體製造之濕式蝕刻設備。如本文中所使用,術語「晶圓」及「基材」可互換使用。
該系統100為可由位於殼體110內之不同站處的數個不同裝置(設備零件)界定的整合系統。如圖4中所示,殼體110通常呈直立機櫃或具有界定中空內部120之複數個壁部112之類似物的形式。中空內部120可藉由數個不同接取點進入,包括但不限於在殼體110的一端處所示的門總成130,且一或多個側壁112可包括窗口140以允許直接接取及檢視中空內部120,且更特定為其中包括的設備及處理站。在一實施例中,如說明所述,一側壁112可包括透明窗口140及一或多個接取點150。相對側壁112可包括不同形式之接取點150,諸如圖5中所示的一組門部。
每個接取點150可呈提供進入中空內部120的開口形式,且另外,晶圓保持及裝載裝置(裝載埠)160可沿著一個側壁112設置在此位置。裝置160可為任何數目之習知裝置,其設計成保持及允許接取其中包含的晶圓,且可呈FOUP裝載埠的形式,其中FOUP為前開式晶圓傳送載具的縮寫。FOUP為專用塑膠封閉體,其中載具設計成在受控環境中安全可靠地保持矽晶圓,並允許藉由裝備有適當裝載埠及自動機械處理系統的工具移除晶圓以供處理或量測。如圖4中所說明,裝置160可呈輸入/輸出載具裝置的形式。
晶圓保持及裝載裝置裝置(裝載埠)160可呈輸入/輸出晶圓載具裝置之形式,其包括構型以接納及保持容置複數個晶圓的載具之殼體。例如,殼體在其每個末端可包括門部162,其中一個門部162面向外遠離中空內部120,以允許技術人員將一或多個晶圓裝載至裝載埠160中。另一門部162面向中空內部且可在中空內部120內被接取,以允許在中空內部120內自動移除(及重新裝載)晶圓,以允許將晶圓傳送至中空內部120內包含的各種站。晶圓保 持及裝載裝置160可呈包括以豎直堆疊方式保持複數個晶圓的複數個支架或類似物之類型。
該殼體(機櫃)110亦可包括一或多個電腦終端機170,其以下述方式操作,且允許技術人員在晶圓經歷不同站的各種處理步驟時同時控制及監控殼體110內的晶圓處理。
亦應瞭解,該系統100可包括數個不同習知系統以提供電力、冷卻、加熱、流體流動(泵送架構)等。系統100亦包括數個不同安全特徵,包括緊急關閉按鈕及聽覺及/或視覺警報,以在異常情況發生及/或在系統100內觀察到異常情況時警告技術人員。
圖6為顯示包含在本發明之系統的殼體(機櫃)內的示例站之示意圖。通常,系統100包括第一站200,其包含一或多個用於保持晶圓(例如,FOUP裝載埠)及提供直接接取殼體110之內部120的裝置160,如前所述。如下所述,一第二站210呈一或多個用於量測晶圓不同特性的量測室之形式。一第三站220包含一或多個蝕刻室,用於根據本文中所描述之兩步蝕刻製程在晶圓上執行單晶圓濕式蝕刻製程。一第四站230及視情況選用之一第五站240為清潔經處理晶圓之清潔室。由於系統100為自動系統,設置了晶圓傳送裝置300且該裝置構型以自系統100之各種站之間移動一或多個晶圓。晶圓傳送裝置300可採用任何數目之不同形式,但通常呈自動裝置的形式,諸如一自動機械件,其構型以可控制地抓握、移動及釋放一或多個晶圓。通常,晶圓傳送裝置300包括自動機械臂,該自動機械臂具有用於抓握及保持晶圓的抓握(保持)機構,且具有基部,其中該自動機械臂可在該基部周圍以多個方向(多個自由度)移動。應理解,一或多個處理站/室可組合成具有多個處理功能。例如,量測室中使用的量測裝置可併入濕式蝕刻室中,以提供組合式量測及蝕刻站。進一步藉 助於實例,如熟習該項技藝者所瞭解,蝕刻室及清潔室可組合成多處理室。
因此,該晶圓傳送裝置300因此可被視為自動晶圓處理器。亦應瞭解,晶圓傳送裝置為電腦操作裝置,且因此,如下所述,根據軟體應用程式等的執行來操作。另外,亦應瞭解,晶圓傳送裝置300可回應於使用者產生之命令進行操作,諸如由技術人員在諸如電腦終端機170的使用者介面處產生的命令。
雖然在圖6中,晶圓傳送裝置300被顯示為位於系統100內部的中心處,但並不限於系統內的此位置,只要晶圓傳送裝置300位於允許裝置300接取系統之每個站並在所有必要站之間傳送晶圓之位置處即可。
下面更詳細描述前述個別站中之每一者。
第一站200
如前述,該第一站200包括一或多個晶圓保持及裝載裝置(FOUP裝載埠或輸入/輸出載具)160,以用於以密封及安全方式保持晶圓。系統100可使用任何數目之不同習知晶圓保持及裝載裝置(FOUP裝載埠)160。通常,晶圓保持及裝載裝置(FOUP裝載埠)160為包含保持晶圓的載具之類型。門部162定位成使得晶圓傳送裝置(自動機械件)300可直接自FOUP接取晶圓。晶圓保持及裝載裝置(FOUP裝載埠)160亦可包括辨識特徵,諸如RFID標籤、條碼讀取器等,以允許由工具等上的讀取器進行識別。應理解,裝載埠160不限於FOUP型。如熟習該項技藝者將理解,除了具有諸如具有可移除式載具的晶圓盒之內置載具的FOUP之外,可使用各種晶圓保持及裝載機構。
雖然圖6將兩個區塊顯示為構成該站200,但應理解,此僅出於說明目的而非限制本發明,如圖2中所示,此係由於系統100可包括一個以上晶圓保持及裝載裝置(FOUP裝載埠)160。此外,應理解,每個裝載埠160可構 型為接納一或多個載具。
第二站210
如前述,該第二站210為量測站(晶圓檢查站),其中可在晶圓上的一或多個位置處量測晶圓的特性(例如,晶圓厚度)。因此,該第二站210包括用於量測晶圓之一或多個特性的量測裝置(度量裝置)600。可使用任何數目之不同類型的量測裝置。根據本發明之一個實施例,量測裝置600構型為供量測晶圓之一或多個特性(例如,晶圓厚度及表面輪廓)的成像裝置之形式。在一或多個實施例中,該量測站(第二站210)可部分或整體自系統100排除。
圖7顯示包括呈固定取向(例如,水平取向)以接納及保持晶圓的平台610之示例量測(成像)裝置600。該平台610可為可調整類型以適應不同大小的晶圓。例如,晶圓的直徑可相當大地變化,且因此,平台610建構成允許在其上置放及支撐不同大小的晶圓。另外,平台160可在任何數目之不同方向(x、y、z)上移動(亦即,平台610具有多個移動自由度)且可旋轉,使得晶圓可在量測處理期間旋轉。
該度量(量測)裝置600可亦包括一量測組件620,其至少量測晶圓的厚度,且亦構型以偵測(量測)並產生晶圓之表面輪廓。該量測組件620包括成像設備,且可為自動裝置之部分,以允許組件620相對於平台610上的晶圓移動。例如,該量測組件620可呈臂或類似物的形式,該臂或類似物可相對於晶圓在任何數目之不同方向(x、y、z)上移動(亦即,組件620具有多個移動自由度)。替代地或另外,該組件620可保持在靜止位置,且支撐晶圓之平台610可相對於組件620在任何數目之不同方向(x、y、z)上移動及/或旋轉。
該量測組件620包括一或多個感測器630,諸如光學感測器(例如,IR光感測器),及引導在晶圓表面上的光源。反射之光(在接觸晶圓之後) 由成像裝置收集且基於所收集資訊(且在根據軟體執行來處理資訊之後),可拍攝及記錄晶圓的數個不同量測值。更特定地,光在膜堆疊(形成晶圓的材料層)中的每個表面的頂部及底部處被反射,且根據材料的折射率來校正反射光的距離以便計算深度。例如,該成像裝置可量測以下特性(此非詳盡清單):晶圓厚度;彎曲度、翹曲度、平坦度;表面粗糙度;總厚度變化(Total Thickness Variation,TTV);光學檢查圖案辨識;及互連焊墊深度等。
根據一或多個實施例,該量測站210直接併入且包含在殼體(機櫃)110內。因此,該第二站210及其中包含的成像裝置600在晶圓傳送裝置(自動機械件)300的範圍內。此定位允許自動晶圓傳送裝置300容易地在系統100之第二站210與其他站中之任一者之間移動晶圓。此與習知系統直接形成對比,諸如量測設備位於遠端位置且需要在蝕刻製程期間移除晶圓以便進行量測之系統。在進行此量測之後,在晶圓被引回至蝕刻處理設備之前存在保持晶圓的等待期間。系統100消除了習知系統之複雜性及時間延遲,包括直接且不利地影響在給定時間期間內可處理之晶圓數目的任何複雜性及時間延遲。此外,在習知生產設定中,此等低效率帶來晶圓批量處理,其中在返回至蝕刻處理設備之前需量測多個晶圓。因此,關於蝕刻製程之任何回饋係在批量基礎上獲得且非實時(亦即,在晶圓基礎上)獲得,藉此防止了實時調整製程參數(在晶圓基礎上)且導致品質下降且浪費增大。如本文中進一步描述,將該量測裝置併入系統100,且實施包括在單晶圓濕式蝕刻室中進行蝕刻之前及之後針對每個晶圓進行量測步驟之製程能提供能夠針對每個晶圓的特定特性及有關先前所蝕刻晶圓的回饋來實時調整蝕刻製程參數之系統。因此,系統可實現通常與單晶圓濕式蝕刻製程相關聯之較高品質、最低浪費及益處。
第三站220
該第三站220可為蝕刻站,其中晶圓經歷如本文中描述之單晶圓濕式蝕刻製程(例如,經由兩步製程或其他製程)。如本文中所描述,單晶圓濕式蝕刻製程通常藉由將特定量之化學蝕刻劑分注至安置在站內的晶圓上,並使晶圓的接觸表面發生化學反應來執行。接觸表面之不必要部分受到化學物質蝕刻。
如圖8中所示,該第三站220(圖6)包括單晶圓濕式蝕刻裝置400,其包括包含在濕式蝕刻製程中使用之設備及化學蝕刻劑之蝕刻室(封閉體)410。因此,該蝕刻室410可被視為化學圍阻結構。應理解,該第三站220可保持複數個蝕刻裝置410(諸如,呈垂直堆疊取向),以允許在一個以上的晶圓上同時執行濕式蝕刻。該封閉體410亦收集及包含蝕刻製程中使用的化學物質。
位於該第三站220處的濕式蝕刻裝置400亦包括上面置放晶圓之旋轉夾盤420(可變速度受到蝕刻控制器401的控制,該蝕刻控制器為本文中描述的整個製程控制系統之部分),以及一蝕刻工具(臂)430,該蝕刻工具包括一或多個用於分注流體(例如,一或多種液體,較佳為化學蝕刻劑)的噴嘴(孔口)435。該蝕刻工具430可為呈可沿著多個方向(z及θ方向)移動的臂形式,且因此具有多個自由度;然而,臂長度會限制掃掠半徑。該蝕刻工具430受到諸如蝕刻控制器401之計算裝置的控制,且為如本文中描述之系統100中採用的整個可程式化電腦系統的部分。因此,蝕刻工具430可被驅動至晶圓的任何特定位置。
該濕式蝕刻裝置400亦包括流體輸送及移除系統,以用於將流體(例如,蝕刻化學物質)引入室及自室移除流體。此等組件可使用習知流體泵送方案實施,其中設置用於將流體(例如,一或多種液體,較佳為化學蝕刻劑)供應給噴嘴435的導管。另外,該濕式蝕刻裝置400可包括用於排出在濕式蝕刻 製程期間積聚在封閉體410內的流體之導管及機構。
機械夾盤420構型以保持晶圓。該夾盤420包括可接合至馬達之驅動軸的一主軸(未示出),以允許該旋轉夾盤420繞Z軸旋轉保持晶圓。馬達之電源開關連接至該蝕刻控制器401之輸出側,因此該控制器401控制馬達的旋轉速度。又,一升降機構(未示出)可支撐該旋轉夾盤420,以可在Z軸方向上移動夾盤。
圍繞該旋轉夾盤420之外周邊及底部部分,可提供用於接納及收集蝕刻劑溶液之結構,該溶液被與晶圓離心分離,且接著被排出外部。用於自封閉體410排出流體之部分機構可為廢氣通道及形成在圍繞夾盤420的收集器結構的底部部分中之排出管。儲存在收集器結構中之液體可藉由一或多個排出管排出至外部。替代地,可使液體再循環。
根據本發明,只要蝕刻溶液適於濕式蝕刻製程且適於意欲之基材及應用,則可使用任何數目之蝕刻溶液。因此,可基於數個不同參數來使用不同化學物質,該等參數包括晶圓之特性。此外,在進行兩步(或更多步)蝕刻製程時,可在不同蝕刻階段期間使用不同蝕刻劑。
關於蝕刻劑溶液之輸送,該濕式蝕刻裝置400亦包括用於控制蝕刻劑溶液之流動特性(流速)及溫度之構件。可包括一或多個自液體供應源延伸至噴嘴之第一流速控制部分,其包括但不限於泵或閥。流速控制部分的操作部分可連接至蝕刻控制器401之輸出側,以控制供應給噴嘴的蝕刻劑溶液之流速。另外,可使用其他控制機構來控制蝕刻劑溶液的濃度。蝕刻劑濃度控制為用於控制給定晶圓之整體蝕刻速率及蝕刻製程的手段。
根據本發明之一個態樣,該濕式蝕刻裝置400包括一終點偵測裝置500。一示例性終點偵測裝置500包括光發射器510(例如,高強度白光發射 器)及光偵測器520(例如,CMOS或電荷耦合裝置(CCD)偵測器)。應瞭解,例如,取決於使用光發射器510的特定應用,光發射器可具有不同構造。光發射器可為具有紅色濾光器之高強度白光發射器。另外,在至少一個實施例中,該光發射器510可定位成與終點偵測裝置500及偵測器520分離者(參考圖12)。下文更詳細地描述終點偵測裝置500、具體為光發射器510及光偵測520之操作。應瞭解,裝置500不限於形成上文設備,而是通常為基於光學的系統,其中分析光特性以便判定基材的特性或條件。
在一或多個實施例中,該裝置500對諸如蝕刻控制器401或計算裝置(終端機)170的計算裝置作出回應。該發光裝置510操作以在濕式蝕刻站220中將光(例如,白光)發射至特定晶圓之表面的至少一部分上。該光偵測器520(例如,CMOS或CCD偵測器)偵測由特定晶圓的一部分反射的光,且CMOS或CCD偵測器520將關於偵測到的光之資訊傳輸至製程控制系統,其將於本文中進一步描述。如本文中所描述,本發明有利地採用終點偵測裝置500來執行精確的UBM蝕刻及/或將RDL材料(例如,互連焊墊)曝露於精確且均勻深度。
第四站230及第五站240
晶圓在蝕刻站220處經歷處理之後,接著可在一或多個晶圓清潔站清潔晶圓。當然,圖6顯示兩個不同的清潔站230、240,但圖6中所示之實例表示一個實施例,且應瞭解,可使用單個清潔站。在此構造中,單個清潔站仍可採用一或多個不同清潔技術來清潔晶圓。
在示例性刷洗製程期間,期望在晶圓旋轉時將水及/或清潔溶液流引導至晶圓上以洗去顆粒。此通常藉由設置位於晶圓上方及/或下方的噴嘴1650(圖9)來實現。噴嘴較佳地藉由供應管連接至純水或清潔溶液源。水或清潔溶液之流速可受到泵及閥配置(未示出)的控制,該配置又受到清潔控制器1601(其 為本文中描述的整個製程控制系統之部分)的控制。替代地,加壓流體源可用於提供流體流動。
該清潔站240(圖6)可為實體上不同的站,其位於該清潔站230的附近,且可為提供與清潔站230所採用之清潔製程不同的清潔製程之類型。該清潔站240可被視為最終清潔站。如本文中所述,第一清潔步驟可涉及刷洗製程,其主要是移除較大顆粒及殘留的蝕刻劑。晶圓可自第一清潔站230濕式傳送至最終清潔站240以進行額外清潔。
如圖9中所示,類似於清潔站230,最終清潔設備1700可呈室1710的形式,且包括一或多個臂1740及噴嘴1750,以高速噴射分注至晶圓上,及/或使用超聲波清潔設備1780以用於自晶圓表面移除小顆粒。另外,站240可包括乾燥設備1790以在最終清潔製程結束時乾燥晶圓。
製程控制系統
圖10A為說明與系統100一起使用以執行濕式蝕刻製程之製程控制系統700的示例性構型之高階圖。至少在一些實施例中,本發明利用如本文中描述之多步濕式蝕刻製程。在一個配置中,該製程控制系統包括一或多個計算裝置,該等計算裝置包括製程控制器705。
製程控制器705可構型以與系統100之各種電腦控制組件通信。製程控制器可與第一站200、第二站210、第三站220、第四站230、第五站240及與其相關聯之電腦控制裝置或控制器介接。更特定地,製程控制器705可藉由將電子資訊傳輸至各種組件並自其接收電子資訊而與晶圓傳送裝置300、FOUP裝載埠160、成像裝置600、蝕刻控制器401及清潔控制器501介接。
應注意,雖然圖10A相對於製程控制器705描繪製程控制系統700,但任何數目之製程控制器可以本文中描述之方式與製程控制系統700及系 統100之構成性電腦控制組件相互作用。此外,本文中參考之各種計算裝置及機器,包括但不限於電腦終端機170、製程控制器705、第一站200、第二站210、第三站220、第四站230、第五站240、晶圓傳送裝置300、FOUP裝載埠160、成像裝置600、蝕刻控制器401及清潔控制器1601等,可為個別/單個裝置及/或機器,或以其他方式配置或用於任何數目之裝置及/或機器上,諸如經由直接連接或網路連接,如熟習該項技藝者所熟知者。
圖10B為說明系統100的製程控制器705的示例性構型之方塊圖。製程控制器包括用於使系統操作的各種硬體及軟體組件,包括處理器710、介面715、記憶體720、顯示器740、音訊輸出760、儲存器790及通信介面750。處理器710用於執行可載入記憶體720中之軟體指令。取決於特定實施,處理器710可為處理器、多處理器核心或某一其他類型之處理器中之一或多者。
較佳地,記憶體720及/或儲存器790可由處理器710存取且可包括非暫時性處理器可讀媒體,藉此使得處理器接收並執行儲存於記憶體及/或儲存器上之指令。記憶體可為例如隨機存取記憶體(Random Access Memory,RAM)或任何其他合適之揮發性或非揮發性電腦可讀儲存媒體。另外,記憶體可為固定的或可卸除的。儲存器790可採用各種形式,此取決於特定之實施方式。例如,儲存器可包含一或多個組件或裝置,諸如硬碟、快閃記憶體、可重寫光碟機、可重寫磁帶或前述之組合。儲存器亦可為固定的或可卸除的。
一或多個軟體模組730可在儲存器790及/或記憶體720中。該等軟體模組730可包括具有待於處理器中執行之電腦程式碼或指令的一或多個軟體程式或應用程式。此電腦程式碼或指令用於進行本文中揭示之系統及方法的態樣之操作,且可以一或多個程式語言的任何組合來撰寫。程式碼可完全在製程控制器705、當作獨立軟體套件、部分在製程控制器上或完全在另一計算/裝 置或部分在另一遠端計算/裝置上執行。在一或多個實施例中,遠端計算裝置可藉由任何類型的直接電子連接或網路(包括,區域網路(Local Area Network,LAN)或廣域網路(Wide Area Network,WAN))連接至製程控制器705;或,可連接至外部電腦(例如,藉由使用網際網路服務提供商之網際網路)。
較佳地,軟體模組730包括量測模組770、晶圓輪廓模組772、蝕刻配方模組774、蝕刻製程模組776、資料庫模組778、使用者介面模組780、終點偵測模組782及由處理器710執行的臂掃掠輪廓模組784。在執行軟體模組730期間,處理器將製程控制器705構型以執行有關係統100之各種操作,以用於執行濕式蝕刻製程。本文中更詳細地描述與此等各別模組相關聯之特徵。
在一或多個實施方式中,軟體模組730及/或一或多個電腦可讀儲存裝置(諸如,記憶體720及/或儲存器790)形成可根據本發明來製造及/或分佈的電腦程式產品,如熟習該項技藝者所熟知者。
應理解,在一些說明性實施例中,軟體模組730中之一或多者可經由網路經由通信介面750自另一裝置或系統下載至儲存器790以供在系統100內使用。另外,應注意,有關本系統及方法的操作之其他資訊及/或資料(諸如,資料庫785)亦可儲存在儲存器上,其於下文將更詳細討論。
另外,儲存器790可包括資料庫785。於下文將更詳細地描述,資料庫785包含及/或維持用於系統100之各種操作的各種資料項及元素。資料庫785中儲存之資訊可包括但不限於參數調整演算法、配方、化學混合物細節、設定點、設定、警報、製程變數的實際值及製程控制器收集及分析的歷史資料(例如,終點偵測資料、批次記錄、基材厚度量測資訊、RDL材料深度量測資訊),如本文中更詳細描述者。應注意,儘管資料庫785被描繪為被局部構型於製程控制器705,但在某些實施中,資料庫785及/或其中儲存的各種資料元素可位 於遠端,諸如在遠端計算裝置或伺服器(未示出)上,並藉由網路或以熟習該項技藝者所熟知的方式連接至製程控制器。
介面715亦可操作地連接至處理器710。介面715可為一或多個輸入裝置,諸如開關、按鈕、按鍵、觸控螢幕、麥克風等,如在電子計算裝置技藝中所理解者。介面便於捕獲來自於使用者之命令,諸如有關系統100之操作的開關命令或設定。
顯示器740亦可操作地連接至處理器710。顯示器740包括一螢幕或使得使用者檢視有關系統100之操作的資訊之任何其他如此之呈現裝置,資訊包括控制設定、命令提示及由系統100的各種組件所收集並提供給製程控制器的資料。由實例可知,顯示器可為數位顯示器,諸如點矩陣顯示器或其他二維顯示器。
進一步由實例可知,介面及顯示器可整合至觸控螢幕顯示器中。因此,螢幕用於顯示圖形使用者介面(「GUI」),其可顯示各種資料及提供包括允許使用者輸入資訊之資料輸入欄位的「表單」。在對應於圖形使用者介面的顯示之位置處觸及觸控螢幕允許人員與裝置相互作用,以輸入/編輯資料、改變設定、控制功能等。回應於被觸及之觸控螢幕,介面將此改變傳達給處理器,且可改變設定或可捕獲使用者輸入的資訊並將其儲存在記憶體中。
音訊輸出760亦可操作地連接至處理器710。音訊輸出760可構型成輸出音訊,諸如播放電子音訊檔案或產生音訊音調的任何類型之揚聲器系統,如熟習該項技藝者應理解者。音訊輸出760可整合至製程控制器705或在製程控制器705外部並由其存取。
通信介面750亦可操作地連接至處理器710,且可為使得在製程控制器705與各種裝置、機器及/或元件(包括但不限於自動機械件、成像裝置、 蝕刻控制器、清潔控制器、化學物質控制器等)之間通信之任何介面。較佳地,通信介面750包括但不限於乙太網路、EEE 1394、並列、PS/2、串列、USB、VGA、DVI、SCSI、HDMI、網路介面卡(Network Interface Card,NIC)、整合網路介面、射頻傳輸器/接收器(例如,藍牙、蜂巢式、NFC)、衛星通信傳輸器/接收器、紅外線傳輸埠,及/或用於連接製程控制器705至其他裝置及/或諸如私人網路及公用網路(例如,網際網路)之通信網路的任何其他此類介面。此類連接可包括有線連接(例如,使用RS232或其他標準)或無線連接(例如,使用802.11或其他標準)。應理解,通信介面實際上可為使得與製程控制器705來回通信的任何介面750。
在系統100之操作-包括濕式蝕刻製程-期間的各個時點,製程控制器705可與一或多個計算裝置通信,例如用於操作各種處理站及構成性裝置之計算裝置。此類計算裝置可傳輸資料至製程控制器705及/或自其接收資料及在彼此間傳輸及/或接收資料,藉此較佳地發起維持及/或增強系統100的操作。
應瞭解,根據本系統及方法之一或多個實施例,根據所計算蝕刻配方來蝕刻晶圓的一或多個徑向位置。每個徑向位置可呈圍繞晶圓中心點的連續環形式。亦應理解,在蝕刻徑向位置時,沿著徑向位置的整個環形狀來執行至少實質上均勻蝕刻。換言之,沿著整個環的蝕刻深度係相同的,使得在徑向位置中之每一者處執行均勻蝕刻。其將進一步理解到,蝕刻深度可隨著不同的徑向位置而不同。
蝕刻配方包括控制晶圓表面上的徑向位置的各種單晶圓濕式蝕刻處理參數,如將移除何處之材料及在此位置處將移除多少材料。回去參見圖8,可在蝕刻配方中界定及/或調整各種參數,以控制基材表面上的徑向位置,其中集中蝕刻並控制在此位置處所移除的材料量,包括但不限於,蝕刻工具430 (亦稱為臂)及將化學蝕刻劑分注至基材上的噴嘴435之徑向位置、蝕刻工具的路徑(其稱為臂掃掠)、臂掃掠速度、加速度、減速度及噴嘴高度。應理解,在特定徑向位置處將蝕刻劑分注至基材上通常將蝕刻製程定位至基材的特定半徑,且因此,臂及噴嘴在晶圓上的定位及移動(例如,「臂掃掠輪廓」)可控制蝕刻的位置。
在一或多個實施例中,製程控制器705可基於特定蝕刻輪廓針對晶圓產生定製蝕刻配方。為了產生定製蝕刻配方,執行一或多個軟體模組730(較佳地包括蝕刻配方模組774)之處理器710可將製程控制器705構型以界定前述參數中之一或多者來控制蝕刻位置、蝕刻速率、留置時間等,從而產生蝕刻配方以選擇性地蝕刻在特定基材表面上的徑向位置的覆蓋層,以便在每個徑向位置及中間位置處實現期望蝕刻深度(參見圖10B)。尤其,基於可識別徑向位置及在此等徑向位置處所要蝕刻的相對材料量之蝕刻輪廓,處理器可產生界定一臂應如何在每個徑向位置上移動的臂掃掠輪廓,以控制在彼等徑向位置(及中間位置)處所分注的蝕刻劑量。
如本文中所述,可調整控制蝕刻均勻性(亦即,蝕刻的均勻性及所得晶圓之厚度)的參數包括但不限於臂移動、晶圓旋轉速度及臂沈積化學蝕刻劑在晶圓徑向位置上的留置時間。例如,在例如邊緣重的晶圓中,蝕刻輪廓可在晶圓邊緣附近增加留置時間,及/或可降低旋轉速度以在邊緣處實現較大的蝕刻深度。
應理解,此等參數可界定為臂位置或其他變數的函數,且此外,可在整個蝕刻製程中變化。例如,在具有徑向厚度(例如,圍繞特定徑向位置的重物)的基材中,蝕刻配方可藉由降低該臂在該位置行進的速度來提供在該位置處增加留置時間,以實現較大的蝕刻深度。
另外,定製蝕刻配方可包括蝕刻持續時間。蝕刻持續時間包括在特定基材上執行蝕刻製程的時間量,且可改變以控制在蝕刻製程期間移除之材料量。在基材上執行特定蝕刻配方的時間越長,移除的基材越多,且因此減小了整體厚度。
因此,可藉由產生臂掃掠輪廓來產生定製蝕刻配方。尤其,處理器可構型以例如使用厚度量測值及對應徑向位置來設定沿著臂將被程式化通過之路徑的多個點。另外,基於徑向位置中之每一者的蝕刻深度,處理器亦可被構型以界定該臂在每個點及點間移動時的速度,從而精確地控制在徑向位置中之每一者處蝕刻的材料量。亦可瞭解,包括臂掃掠輪廓(臂掃掠作業輪廓)的蝕刻配方亦可界定諸如其他參數來調整每個點/徑向位置的蝕刻速率,諸如旋轉速度、濃度、加速度/減速度等,即如本文中所述者。
亦可瞭解,該處理器710可產生定製蝕刻配方,包括如所量測且鑒於目標最終晶圓輪廓,專門針對各別晶圓調整的臂掃掠輪廓。
自本發明亦可容易地瞭解,與產生蝕刻配方、修改晶圓輪廓及臂掃掠輪廓等步驟相關地描述的一或多個步驟不限於濕式蝕刻製程。尤其,如前所述,產生臂掃掠輪廓可實際上在期望建立臂在處理環境中行進之定製路徑的任何場景下實施。
例如,可以實質上相同於前述之方式來產生臂掃掠輪廓,且該輪廓可應用於臂掃掠輪廓控制清潔溶液至晶圓之分注的晶圓清潔應用。
返回參見圖10B,在一或多個實施例中,該軟體模組730亦可包括用於產生定製臂掃掠輪廓之臂掃掠輪廓模組784,其於下文將進一步描述。
定製臂掃掠輪廓允許使用者圖形化地建立及修改分注臂在基材上行進的路徑,包括臂將在輪廓中的特定點處移動的速度。輪廓可由處理器710 諸如基於使用者與線條圖之相互作用而動態建立。在建立期望分注路徑之前,藉由點擊及拖曳界定線條之點,可將線條塑形成期望之臂運動輪廓。輪廓中的每個點表示沿著路徑的給定位置處之臂速度。
該製程控制器705可基於處理器710經由使用者介面自使用者接收之輸入來建立/修改定製臂掃掠輪廓,該介面係藉由執行一或多個軟體模組730被組態,該軟體模組較佳地包括使用者介面模組780及晶圓輪廓模組772以及臂掃掠輪廓模組784。
更特定地,經組態處理器710可顯示圖形使用者界面,其在本文中稱為臂掃掠輪廓精靈工具,使用者可藉由其來與系統相互作用。該臂掃掠輪廓精靈工具基於預界定之範本而產生新的臂掃掠輪廓。於產生之後,可改變預界定之輪廓以適於分注應用的特殊需求。另外,使用者亦可輸入晶圓資料/參數。例如,「晶圓資訊」頁面可用於輸入晶圓的直徑等。直徑單位可選擇為「mm(毫米)」或「in(吋)」。
關於臂掃掠輪廓,輪廓可依據臂掃掠範本。該處理器710可提示使用者選擇範本,且使用者可在自所選定範本產生之後定製掃掠輪廓。
圖11描繪用於掃掠路徑範本選擇的示例GUI。例如,範本選擇1402可包括對應於整個晶圓表面上的厚度特性變化的「中心凹陷」、「中心凸起」及「平坦狀」(例如,均勻)。
關於臂掃掠輪廓精靈工具操作之額外細節係在共同擁有之第9,870,928號美國專利案中揭示,該專利的整個內容在此以引用的方式併入本文中。在至少一個實施例中,臂掃掠輪廓精靈工具可整合在包括用於終點偵測裝置之一或多個可選擇選項的GUI中。
光偵測器位置及室內的光照特徵
如本文中所討論,光偵測器520的位置及室(殼體)內的光照為用於最佳化終點偵測方法之重要考慮因素。在典型(第一)配置中,終點偵測裝置500位於晶圓上方的中心,且光發射器510及光偵測器共同定位,且因此為同一裝置500的部分。此使得來自光發射器510的光直接向下發射至下伏晶圓,且來自晶圓的反射光由光偵測器520所偵測。不幸的是,由於在晶圓上引導光時,晶圓的表面非常像鏡子,因此在由光偵測器520捕獲影像時產生許多不想要的偽影。例如,光偵測器520捕獲其自身的影像,因為光偵測器520的反射出現在影像中。其他不想要的反射是為呈光及室圓頂的形式,且如本文中所描述,當分注臂掃掠整個晶圓時,分注臂反射光。本發明處理及克服如本文中描述的此等缺陷,且尤其,如圖16至圖19所描述者。特定地,本發明是針對終點偵測方法提供均勻光照環境。
在一個示例實施例中,具有共同定位的光發射器510及光偵測器520之終點偵測裝置500可呈採用數列LED形式之光發射器形式,該等LED配置在光偵測器520(例如,CMOS或CCD相機)所在的終點偵測裝置500之中心附近的一或多個弧形段中。例如,可存在LED的兩弧形段,其係呈列配置在每個弧形段內,且已發現此配置/結構減少了環境光干擾。其亦可為哈拉(Halar)漫射透鏡。LED及相機因此與以圍繞方式安置之LED同心。
圖16顯示蝕刻室2000實例,其類似於本文中描述的其他蝕刻室,包括圖8中所示之蝕刻室410,其中該圓頂蓋係局部地被顯示出。圖17中顯示晶圓夾盤2010。在此實施例中,不同於前面討論的典型配置,終點偵測裝置500並不位於晶圓中心上方的中心。替代地,該終點偵測裝置500自蝕刻室2000的中心偏離,且因此偏離晶圓的中心。如圖16及圖17中所示,終點偵測裝置500沿著圓頂蓋2020的傾斜側壁2021定位,使得穿過終點偵測裝置500 的軸並不垂直於晶圓表面(在典型配置中,終點偵測裝置500的軸垂直於晶圓表面)。該圓頂蓋2020亦包括操作窗口2022,其允許操作者檢視支撐在夾盤2010上的晶圓1210。
換言之且根據至少一實施方式,中心地穿過終點偵測裝置500之縱向軸線與可旋轉夾盤之水平平面以不同於90度之角度相交,即如圖中所示。該角度可在約30度與約60度之間。例如,該角度可在45度與60度之間。
圖16及圖17的終點偵測裝置500亦較佳地構造成可使光發射器510及光偵測器520共同定位,其中此等兩部分510、520皆位於裝置500內,而非形成為位於蝕刻室2000內部的不同位置處的分離裝置。
根據此實施例,該圓頂蓋2020之至少一部分的下側包括遮光板2030。可針對此目的而使用任何數目之不同材料,且遮光板2030定位成最小化室2000內部的光反射,且因此在其中提供更均勻的光照。遮光板2030可呈用於內部背景色彩以及外部遮光的白色遮光板之形式。例如,可使用白色PVC材料。替代地,擋板可由可為裸色或米色的天然著色塑膠形成。圓頂蓋之下側的色彩應為實現本文中討論之目標的色彩,即應遮光且減少或消除影像中記錄之反射及偽影。
圖17亦顯示呈自終點偵測裝置500至晶圓1210的一系列線條A、B及C、及構成晶圓1210的光反射的自晶圓1210向外延伸回之線條的形式的影像/光路徑。反射光可接觸圓頂蓋2020之下側、室2000的側壁、位於室2000內的其他設備等。將可瞭解的是,線條A與晶圓之一邊緣相交;線條B與晶圓之中心相交且線條C與晶圓之另一邊緣相交。雖然線條B並未顯示反射路徑,但將瞭解到,接觸晶圓之中心的光線是朝向圓頂蓋之下側而反射離開晶圓。
如所示,自終點偵測裝置500至晶圓1210存在有多條光路徑,其 中光發射器(燈)510照射如本文中描述的樣本區域(例如,樣本區域可至少自晶圓之外緣至中心構成)。申請人已發現,在此位置中的終點偵測裝置500及本文中描述的其他特徵(諸如,遮光板2030)的位置明顯改良整個晶圓1210的光照均勻性。特定地,消除了相機、光及室圓頂蓋的反射。
圖18及圖19顯示一蝕刻室2100,其類似於本文中描述的其他蝕刻室,包括圖16及圖17中所示的蝕刻室2000,且因此,相同元件以相同編號標示。如在圖16及圖17之室2000中,系統2100中的終點偵測裝置500並不位於晶圓1210的中心上方的中心,而是終點偵測裝置500偏離蝕刻室2100的中心,且因此,偏離晶圓1210之中心。替代地,如圖18中所示,終點偵測裝置500沿著圓頂蓋2020之傾斜側壁2021定位,使得穿過終點偵測裝置500之軸線並不垂直於晶圓表面。換言之且根據至少一實施方式,中心地穿過終點偵測裝置500之縱向軸線與可旋轉夾盤之水平平面以不同於90度之角度相交,即如圖中所示。該角度可在約30度與約60度之間。例如,該角度可在45度與60度之間。此不同於典型佈置,在典型佈置中終點偵測裝置500之軸線垂直於晶圓表面。根據一或多個較佳實施方式,該圓頂蓋2020亦包括一操作窗口2022,其允許操作者檢視支撐在夾盤2010上之晶圓1210。
圖16及圖17的終點偵測裝置500亦較佳地構造成使光發射器510及光偵測器520得以共同定位者,藉此此二部分510、520皆位於裝置500內,而非形成為位於蝕刻室2000內部的不同位置處的分離裝置。
根據此實施例,該圓頂蓋2020的至少一部分之下側包括遮光板2030。可針對此目的而使用任何數目之不同材料,且遮光板2030定位成使室2000內部的光反射最小化,且因此在其中提供更均勻之光照。該遮光板2030可呈用於內部背景色彩以及外部遮光的白色遮光板之形式。例如,可使用白色PVC 材料。替代地,擋板可由可為裸色或米色的天然著色塑膠形成。圓頂蓋之下側的色彩應適於實現本文中討論之目標,包括遮光且減少或消除影像中記錄之反射及偽影。
圖17亦顯示呈自終點偵測裝置500至晶圓1210的一系列線條A、B及C、及構成晶圓1210的光反射的自晶圓1210向外延伸回之線條的形式的影像/光路徑。反射光可接觸圓頂蓋2020之下側、室2000的側壁、位於室2000內的其他設備等。將可瞭解的是,線條A與晶圓之一邊緣相交,線條B與晶圓之中心相交,且線條C與晶圓之另一邊緣相交。雖然線條B並未顯示反射路徑,但將瞭解到,接觸晶圓之中心的光線是朝向圓頂蓋之下側反射離開晶圓。
如圖所示,自終點偵測裝置500至晶圓1210存在有多條光路徑,其中光發射器(例如,燈)510照射如本文中描述的樣本區域(例如,樣本區域可至少自晶圓之外緣至中心構成)。申請人已發現,在此位置的終點偵測裝置500及本文中描述的其他特徵(諸如,遮光板2030)的位置明顯改良整個晶圓1210的光照均勻性。例如,消除了相機、光及室圓頂蓋之反射。
圖18及圖19顯示一蝕刻室2100,其類似於本文中描述的其他蝕刻室,包括圖16及圖17中所示的蝕刻室2000,且因此,相同元件以相同編號標示。如在圖16及圖17之室2000中,系統2100中的終點偵測裝置500並不位於晶圓1210的中心上方的中心,而是終點偵測裝置500偏離蝕刻室2100的中心,且因此,偏離晶圓1210之中心。替代地且如圖18中所示,終點偵測裝置500是沿著圓頂蓋2020之傾斜側壁2021定位,使得穿過終點偵測裝置500之軸線並未垂直於晶圓表面。例如,軸線與晶圓表面之水平平面之間的角度可為介於30度與60度之間(例如,45度至60度)的角度。
系統2000(圖17)與系統2100(圖18及圖19)之間的一個主 要差異在於:系統2100包括直接安置在晶圓1210上方的旋轉蓋盤2110。旋轉蓋盤2110的操作為熟習該項技藝者所熟知;然而,對於本發明之目的而言,由於旋轉蓋盤2110是作為來自晶圓1210的光所反射的元件,而使得其變得重要。
類似於圖17,圖19亦顯示呈自終點偵測裝置500至晶圓1210的一系列線條A、B、及構成自晶圓1210之光反射的自晶圓1210向外延伸回之線條的形式的影像/光路徑。反射光可接觸旋轉蓋盤2110的下側,以及某些情況下接觸接觸室2000的側壁、位於室2000內的其他設備等。如圖所示,自終點偵測裝置500至晶圓1210存在有多條光路徑,其中光發射器(燈)510照射如本文中描述的樣本區域(例如,樣本區域可至少自晶圓的外緣至中心構成)。正如系統2000那樣,在此位置中的終點偵測裝置500及本文中描述之其他特徵的位置在整個晶圓上明顯改良光照均勻性,且很大程度上消除了光偵測器520、光及旋轉蓋盤2110的反射。
此外,將終點偵測裝置500移至如圖16至圖18所示的偏離位置,產生了由終點偵測裝置500及本文中描述的其他系統處理之清潔器信號,且產生了經改良終點偵測結果。
例如,圖20顯示利用系統2000、2100中之一者來拍攝樣本(晶圓樣本)所獲得的影像,其由於本文中討論的光照及圓頂變化而顯現出改良的光照均勻性。相較於圖20及圖14B,應瞭解的是,圖20所示之改良的光照可藉由晶圓的可見度增大及反射消除來證明。圖21為顯示自晶圓的樣本1(晶圓邊緣處)至樣本10(中心處)(的反射光)的RGB(紅色/綠色/藍色)值之圖式。頂部線條表示藍色,中間線條表示綠色且下面線條表示紅色。
根據在一或多個實施方式,且如系統2000、2100所體現者,室殼體使用作為晶圓反射之受控制背景表面構造成,且可呈白色蓋下側(諸如面 板2330)的形式;或,在系統2100的情況下,旋轉盤2110的下側可為白色(或是不會反射光之裸色或米色)。另外,如本文中所討論,選定光偵測器(例如,相機)的位置,使得控制反射路徑以避開障礙物及臂之擺動。光偵測器(CMOS或CCD相機)及光源可共同定位,如在系統2000、2100中所示那樣。例如圖17之實施方式所示那樣,該圓頂蓋2020可為透明的,其中面板2030包含用於內部背景色彩以及外部遮光之白色遮光板(例如,可為白色塑膠材料)。
包括光偵測器520位置及共同定位之光源510的整個系統設定係設計成控制晶圓的光反射,使得所控制的光反射反射至圓頂蓋2020上,如本文中描述者,該圓頂蓋可為兩件式蓋之形式,以用於遮光及在濕式蝕刻室2000、2100的內部產生淺色背景。如圖17及圖19(光反射)所示,終點偵測裝置500被特定地定位,使得所有反射光線皆不與裝置500相交。更特定地,圖17及圖19中反射離開晶圓之反射光線不與裝置500相交,如圖所示,而是替代地,光線自受控制表面反射並與之相交(接觸),該表面在此情況下為蓋之下側或旋轉盤2110之下側。
分注臂
在已知配置中,位於蝕刻室內的分注臂具有白色,且不幸的是,當分注臂掃掠過晶圓1210時,此白色會使分注臂反射光。本發明解決了此一缺點,且在一或多個實施方式中,該分注臂形成為具有黑色(例如,啞黑色),當黑色分注臂掃掠過晶圓時,其明顯較不反射光。
檢視晶圓半徑
在一或多個實施方式中,晶圓的樣本區域略微偏離晶圓中心以避開分注臂的路徑及其反射,即如圖22所示。此可提供對晶圓的樣本區域較靠近晶圓中心或對齊晶圓中心的先前製程之改良。
本文中參考圖16至圖19所描述的特徵,使晶圓1210的較大百分比由於消除了反射區域而可得用。
終點偵測方法(實施例1)
如本文中描述,本申請案提供用於基材蝕刻的終點偵測之系統及方法,藉此允許精確地控制蝕刻製程。尤其,本系統及方法提供基材蝕刻之終點偵測,並使不完全基材蝕刻與過蝕刻最小化(例如,(焊料)凸塊底層金屬(UBM)蝕刻期間的底切)。使用單晶圓濕式蝕刻工具提供比先前蝕刻工具更佳的蝕刻均勻性,因為單晶圓濕式蝕刻工具允許進一步減少過蝕刻(及底切)。例如,對於使用單晶圓濕式蝕刻工具,在具有1500A場金屬的10um凸塊上之50%過蝕刻,底切將減小到2250A,從而導致大約9%的損失。然而,需要較佳蝕刻控制及更清晰界定的製程終點,以進一步最小化底切,尤其對於尺寸較小的基材。
因此,在一或多個實施例中,本系統及方法利用包含彩色電荷耦合裝置(CCD)偵測器或CMOS感測器(相機)之終點偵測裝置,以於蝕刻期間用於捕獲反射離開晶圓(例如,第一基材(參考基材)上)之多個位置的光。本發明之終點偵測允許較好之蝕刻控制且因此最小化的底切。在一或多個實施方式中,終點偵測裝置及CMOS或CCD相機可操作地連接至製程控制器705(參見圖10B),該製程控制器經構型以在蝕刻期間,判定晶圓上的每個各別位置處之反射光的色彩,並因而至少基於反射色彩來判定何時完成基材蝕刻或何時完成蝕刻。系統可利用由CMOS或CCD相機自基材的蝕刻擷取之色彩資料,以藉由修改蝕刻參數及/或蝕刻配方(包括臂掃掠輪廓)來更有效且高效地蝕刻後續基材。
圖12顯示用於終點偵測及使底切最小化之示例性系統1200。在 一或多個實施例中,圖12之系統1200為整合式晶圓蝕刻系統100之部分,且尤其是可整合至圖8中所示例之蝕刻站中。該系統1200包括終點偵測裝置,其特徵在於具有光偵測器520(例如,CMOS或CCD相機)及光發射器或光源510。在圖12中所示之實例的實施方式中,光源510與光偵測器520分離設置。然而,如圖8中所示,在至少一個實施例中,光源510及光偵測器520可位於相同的設備上。光源510及光偵測器520可操作地連接至製程控制器705(圖10B)。因此,執行一或多個軟體模組730(包括終點偵測模組782)之製程控制器705的處理器710組態成在蝕刻製程期間得以操作及定位光源510及光偵測器520,以隨時間推移監控偵測到之晶圓色彩變化。在圖12中,相機視場由線條CF內勾勒之區域識別。分注臂之臂擺動區域由區域SA勾勒。
該光偵測器520(例如,CMOS或CCD相機)經構型以預界定之速度在蝕刻製程期間將影像流供應給執行終點偵測模組182之處理器710。在一或多個實施例中,終點偵測模組182使用參考晶圓以基於晶圓上的樣本區域(較佳為不同徑向位置處之複數個樣本位置)處之可見光(紅色、藍色、綠色)的三個波長強度,隨蝕刻時間來建立色彩變化曲線(圖)(由於經蝕刻晶圓相比於原生蝕刻前晶圓具有不同色彩分佈)。經蝕刻參考晶圓之色彩特性隨後被用作判定隨後經蝕刻晶圓是否已達到蝕刻製程之末端(亦即,終點)的參考。換言之,在適當地蝕刻初始晶圓之後,在多個樣本位置處分析經蝕刻晶圓之色彩(或特定地,反射之色彩值)以建立參考(基準)色彩資訊。接著,該資訊用於評估後續晶圓是否已被蝕刻至適當終點。例如,製程在蝕刻時間週期內分析並比較後續經蝕刻晶圓之色彩與參考(基準)晶圓之色彩。同樣地,在隨後經蝕刻晶圓上產生曲線,並比較該等曲線與參考曲線。接著,執行終點偵測模組182之處理器710判定正經處理之晶圓何時達到參考檔案曲線上的期望點(亦即, 終點),其將於下文中進一步詳細解釋。
該系統1200進一步包括臂擺動(臂掃掠)1205、待蝕刻的基材或晶圓1210及晶圓上的樣本位置1215。臂掃掠速度及加速度可用於以徑向方式判定及/或控制所分注蝕刻劑之相對留置時間。較長的留置時間相當於晶圓上的彼點處的較快蝕刻速率處理。如本文中所使用,「樣本位置」指晶圓上的使用者界定區域,如由CMOS或CCD相機520提供的晶圓影像中所示。晶圓在給定時間週期之影像或「圖框」(亦即,每一圖框為視訊中包含之靜態影像)可經由製程控制器705(圖10B)之顯示器740上所示的圖形使用者介面(GUI)提供給使用者,其將於下文中進一步詳細顯示及解釋。如本文中所使用,樣本位置可包括樣本位置內之多個「樣本」(例如,待分析晶圓之點或區域)。此類多個「樣本」可在顯示器上以圖形方式界定,諸如藉由樣本位置內的晶圓影像上之方形來界定。使用同時分析之多個樣本(例如,沿著一個晶圓之表面的多個點或區域)提供了優於先前系統之改良。分析色彩資訊及多個樣本(例如,晶圓上之多個樣本區域)隨蝕刻時間之色彩變化方式並將其相比於參考色彩資訊,參考色彩資訊可儲存為一或多個檔案且包括參考(基準)晶圓隨蝕刻時間之色彩變化及參考晶圓在已經歷蝕刻且達到期望目標終點之後的最終色彩資訊。因此,在晶圓之蝕刻輪廓等於目標蝕刻輪廓時,表示達到了蝕刻終點且藉由晶圓在蝕刻時之偵測到的色彩變化來表示終點,藉此在至少樣本位置中之經蝕刻晶圓的色彩資訊匹配或至少實質上匹配(配準或處於容限值內)基準(參考)經蝕刻晶圓之所儲存色彩資訊時,則達到終點。
現轉至圖13,其流程圖說明根據本文中揭示之至少一個實施例的用於偵測晶圓蝕刻(例如,晶圓濕式蝕刻)終點之常式1300。下面參考UBM蝕刻來描述常式1300;然而,應理解,終點偵測常式1300可用於蝕刻其他類型 之基材(例如,RDL基材)。亦應瞭解,可執行比附圖中所示且本文中所描述更多或更少之操作。此等操作亦可以不同於本文中描述之次序來執行。
該製程在步驟1305處開始,其中執行一或多個軟體模組730(較佳地包括終點偵測模組782)的處理器710使製程控制器705被構型以選擇參考晶圓(基準晶圓)之樣本。如前述,樣本位置為晶圓上的使用者界定區域,如由CMOS或CCD相機520提供的晶圓影像中所示,且樣本位置包含樣本位置內的多個樣本(「1+n」個樣本)。特定基材之樣本位置中的樣本可為晶圓表面上的多個點(例如,6至15個點),且可由製程控制器藉由預設值或由使用者予以界定。如圖14A至圖14B所示,此等多個樣本可藉由晶圓影像上的方形來界定。更特定地,經由顯示器740上所顯示之圖形使用者介面(Graphical User Interface,GUI),使用者可選擇待分析晶圓上的樣本位置以及樣本位置內的樣本數目。用於監控與終點偵測軟體模組182相關聯之終點偵測的示例GUI係顯示於圖14A。如圖14A所示,使用GUI,使用者可選擇樣本位置及位置內的樣本數目(顯示於1405)。樣本位置及選定之樣本亦顯示於基材的影像中(右上)。顯示樣本位置及15個樣本的基材之示例影像係顯示於圖14B。
在一或多個實施例中,整個樣本位置通常為矩形,如圖14A及圖14B所示。為判定個別樣本,可均勻細分整個樣本區域以建立表示每個樣本的框。整個樣本位置可水平(圖14B)、垂直(參見圖14I)或對角地細分,此取決於晶圓影像上的整個樣本位置的取向。
在步驟1310,執行一或多個軟體模組730(較佳地包括終點偵測模組782)的處理器710將製程控制器705加以構型,以使光發射器510將光發射至參考基材的至少一部分表面(包括整個樣本位置)上並使光偵測器520偵測該部分特定基材所反射之光的色彩。較佳地,該光偵測器520為CMOS或CCD 偵測器(相機),但亦可使用其他替代光偵測器。在蝕刻參考基材時,執行步驟1310。基於由基材所反射及由偵測器520所偵測(捕獲)的光來產生光資訊。該偵測器520經構型以經由影像將所偵測到的反射光資訊傳輸至製程控制器705,其將於本文中進一步描述。
該光發射器510將可見光(例如,高強度LED、彩色高強度光等)發射至基材上,此增強由基材所反射的光特徵。光特徵包括製程控制器所偵測且監控之光的一或多個特定波長強度。例如,在偵測晶圓中的UBM蝕刻終點時,光特徵可包括光的三個波長(藍色、紅色及綠色)。為確保由偵測器520更準確地偵測光特徵,所發射光通常係一致的,且偵測器520通常係靜止的。另外,系統的其他態樣有時可在偵測器520捕獲之影像中引起干擾,諸如由設備(例如,臂)引起的陰影及由蝕刻劑所引起的飛濺反射。因此,可使用其他可選特徵來限制影像中的干擾,諸如多個光源(例如,以消除陰影)及一或多個光漫射器(例如,以消除亮點)。此外,在至少一個實施例中,發射器510及/或偵測器520可包括一或多個濾光器,諸如紅色濾光器(IR濾光器),以調整所發射及/或偵測之光的特性。在一或多個實施例中,可將所偵測到之反射光進行平均,以減少由於來自基材上的流體層的雜訊及變形引起的變化。然而,不需要此類濾光器。
在步驟1315,執行一或多個軟體模組730(較佳地包括終點偵測模組782)的處理器710使製程控制器705被構型以分析參考晶圓隨時間推移之光資訊。在一或多個實施例中,使用者可經由顯示器740處展示之GUI來監控光資訊的分析。如前述,特定基材之樣本位置中的樣本可為晶圓表面上的多個點且可由製程控制器藉由預設值或由使用者予以界定。複數個點(樣本)可各自對應於CMOS或CCD偵測器520的一或多個像素。對於判定蝕刻完成,每個 樣本具有其自身的終點。換言之,在所有15個樣本皆完成蝕刻製程(亦即,達到每個樣本的終點)之前,製程不會結束,此有助於消除不完全晶圓蝕刻。例如,返回參考圖14A及圖14B,在此實例中,基材樣本位置具有15個樣本,且15個樣本中之每一者具有其自身的蝕刻(例如,UBM蝕刻)終點。在此實例中,樣本以1cm(10mm)間隔自晶圓的中心向外線性分佈至150mm。因此,實時地同時評估15個樣本中之每一者以判定蝕刻終點,而非以單個樣本來判定製程結束。
雖然許多圖說明呈沿著相同線條、不同半徑的點之形式的樣本,但應瞭解,本發明的系統組態成使得操作者可取得並非在一個線條上(或連續)的不同半徑的點以避開障礙物、陰影、反射。例如,使用者可自15個(或其他數目)同心分段環中之每一者來選擇1方形或弧形段。替代地,此可如同GUI上的曲線繪製,接著藉由軟體變成各段。
更特定地,當發生參考基材蝕刻(例如,UBM蝕刻)時,偵測器相機520經由偵測器520捕獲之影像或圖框來收集每個樣本的光資料。在每個圖框或影像中,製程控制器705經構型以分析每個樣本的光資料以判定每個樣本處的反射光之色彩變化。製程控制器經構型以辨識出特定色彩變化指示蝕刻終點。根據本方法,製程控制器必須辨識出所有樣本的此特定色彩變化,以指示基材蝕刻完成。當製程控制器705判定所有樣本的終點(亦即,判定蝕刻完成)時,製程控制器705經構型以使蝕刻控制器關閉噴嘴,使得蝕刻劑不再被分注在晶圓上。
製程控制器705準確判定每個樣本終點的能力取決於系統的圖框擷取速率。例如,具有每秒一次之圖框擷取的30秒製程之可重複性不可超過1秒(1/30)或3.33%。然而,將圖框擷取速率增大至5赫茲將此可重複性降低至 0.67%。因此,若通信速率為1赫茲,則此步驟上之可重複性計算為處理時間的3.33%。
藉由將通信速率增大至10Hz,將降低至(0.1/30)或0.33%可重複性。例如,此等變化將可重複性自處理時間的6.67%降低至1%。因此,增大之圖框擷取速率允許系統在系統已判定所有樣本處達到蝕刻終點(亦即,蝕刻完成)之後儘可能較快地結束蝕刻製程。同樣重要的是,增大之圖框擷取速率允許系統以更一致之蝕刻時間來結束蝕刻製程。
如圖14A及圖14B所示,該製程控制器705經構型以針對指示蝕刻終點的特定色彩變化,同時分析樣本位置中的每個樣本。在此實例中,15個樣本中之每一者必須指示指定色彩變化,之後認為蝕刻完成。該製程控制器705經構型以分析由偵測器520捕獲之每個圖框中的每個樣本的光資料,而判定何時在每個樣本處發生特定色彩變化。步驟1315的分析在參考晶圓的蝕刻製程的整個持續時間內發生。一旦每個樣本指示特定色彩變化(亦即,蝕刻完成),執行一或多個軟體模組730(較佳地包括蝕刻製程模組776)的處理器可自動經構型以停止蝕刻製程(亦即,停止噴嘴分注蝕刻劑)。
在步驟1315的分析中,該製程控制器705亦被構型以基於時間的方式來記錄每個樣本的終點。換言之,該製程控制器705組態成在蝕刻製程開始之後記錄在每個樣本處發生指定色彩變化(亦即,每個樣本的終點)時的特定時間(以秒為單位)。接著,可在GUI中以圖形形式顯示基材的每個樣本的終點,如圖14C所示。如圖14C所示,示例基材的15個樣本中之每一者的終點介於大約25秒與大約40秒之間。在GUI中亦可以圖形形式來記錄及顯示在蝕刻持續時間內在每個樣本處所偵測到的色彩,如圖14D所示。
另外,在一或多個實施例中,可針對每個個別樣本以圖形形式來 記錄蝕刻持續時間內的光資料(色彩變化資料)。圖14E顯示示例基材的每個樣本的色彩變化資料圖的實例。類似於圖14C所示之唯一線條圖,圖14E中說明的基材之每個樣本的線條圖指示在大約25秒至大約40秒範圍內發生的每個樣本的色彩變化(亦即,終點)。
該製程控制器705亦可經構型以基於來自偵測器520所捕獲影像的光資料(色彩變化資料)來建立其他類型的圖式。例如,如圖14F及圖14G所示,在分析步驟1315中,製程控制器705可經構型以建立顯示所有樣本在蝕刻前(圖14F)及蝕刻後(圖14G)所偵測到之色彩(及每個色彩量)的線條圖。類似地,該處理器710可經構型以建立顯示每個個別樣本在蝕刻前及蝕刻後所偵測到之色彩(例如,RGB)的個別線條圖,如圖14H所示。特定地,圖14H顯示在示例基材的樣本「2」處的蝕刻前及蝕刻後之所偵測色彩及其各別值。
在步驟1320,執行一或多個軟體模組730(包括終點偵測模組782)的處理器710使製程控制器705經構型以基於參考晶圓的所分析光資訊來產生用於後續待蝕刻(新)晶圓的蝕刻參數。另外,在至少一個實施例中,製程控制器705亦可使用保存在資料庫785中有關先前經蝕刻基材之任何歷史資料來產生蝕刻參數。在一或多個實施例中,蝕刻參數包括特定針對於基材之每個樣本的參數。每個樣本的蝕刻參數可包括最小終點、最大終點、預測終點及參考終點。
在一或多個實施例中,最小終點可為在參考基材之樣本中觀察到的最短終點。類似地,在一或多個實施例中,最大終點可為在參考基材之樣本中觀察到的最長終點。如圖14A之實例GUI螢幕中所示,示例基材的最小終點為15秒,且基材之最大終點為45秒。預測終點係基於當時可用資料的新待蝕刻晶圓的估計終點。處理器可基於與終點偵測模組782相關聯之演算法(例如, 曲線擬合演算法)來判定預測終點。在判定預測終點時,演算法可併入有關參考晶圓的資料、新待蝕刻晶圓的進行中蝕刻資料(例如,新晶圓的物理特性)及有關先前蝕刻晶圓的任何歷史資料。新晶圓的每個樣本具有其自身的預測終點。最後,每個樣本的參考終點為在對應樣本位置處的參考晶圓的實際終點。
在作為步驟1320之部分的一或多個實施例中,基於自參考晶圓收集到之光資料,製程控制器705亦可經構型以調整新待蝕刻基材的蝕刻配方,包括臂掃掠輪廓。此調整使得在預期目標時間週期(自參考晶圓之蝕刻所判定)內實現蝕刻終點。如本文中所討論,參考晶圓被用作其他後續晶圓之導引物,後續晶圓具有與參考晶圓相同之晶圓特性且待蝕刻至相同之深度及蝕刻圖案。
在步驟1325,執行一或多個軟體模組730(包括終點偵測模組782)的處理器710使製程控制器705經構型以選擇新晶圓的樣本。在一或多個實施例中,處理器710可使製程控制器經構型以自動選擇待蝕刻晶圓中樣本的數目及位置,使得其與參考晶圓的樣本對應。在至少一個實施例中,步驟1325可與步驟1320同時執行或在後者步驟之前執行。
在作為步驟1325之部分的一或多個實施例中,該製程控制器經構型以基於GUI中之使用者輸入來改變樣本的數目及/或位置。例如,在基於使用者輸入的至少一個實施例中,製程控制器可經構型以藉由禁用某些樣本來減少經由偵測器520監控以用於終點偵測的樣本數目。在待禁用的樣本未針對對應參考晶圓或其他先前經蝕刻晶圓提供準確資料(例如,由於偵測器520的阻斷或干擾)的情況下,可採用此特徵。例如,在參考晶圓的15個樣本之中的3個未指示在實際完成蝕刻之後的數秒以前已達到蝕刻終點的場景下,可針對新待蝕刻晶圓禁用彼等3個樣本的終點偵測。因此,該製程控制器705分析12個啟用樣本的光資料。因此,當所有12個啟用樣本皆指示已達到終點時,彼表示 已完成蝕刻,且製程控制器705接著經構型以停止分注蝕刻劑。因此,在此場景下禁用此等3個樣本將更準確地判定何時達到蝕刻終點。
在一或多個實施例中,該製程控制器705可經構型以經由警報來透過GUI向使用者警告終點偵測錯誤。特定地,在啟用樣本的預測終點較早於最小終點的情況下,忽略最小終點並繼續蝕刻。然而,在啟用樣本的預測終點晚於最大終點的情況下,停止蝕刻,沖洗及乾燥晶圓,且製程控制器可經構型以成產生警報來警告使用者預測終點可能不正確。
在步驟1330,執行一或多個軟體模組730(包括終點偵測模組782)的處理器710使製程控制器705經構型以使光源(發射器)510將光發射至新待蝕刻晶圓上並使偵測器(相機)520偵測新晶圓的反射光。如前述,以相同於針對參考晶圓執行的步驟1310之方式來針對新晶圓執行步驟1330。
應注意,在一或多個實施例中,製程控制器705可經構型以基於GUI中的使用者輸入,針對所有樣本將處理時間(以及,「過蝕刻」)延長超過預測終點持續選定時間量(例如,秒)。處理時間的延長被稱為「過處理時間」。設定過處理時間可有助於防止發生不完全蝕刻,因為蝕刻將持續超過預測蝕刻終點的選定時間量。換言之,此特徵允許系統過蝕刻晶圓超過所有樣本的偵測終點之點,以確保無不完全蝕刻。應注意,在一或多個實施方式中,延長的處理時間允許完全蝕刻大部分晶圓,但對於部分具有緊密幾何形狀(亦即,蝕刻劑難以蝕刻的區域)的晶圓,可能需要額外的處理時間來完全蝕刻彼等緊密幾何區域。例如,如圖14I及圖14J中之示例GUI的螢幕截圖中所示,過處理時間設定為15秒。
在步驟1335,執行一或多個軟體模組730(包括終點偵測模組782)的處理器710使製程控制器705經構型以分析由偵測器520所捕獲的有關待蝕刻 新晶圓之光資訊。步驟1335係針對待蝕刻新晶圓以實質上相同於步驟1315針對參考晶圓執行的方式來執行。特定地,隨著發生參考基材的蝕刻,偵測器相機520經由偵測器520所捕獲的影像或圖框來收集每個樣本的光資料。在每個圖框或影像中,製程控制器經構型以同時自偵測器520捕獲光資料並分析每個啟用樣本的光資料,以判定每個樣本的反射光之色彩變化。該製程控制器進一步經構型以辨識出特定色彩變化指示蝕刻終點。正如參考晶圓,該製程控制器必須辨識出所有啟用樣本的特定色彩變化,以指示新晶圓蝕刻完成。
在步驟1335期間,使用者可經由製程控制器705的顯示器740上之GUI來監控光資訊的分析。返回參考圖14A,使用者可監控待蝕刻晶圓上的每個樣本的終點。例如,該製程控制器705經構型以分析光資料,並產生顯示在蝕刻過程中特定樣本處的反射光之色彩變化的圖式。如圖14A所示,該圖亦可顯示預測終點、參考終點、最小終點及最大終點。因此,使用者可於視覺上比較特定樣本的實際終點與特定樣本之預測、參考、最小及最大終點。試驗資料相對於參考資料之類似度以數字方式表示為「匹配收斂」。零的匹配收斂為試驗資料與參考資料之間的完美匹配;然而,若試驗資料變成更不類似於參考資料,則匹配收斂數目增加。匹配收斂可用於向使用者指示目前晶圓不類似於參考晶圓。
在步驟1340,在蝕刻新晶圓之後,執行一或多個軟體模組730(包括終點偵測模組782)的處理器710使製程控制器705經構型以比較經蝕刻新晶圓的光資料(例如,每個樣本的終點)與參考晶圓之光資料。
基於步驟1340中之比較,在步驟1345,執行一或多個軟體模組730(包括終點偵測模組782)的處理器710使製程控制器705經構型以修改用於後續待蝕刻晶圓的蝕刻參數。例如,基於來自新蝕刻晶圓與參考晶圓的光資 料之比較,製程控制器705可經構型以修改用於未來蝕刻的參數,諸如臂掃掠輪廓(例如,速度、加速度、rpm)。在至少一個實施例中,在修改包括後續待蝕刻晶圓之物理特性(例如,後續晶圓的大小、形狀)的蝕刻參數時,亦要考慮其他因素。
在步驟1340的至少一個實施例中,製程控制器705可經構型以建立已知蝕刻製程之蝕刻資料庫及在半徑上的每個點處的對應蝕刻深度。可藉由使用終點系統及/或經由外部量測來產生此資料庫。使用如前述的蝕刻配方及蝕刻參數,此特徵可追蹤在特定晶圓的每個樣本處達到終點所需的時間。自每個點處的已知蝕刻速率及每個樣本的已知完成時間(終點),該製程控制器705可經構型以反演計算晶圓上的每個點處的膜厚度。接著,可使用膜厚度來建立匹配晶圓深度輪廓的最佳蝕刻配方。接著,此配方可用於下一晶圓。在替代實施例中,期望的蝕刻深度被用作每個樣本位置的設定點。接著,製程控制器經構型以使用所建立蝕刻資料庫來建立用於蝕刻目標輪廓之配方。
如圖15B之圖中所示,本系統及方法(WaferChekTM)(且特定為前面討論的終點偵測方法)有助於最小化經蝕刻UBM晶圓中的底切(過蝕刻),同時亦防止晶圓的不完全蝕刻。此外,圖15C顯示不同厚度的示例晶圓之表格,其中藉由限制膜厚度及消除過蝕刻,可針對較小的幾何特徵維持蝕刻之後的底切量。
終點偵測方法(實施例2)
如前述,本申請案提供用於基材蝕刻之終點偵測,藉此允許精確地控制蝕刻製程之系統及方法(參見實施例1)。尤其,本系統及方法提供基材蝕刻之終點偵測,及最小化不完全基材蝕刻與過蝕刻(例如,(焊料)凸塊底層金屬(UBM)蝕刻期間的底切)。使用單晶圓濕式蝕刻工具提供比先前蝕刻 工具較佳的蝕刻均勻性,因為單晶圓濕式蝕刻工具允許進一步減少過蝕刻(及底切)。例如,對於使用單晶圓濕式蝕刻工具,在具有1500A場金屬的10um凸凸塊上之50%過蝕刻,底切將減小到2250A,從而導致大約9%的損失。然而,需要較佳蝕刻控制及更清晰界定的製程結束以進一步最小化底切,尤其對於尺寸較小的基材。
因此,在一或多個實施例中,本系統及方法利用包含彩色電荷耦合裝置(CCD)偵測器或CMOS感測器(相機)之終點偵測裝置,該偵測器或感測器用於在蝕刻期間捕獲反射離開第一基材(參考基材)上之多個樣本位置的光。該終點偵測允許較好之蝕刻控制且因此最小化底切。該終點偵測裝置及CMOS或CCD相機可操作地連接至製程控制器705(參見圖10B),該製程控制器經構型以在蝕刻期間判定晶圓上之樣本位置的每一樣本處之反射光的色彩,且接著基於反射之色彩判定基材蝕刻何時完成。接著,該系統可利用由CMOS或CCD相機自基材蝕刻擷取之色彩資料,以藉由修改蝕刻參數及/或蝕刻配方(包括臂掃掠輪廓)來更有效且高效地蝕刻後續基材。
此替代終點偵測系統及方法(實施例2)類似於前述實施例1之終點偵測系統及方法,且因此具有類似的元件。如前所述,第二實施例(實施例2)為第一實施例(實施例1)之擴展。因此,終點偵測系統及方法包括本文中關於實施例1所討論之相同或類似組件。
例如,第二實施例之終點偵測系統可包括光偵測器520(例如,CMOS或CCD相機),其經構型以在蝕刻製程期間以預界定速度,將影像流供應至執行終點偵測模組182之處理器710。在一或多個實施例中,該終點偵測模組182使用參考晶圓以基於晶圓上的樣本區域(較佳為不同徑向位置處之複數個樣本位置)處之可見光(紅色、藍色、綠色)的三個波長強度,隨蝕刻時間 來建立色彩變化曲線(圖)(由於經蝕刻晶圓相比於原生蝕刻前晶圓具有不同色彩分佈)。因此,經蝕刻參考晶圓之色彩特性隨後被用作判定隨後經蝕刻晶圓是否已達到蝕刻製程之末端(終點)的參考。換言之,在適當地蝕刻初始晶圓之後,在多個樣本位置處分析經蝕刻晶圓之色彩以建立參考色彩資訊,接著,藉由分析並比較後續經蝕刻晶圓之色彩與參考晶圓之色彩,該資訊用於評估後續晶圓是否已被蝕刻至適當終點。同樣地,在隨後經蝕刻晶圓上產生曲線,並比較該等曲線與參考曲線。接著,執行終點偵測模組182之處理器710判定正經處理之晶圓何時達到參考檔案曲線上的期望點(「終點」),其於下文進一步詳細解釋。
終點偵測系統與第二實施例之方法之間的主要差異在於,所產生RGB資料(如參考第一實施例前述)被轉換成不同色彩方案,且更特定地,RGB資料被轉換成HSV(色度、飽和度、亮度)且如前述,接著被用於經改良之終點偵測。
如所熟知,RGB(紅色、綠色、藍色)色彩模型為熟知之已知色彩模型,且依據三個分量界定色彩空間,亦即:範圍介於0至255之紅色;範圍介於0至255之綠色及範圍介於0至255之藍色。RGB色彩模型為一種加法模型。換言之,紅色、綠色及藍色值(稱為三原色)經組合以再現其他色彩。例如,色彩「紅色」可表示為[R=255,G=0,B=0],「紫色」可表示為[R=238,G=130,B=238]等。
HSV(色度、飽和度、亮度)值提供RGB色彩模型之替代表示,其設計於20世紀70年代,而與人類視覺感知色彩屬性的方式更加接近。在此等模型中,每一色度之色彩皆圍繞中性色之中心軸線以放射狀配置,該中心軸線之範圍自底部(0)之黑色至頂部(255)之白色。HSV表示模型化不同色彩之 塗料混合在一起之方式,其中飽和度維度類似於鮮豔色彩之塗料的各種色輝,且亮度維度類似於彼等塗料與不同量之黑色或白色塗料之混合。因此,HSV色彩模型依據三個構成分量界定色彩空間:(1)色度為色彩類型(諸如紅色、藍色或黃色)且在大多數應用中範圍介於0至360°。每一值對應於一種色彩:0為紅色,45為橙色且55為黃色。第二分量、飽和度為色彩之強度且範圍介於0至100%,其中0意指無色彩,亦即介於黑色與白色之間的灰色,且100意指強烈的色彩。第三分量、亮度(亦稱為明度)為色彩之明度且範圍介於0至100%(0為始終黑色;取決於飽和度,100可為白色或或多或少的飽和色)。
HSV模型為RGB色彩空間之非線性變換。換言之,色彩並不界定為原色之簡單組合(加法/減法),而是數學上的變換。
根據該終點系統及方法(第二實施例),使用RGB至HSV轉換公式將根據前述終點偵測系統及方法(實施例1)所產生之RGB資料轉換成HSV。RGB至HSV之轉換公式涉及以下步驟:將R、G、B值除以255以將範圍自0...255(RGB資料值)變化至0...1(HSV資料)。此等步驟可表示為:(1)R'=R/255;(2)G'=G/255;且(3)B'=B/255。
在一或多個實施中,一處理器(例如,處理器710)執行軟體指令,該等軟體指令使該處理器以執行一或多個演算法來判定R'、G'及B'之最大值以及R'、G'及B'之最小值,並將彼等值分別表示為Cmax=max(R',G',B')及Cmin=min(R',G',B')。此外,處理器用以計算該等值(亦即,Cmax及Cmin值)之間的差並將差表示為△=cmax-cmin
在一或多個實施中,該處理器(例如,處理器710)執行一或多個軟體指令而以下述方式計算色度:
色度計算:
Figure 109138896-A0202-12-0048-1
此外,該處理器(例如,處理器710)執行一或多個軟體指令而以下述方式計算飽和度:
飽和度計算:
Figure 109138896-A0202-12-0048-2
此外,該處理器(例如,處理器710)執行一或多個軟體指令而以下述方式計算亮度:
亮度計算:
V=Cmax
實例
以下為一處理器(例如,處理器710)根據演算法執行一或多個軟體指令之實例的實施方式,在該演算法中,使用本發明之終點偵測所獲得之RGB資料被轉換成HSV資料。將瞭解到,以下步驟僅為示例性步驟,供用以於視覺上說明如何執行轉換程序。
在第一步驟中,將紅色1、綠色1及藍色1自0至255(RGB資料)縮放至0至1(經HSV轉換資料)。
將紅色1、綠色1及藍色1自0至255縮放至0至1:
Figure 109138896-A0202-12-0048-3
在此實例中,藉由將紅色1值除以255,處理器710將為61.1之紅色1(RGB)值轉換至0.239608之HSV值R',即如上文所示。處理器將為89.9之綠色1(RGB)值轉換為0.352549之G'(經HSV轉換資料),且將193之藍色1(RGB)值轉換為0.756863之B'(經HSV轉換資料),即如上文所示。
此後,該處理器(例如,處理器710)識別R'、G'及B'中之最大值及最小值,其中Cmax為0.756863(B')且Cmin為0.239608(R')。
r'、b'、g'之所識別最大值及最小值為:
Figure 109138896-A0202-12-0049-4
此後,該處理器計算Cmax與Cmin之間的增量(亦即,差),如下面所闡述且展示於標記為「增量」之行中。在本實例中且如下所示,增量為0.517255:
Figure 109138896-A0202-12-0049-5
繼續上文實例,該處理器(例如,處理器710)識別包含Cmax之樣本通道,且將通道表示為整數,諸如紅色=1、綠色=2及藍色=3。在本實例中,B'為Cmax且被表示為整數3。該整數可由處理器在嵌套「if」語句中使用以計算色度,如本文中所示及描述。
Figure 109138896-A0202-12-0050-6
如下面所示,本實例中之Cmax值位於單元格J2(亦即,行J,列2)。
Figure 109138896-A0202-12-0050-7
若所有通道皆為零,則該處理器將飽和度值計算為0,否則,將增量值(本文中所描述)除以Cmax值,如下所示。
Figure 109138896-A0202-12-0050-8
繼續本實例,該處理器基於行M、通道編號及上文所闡述之各別公式來依據嵌套「if」語句計算色度:
Figure 109138896-A0202-12-0050-9
如上文所示且結合本實例,該處理器將飽和度及亮度表示為百分比值(亦即,值介於0至100之間的%),而將色度表示為角度(0至360度)。
因此,如本文所示及描述者,將RGB值轉換成HSV。
下表包括驗證本發明之終點偵測製程的目標,且特定地,驗證作為終點偵測方案之部分的RGB資料至HSV資料之轉換的有效性的資料。在下表中,左半部上之資料為展示各種常見色彩以及其可接受RGB及HSV資料之 參考資料。例如,對於紅色,可接受RGB資料為(255,0,0)且HSV資料為(0°,100%,100%)。表格之右半部中展示RGB至HSV轉換軟體之各種測試及實施的結果。如所示,測試結果匹配表格之左半部上之參考資料,且因此,驗證了終點偵測假定及使用自RGB資料轉換之HSV資料監控蝕刻期間之晶圓色彩變化的能力。
Figure 109138896-A0202-12-0051-11
因此,RGB資料至HSV資料之間的前述數學轉換被驗證為用於在不同色彩之間進行區分的手段,且在本申請案中,此在偵測及分析晶圓色彩以及隨著晶圓被蝕刻晶圓色彩如何變化之上下文中。
如本文中所述,晶圓之偵測到之色彩資料可作為視訊檔案儲存於記憶體中。如前所述,在蝕刻製程之前、期間及之後同時分析每一晶圓之樣本(用於分析之選定位置/區域)的色彩變化,且此等影像及資料可被儲存於包括視訊檔案以及一或多個資料檔案之一或多個檔案中。
圖23說明與複數個樣本相關聯的諸圖,該複數個樣本與具有頂部氧化物層之8吋晶圓的第一視訊檔案相關聯。所說明樣本1至12對應於分析了色彩值及色彩變化的沿著晶圓之樣本位置。針對此等樣本中之每一者所示之圖具有為時間度量(以秒為單位之經過時間)之X軸,而Y軸為RGB整數值(0 至255)之度量。因此,在各別圖式中表示出色彩相較於時間。在圖23中,晶圓未被蝕刻,且因此,隨時間推移在樣本處未偵測到色彩變化。替代地,圖23所闡明之圖係顯示出僅依賴於RGB值(資料)之終點偵測方案的潛在缺陷。藉由審查樣本11及樣本12之圖式,可易於理解此等缺陷。在樣本11及樣本12兩者中,記錄了一系列間隔開之尖峰;然而,此等尖峰表示錯誤量測值。尤其,樣本11具有因臂運動(臂通常具有白色色彩)引起之反射,且此等反射為此等尖峰之原因。樣本12亦具有反射,且亦可能存在臂遮擋(亦即,臂阻擋樣本位置(部位))。
圖24A為圖23中所示之樣本1之圖的放大圖,且更特定地,為來自第一視訊檔案之樣本1(同樣未發生蝕刻)在一時間週期內的RGB值之圖。因此,樣本1處之色彩由一組RGB資料(0至255)表示及界定,其中頂部線條表示藍色值(藍色1),中間線條表示綠色值(綠色1),且底部線條表示紅色值(紅色1)。圖24B為自圖24A之RGB資料產生的HSV值(資料)之圖,且因此為樣本1在一時間週期內之HSV值的圖。顯而易見的是,圖24B中之色度值隨時間推移相當恆定。此在圖24C中更易於看出,該圖僅描繪樣本1之色度及飽和度值(亮度(V)資料已被消除)。
因此,色度隨時間推移並不發生變化,且因此在此實例(樣本1)中,色度為比RGB資料更穩定之晶圓色彩表示。樣本1至10具有類似結果。
圖25A為來自圖23之樣本11之圖的放大圖,尤其,為來自第一視訊檔案之樣本11(同樣未發生蝕刻)在一時間週期內之RGB值的圖。如前所述,樣本11存在數個缺陷(偽影),在臂擺動(例如,自擺動臂反射光)時,該等缺陷以RGB振幅變化之形式表現出來(參見圖25A)。由於臂之反射,RGB值因一系列間隔開之尖峰(振幅變化)而失真。圖25B為自圖25A之RGB資料 產生的HSV值(資料)之圖,且因此為樣本11在一時間週期內之HSV值的圖。顯而易見的是,圖25B中之色度值隨時間推移相當恆定。此在圖25C中更易於看出,該圖僅描繪樣本11之HS值(亮度(V)資料已被消除)。如可看出者,在查看樣本11之色度時,RGB中之尖峰被有效濾除。同樣,在此情況下,色度為較好的色彩表示。干擾(反射光)移動至亮度及飽和度通道,其與穩定之色度通道相反。因此,對於晶圓之每一樣本(在此情況下為樣本11),轉換至HSV提供了較可靠之色彩資訊。
圖26A為來自圖23之樣本12之圖的放大圖,且更特定地,為來自第一視訊檔案之樣本12(同樣未發生蝕刻)在一時間週期內之RGB值的圖。如前所述,樣本12存在數個缺陷(偽影),在臂擺動(例如,自擺動臂反射光及/或存在臂遮擋)時,該等缺陷以RGB振幅變化之形式表現出來(參見圖26A)。樣本12中之干擾甚至大於樣本11中之干擾。由於臂之反射及/或臂遮擋,RGB值因一系列間隔開之尖峰(振幅變化)而失真。圖26B為自圖26A之RGB資料產生的HSV值(資料)之圖,且因此為樣本12在一時間週期內之HSV值的圖。顯而易見的是,圖26B中之色度值隨時間推移相當恆定。此在圖26C中更易於看出,該圖僅描繪樣本12之HS值(亮度(V)資料已被消除)。如可看出者,在查看樣本12之色度時,RGB中之尖峰被有效濾除。同樣,在此情況下,色度為較好的色彩表示。干擾(反射光)移動至亮度及飽和度通道,其與穩定之色度通道相反。因此,對於晶圓之每一樣本(在此情況下為樣本12),轉換至HSV提供了較可靠之色彩資訊;然而,對於樣本12,甚至色度亦不完全穩定。懷疑是在樣本方形上平均化時,一些遮擋會產生色彩變化,從而即使濾除了來自反射之光振幅,仍會影響色度。
圖27說明與複數個樣本相關聯的圖,該複數個樣本與具有頂部 氧化物層之8吋晶圓的第二視訊檔案相關聯。第二視訊檔案之所說明樣本1至12對應於分析了色彩值及色彩變化的沿著晶圓之樣本位置。針對此等樣本中之每一者所示之圖具有為時間度量(以秒為單位之經過時間)之X軸,而Y軸為RGB整數值(0至255)之度量。因此,量測了色彩對上時間的結果。正如第一視訊檔案,第二視訊檔案中之樣本亦具有來自臂運動之反射(參見圖27之樣本11及12)。更特定地,樣本12具有反射且可能具有一些遮擋。在樣本檔案期間,無晶圓色彩變化/蝕刻發生。
另外,提供圖27以說明可引入至系統之又一干擾(偽影),即所有樣本1至12在時間=140周圍皆具有階躍振幅變化。此可能或很可能係因蓋/窗口或環境光照變化所引起。圖27顯示了來自軟體之RGB軌跡。
圖28A中選擇並顯示來自圖27之樣本4以展現此光照振幅變化。此光照振幅變化完全移動至亮度通道,且因此,色度及飽和度保持不變,即如圖28B及圖28C所示。因此,此樣本已經顯示出,將RGB轉換至HSV及此色彩空間之又一益處在於,系統可不受操作員與室內/環境光照之意外物理相互作用的影響。換言之,假使蓋被偶然打開,儘管環境光照(例如,亮度)發生變化,外部光仍不會強烈地影響色彩空間處理(例如,對於色度及飽和度)。
如圖29A中所示,選擇了來自圖27之樣本11,且其顯示了時間=140(意外打開蓋)以及臂運動兩者處之光照變化,此兩者皆藉由色彩空間變換來處理。要注意到,在140之值,亮度發生變化,但色度穩定。圖29A顯示來自圖27之樣本11的RGB資料,而圖29B顯示經轉換HSV資料,且圖29C顯示經濾除以僅顯示出HS值之此等資料。
圖30說明與複數個樣本相關聯之圖,該複數個樣本與發生蝕刻之第三視訊檔案相關聯,且尤其,晶圓具有經蝕刻以展現下伏聚矽氧層之銅層。 此等樣本具有來自臂運動之反射。第三視訊檔案之樣本12具有反射且可能具有一些遮擋(來自臂)。銅至聚矽氧蝕刻之完成時間介於t=73(秒)與t=81之間,此取決於樣本編號。圖30中顯示了來自軟體之RGB軌跡。
如圖31A至圖31C所示,來自第三視訊檔案之樣本4資料被轉變為HSV色彩空間以用於比較。色度及飽和度兩者皆展示清晰的過渡。此處之要點為,對於一些相機/光照/製程組合,飽和度可為終點變數,而非僅依賴於色度。在顯示RGB軌跡之圖31A中,開始色彩(圖式之左側)為由三個不同RGB曲線描繪的橙色色彩,而一旦完成蝕刻,三個不同曲線壓縮成表示聚矽氧之色彩的較粗單個曲線,與銅之橙色色彩相反,聚矽氧具有灰色外觀。如上文參考表格所示者,灰色之RGB值為(128,128,128),其是由三個不同曲線壓縮成較粗單個曲線來表示。
此樣本表示出,該終點偵測模組可經構型以讓使用者具備所有六個RGBHSV通道,且此允許使用者選擇至多六個通道以使用曲線擬合演算法作為終點偵測製程之部分,其中連續地將所關注晶圓之RGBHSV通道的曲線與RGBHSV通道之基準(參考)曲線進行比較,且一旦實現了任何容限值內之匹配,由於偵測到「終點」,因此停止蝕刻。樣本1至10具有類似結果。
來自第三視訊檔案之樣本12包含先前實例(先前視訊檔案)中所示之大部分挑戰。銅至聚矽氧蝕刻不包含許多色彩資訊,且樣本方形12中存在臂反射且可能存在遮擋。如圖32A所示,RGB資料軌跡看起來有雜訊。藉由HSV變換,飽和度變數之雜訊最少,且看起來可完美地用於曲線擬合(終點偵測製程)(參見圖32B及圖32C)。如本文中所描述,藉由為使用者提供六個通道RGBHSV,使用者可出於曲線擬合之目的來選擇最佳通道,以便偵測何時發生蝕刻結束。
圖33及圖34係供顯示晶圓半徑上的通道均勻性之評估結果,其中圖33為蝕刻前,而圖34為蝕刻後。在此實例中,源檔案相當暗以避免蝕刻前的紅色通道之過度飽和。圖33標繪了六個通道,亦即RGBHSV。RGB之均勻性在晶圓半徑上並不較佳。在蝕刻前之半徑上,色度及飽和度兩者皆較均勻/一致。變化移動至亮度通道。蝕刻後,飽和度保持均勻。先前樣本及此實例說明,代替或除了曲線擬合(演算法)之外,設定點方法可係可行的以簡化終點偵測方案。
圖35標繪了蝕刻前的晶圓半徑上之通道位準。圖35中之此樣本在RGB通道上相對均勻。此視訊檔案並不暗,如先前實例(圖33及圖34)中那樣。色度及飽和度兩者在半徑上皆極均勻,甚至比RGB更均勻,即如圖35中所清晰顯示那樣。
因此,如前所述,本系統及方法有助於消除基材的不完全蝕刻,以及限制或消除晶圓的過蝕刻。本發明之系統及方法是藉由下列方式實現此等目的:a)在終點偵測方法中使用多個樣本以確保沒有不完全之蝕刻;b)增大光偵測器(CMOS或CCD相機)的圖框捕獲速度,以更準確地判定特定基材之蝕刻終點;及c)部分基於先前基材蝕刻期間捕獲之光資料,由系統改變用於後續基材蝕刻的蝕刻參數及/或配方。本系統及方法提供了可以給予使用者一或多個選擇選項之相互作用式圖形使用者介面及對應製程,藉此得以:1)輸入目標蝕刻深度輪廓,其中系統將匹配目標蝕刻;2)基於每個樣本處之終點偵測計算膜深度;3)通過蝕刻覆蓋膜晶圓以產生蝕刻速率曲線;4)建立蝕刻期望深度輪廓的配方;及5)基於取樣速率增大及通信速度增大緊縮重複性時間。
雖然前述中已針對用於終點偵測及底切最小化之系統及方法有諸多敘述,但本文中所揭示之系統及方法可類似地部署及/或實施在遠超過所參 考場景之場景、情況及設定中。應進一步理解的是,任何此實施及/或部署都落入本文中所描述之系統及方法的範疇內。
應進一步理解,附圖中的相同編號表示數個圖式的相同元件,且並非所有實施例或配置需要參考附圖描述及說明的所有組件及/或步驟。此外,本文中使用的術語僅用於描述特定實施例之目的,而非限制本發明。如本文中使用,除非上下文明確說明,否則單數形式之「一」及「該」亦包括複數形式之含義。進一步要理解的是,本說明書中使用的術語「包括」、「包含」或「具有」、「含有」、「涉及」及其在本文中之變化指定呈現的所述特徵、整數、步驟、操作、元件及/或組件,皆不排除會出現或增加一或多個其他特徵、整數、步驟、操作、元件、組件及/或其群組的情形。
應注意的是,在申請專利範圍中使用之諸如「第一」、「第二」、「第三」等序詞來修改申請專利範圍元件,其本身並不意味著執行方法動作之一所請元件有任何優先權、優先序或次序優先於另一者或時序先後,而僅是用於將一所請元件和另一具有相同名稱(但針對序詞用語)的元件之具有特定名稱加以區別。
前述標的僅藉助於說明,不應因而為之限制。可在未悖離以下申請專利範圍中所闡述的本發明之實際精神及範疇的情形下,對於本文中描述之標的作出各種修改及改變,而不必然要遵循說明及描述之示例實施例及應用。

Claims (21)

  1. 一種用於一基材之一濕式蝕刻製程中的終點偵測之方法,該方法包括:
    在一第一基材之濕式蝕刻製程期間,由一光源將光發射至該第一基材之一表面上的複數個樣本位置上;
    在該第一基材之濕式蝕刻製程期間,由一光偵測器偵測發射至該第一基材之該複數個樣本位置之每一者上之光的反射;
    藉由經構型以執行儲存於處理器可讀媒體上之指令的至少一處理器分析和自該第一基材之該複數個樣本位置之每一者反射之光相關聯的第一光資訊,來以一第一色彩模型表示該第一光資訊,其中該至少一處理器藉由向該複數個樣本位置之每一者指派一紅色值、一綠色值及一藍色值來以該第一色彩模型表示該第一光資訊;
    以該至少一處理器,藉由指派色度、飽和度及明度之各別值,依據一非線性變換將該第一光資訊之該表示,自該第一色彩模型轉換至一第二色彩模型;
    以該至少一處理器,使用由與該第一基材之該等樣本位置之至少一者相關聯之該第二色彩模型所表示之光資訊,來判定該第一基材之該濕式蝕刻之一終點;
    以該至少一處理器,基於由該第二色彩模型所表示之該經分析之第一光資訊,而產生用於一第二基材之一濕式蝕刻製程的蝕刻參數;
    在該第二基材之濕式蝕刻製程期間,以一光源將光發射至該第二基材之表面上的至少複數個樣本位置;
    在該第二基材之濕式蝕刻製程期間,以該光偵測器偵測光離開該第二基材之該表面上的該複數個樣本位置之一反射;
    以該至少一處理器分析和自該第二基材之該複數個樣本位置之每一者反射之光相關聯之第二光資訊,以針對該第二基材之該複數個樣本位置之每一者,以該第一色彩模型表示該第二光資訊;
    以該至少一處理器,藉由指派色度、飽和度及明度之各別值,來依據一非線性變換將該第二光資訊之該表示,自該第一色彩模型轉換至該第二色彩模型;
    以該至少一處理器,應用由該第二色彩模型所表示之該第二光資訊中之至少一些之該表示及該等所產生之蝕刻參數,以判定該第二基材之該蝕刻的一終點;以及
    在該第二基材之該濕式蝕刻製程期間,以該至少一處理器,基於由該第二色彩模型所表示之該第二光資訊中之該至少一些之該表示及該等所產生之蝕刻參數,來修改該等所產生蝕刻參數,以判定該第二基材之該蝕刻的一終點。
  2. 如請求項1所述之方法,其進一步包括由經構型以執行儲存於處理器可讀媒體上之指令的一處理器產生該第一基材及該第二基材之該等濕式蝕刻製程中之至少一者的視訊。
  3. 如請求項2所述之方法,其進一步包括由經構型以執行儲存於處理器可讀媒體上之指令的一處理器提供複數個圖式,每一圖式係針對該第一基材之該等各別樣本位置之各別樣本位置,該等圖式表示在該第一基材之該濕式蝕刻製程期間隨時間推移之各別紅色值、綠色值及藍色值,其中該等圖式係依據該視訊提供。
  4. 如請求項3所述之方法,其進一步包括由經構型以執行儲存於處理器可讀媒體上之指令的至少一處理器提供複數個圖式,每一圖式係針對該第二基材之該等各別樣本位置中之各別樣本位置,該等圖式表示在該第二基材之該濕式蝕刻製程期間隨時間推移之各別紅色值、綠色值及藍色值,其中該 等圖式係依據該視訊提供。
  5. 如請求項4所述之方法,由至少一處理器,將在該第一基材之該濕式蝕刻製程期間表示隨時間推移之紅色值、綠色值及藍色值之該複數個圖式,與在該第二基材之該濕式蝕刻製程期間表示隨時間推移之紅色值、綠色值及藍色值之該複數個圖式進行比較。
  6. 如請求項1所述之方法,其中該色度(H)值被計算為:
    Figure 109138896-A0202-13-0003-13
  7. 如請求項1所述之方法,其中該飽和度(S)值被計算為:
    Figure 109138896-A0202-13-0003-12
  8. 如請求項1所述之方法,其中該明度(V)值被計算為:
    V=Cmax
  9. 如請求項1所述之方法,其中修改該等所產生之蝕刻參數之步驟包括修改一臂掃掠輪廓及鑒於一後續待蝕刻晶圓之物理特性來修改該等所產生蝕刻參數中的至少一者。
  10. 一種用於一基材之一濕式蝕刻製程中之終點偵測之系統,該系統包括:
    一光源,其經構型以在一第一基材之該濕式蝕刻製程期間,將光發射至該第一基材之一表面上的複數個樣本位置;
    一光偵測器,其經構型以在該第一基材之該濕式蝕刻製程期間,偵測發射至該第一基材之該複數個樣本位置之每一者上之光的反射;
    至少一處理器,其經構型以執行儲存於處理器可讀媒體上之指令,其中該處理器在執行該等指令時進一步經構型以:
    分析和自該第一基材之該複數個樣本位置之每一者反射之光相關聯之第一光資訊,而以一第一色彩模型表示該第一光資訊,其中該至少一處理器藉由向該複數個樣本位置之每一者指派一紅色值、一綠色值及一藍色值,而以該第一色彩模型表示該第一光資訊;
    藉由指派色度、飽和度及明度之各別值,依據一非線性變換,來將該第一光資訊之該表示,自該第一色彩模型轉換至一第二色彩模型;
    使用由與該第一基材之該等樣本位置之至少一者相關聯之該第二色彩模型所表示之該光資訊,判定該第一基材之該濕式蝕刻的一終點;以及
    基於由該第二色彩模型所表示之該經分析第一光資訊,產生用於一第二基材之一濕式蝕刻製程的蝕刻參數;
    其中該光源進一步經構型以在該第二基材之該濕式蝕刻製程期間,將光發射至該第二基材之表面上的至少複數個樣本位置;
    此外,其中該光偵測器進一步經構型以在該第二基材之該濕式蝕刻製程期間,偵測光離開該第二基材之該表面上的該複數個樣本位置之一反射;且
    此外,其中該至少一處理器在執行該等指令時進一步構型以:
    分析和自該第二基材之該複數個樣本位置之每一者反射之光相關聯之第二光資訊,以針對該第二基材之該複數個樣本位置之每一者,而以該第一色彩模型表示該第二光資訊;
    藉由指派色度、飽和度及明度之各別值,依據一非線性變換,來將該第二光資訊之該表示,自該第一色彩模型轉換至該第二色彩模型;
    應用由該第二色彩模型所表示之該第二光資訊中之至少一些的該表示及該等所產生之蝕刻參數,以判定該第二基材之該蝕刻的一終點;及
    在該第二基材之該濕式蝕刻製程期間,基於由該第二色彩模型所表示之 該第二光資訊中之該至少一些的該表示及該等所產生之蝕刻參數,修改該等所產生之蝕刻參數,以判定該第二基材之該蝕刻的一終點。
  11. 如請求項10所述之系統,其中在執行該等指令時,該至少一處理器進一步經構型以:
    產生該第一基材及該第二基材之該等濕式蝕刻製程中之至少一者的視訊。
  12. 如請求項11所述之系統,其中在執行該等指令時,該至少一處理器進一步經構型以:
    提供複數個圖式,每一圖式係針對該第一基材之該等各別樣本位置之各別樣本位置,該等圖式表示在該第一基材之該濕式蝕刻製程期間隨時間推移之各別紅色值、綠色值及藍色值,其中該等圖式係依據該視訊提供。
  13. 如請求項12所述之系統,其中在執行該等指令時,該至少一處理器進一步經構型以:
    提供複數個圖式,每一圖式係針對該第二基材之該等各別樣本位置之各別樣本位置,該等圖式表示在該第二基材之該濕式蝕刻製程期間隨時間推移之各別紅色值、綠色值及藍色值,其中該等圖式係依據該視訊提供。
  14. 如請求項13所述之系統,其中在執行該等指令時,該至少一處理器進一步經構型以:
    將在該第一基材之該濕式蝕刻製程期間表示隨時間推移之紅色值、綠色值及藍色值的該複數個圖式與在該第二基材之該濕式蝕刻製程期間表示隨時間推移之紅色值、綠色值及藍色值的該複數個圖式進行比較。
  15. 如請求項10所述之系統,其中該色度(H)值被計算為:
    Figure 109138896-A0202-13-0005-14
  16. 如請求項10所述之系統,其中該飽和度(S)值被計算為:
    Figure 109138896-A0202-13-0006-15
  17. 如請求項10所述之系統,其中該明度(V)值被計算為:
    V=Cmax
  18. 如請求項10所述之系統,其中在執行該等指令時,該至少一處理器進一步經構型以:
    藉由修改一臂掃掠輪廓及鑒於一後續待蝕刻晶圓之物理特性修改該等所產生之蝕刻參數,來修改該等所產生之蝕刻參數。
  19. 一種用於判定一基材之一濕式蝕刻製程中之複數個終點的方法,該方法包括:
    藉由執行指令而被構型之至少一處理器,在一第一基材之一濕式蝕刻製程期間判定複數個基準終點,該複數個基準終點係藉由使用第一光資訊判定,該第一光資訊係由與該第一基材之複數個樣本位置相關聯之色度、飽和度、亮度色彩模型表示;
    藉由該至少一處理器,基於該等所判定之基準終點,以產生用於一第二基材之一濕式蝕刻製程的蝕刻參數;以及
    藉由該至少一處理器,在該第二基材之該濕式蝕刻製程期間,使用該等所產生之蝕刻參數及第二光資訊,以在第二基材之該濕式蝕刻製程期間達到各別終點,該第二光資訊是由與該第二基材之複數個樣本位置相關聯之色度、飽和度、亮度色彩模型中之至少一值表示。
  20. 如請求項19所述之方法,其進一步包括:藉由該至少一處理器,在該第二基材之該濕式蝕刻製程期間,使用該第二光資訊之諸值當中至少一者來修改該等所產生之蝕刻參數,以在第二基材之該濕式蝕刻製程期間達到該等各別終點,該第二光資訊是由與該第二基材之該複數個樣本位置相關聯 之色度、飽和度、亮度色彩模型表示。
  21. 如請求項19所述之方法,其中該光資訊之至少一些包含色度及/或飽和度之值。
TW109138896A 2019-11-15 2020-11-06 凸塊底層金屬蝕刻製程期間使底切最小化之裝置及方法 TW202135187A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/685,640 2019-11-15
US16/685,640 US11069583B2 (en) 2018-06-20 2019-11-15 Apparatus and method for the minimization of undercut during a UBM etch process

Publications (1)

Publication Number Publication Date
TW202135187A true TW202135187A (zh) 2021-09-16

Family

ID=75912522

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109138896A TW202135187A (zh) 2019-11-15 2020-11-06 凸塊底層金屬蝕刻製程期間使底切最小化之裝置及方法

Country Status (3)

Country Link
EP (1) EP4059047A4 (zh)
TW (1) TW202135187A (zh)
WO (1) WO2021096712A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7555159B2 (en) * 2001-11-07 2009-06-30 Davar Pishva Image highlight correction using illumination specific HSV color coordinate
US20090046922A1 (en) * 2005-07-04 2009-02-19 Toru Yoshikawa Surface Inspecting Apparatus
DE102010060375A1 (de) * 2010-11-05 2012-05-10 Hseb Dresden Gmbh Inspektionsverfahren
US9698062B2 (en) * 2013-02-28 2017-07-04 Veeco Precision Surface Processing Llc System and method for performing a wet etching process
TWI784719B (zh) * 2016-08-26 2022-11-21 美商應用材料股份有限公司 獲得代表在基板上的層的厚度的測量的方法,及量測系統和電腦程式產品

Also Published As

Publication number Publication date
WO2021096712A1 (en) 2021-05-20
EP4059047A1 (en) 2022-09-21
EP4059047A4 (en) 2024-01-03

Similar Documents

Publication Publication Date Title
US11004755B2 (en) Apparatus and method for the minimization of undercut during a UBM etch process
US9698062B2 (en) System and method for performing a wet etching process
KR102522968B1 (ko) 기판 처리 장치 및 기판 처리 방법
US10026660B2 (en) Method of etching the back of a wafer to expose TSVs
US9870928B2 (en) System and method for updating an arm scan profile through a graphical user interface
JP6541491B2 (ja) 流下判定方法、流下判定装置および吐出装置
JP6553487B2 (ja) 吐出判定方法および吐出装置
US11276157B2 (en) Systems and methods for automated video analysis detection techniques for substrate process
TW202135187A (zh) 凸塊底層金屬蝕刻製程期間使底切最小化之裝置及方法
US11069583B2 (en) Apparatus and method for the minimization of undercut during a UBM etch process
JP6353780B2 (ja) 基板処理装置および基板処理方法
US11168978B2 (en) Hardware improvements and methods for the analysis of a spinning reflective substrates
WO2024054380A1 (en) Multi-sensor determination of a state of semiconductor equipment