TW202003908A - 原子層沉積裝置、半導體製程裝置及控制原子層沉積裝置之方法 - Google Patents

原子層沉積裝置、半導體製程裝置及控制原子層沉積裝置之方法 Download PDF

Info

Publication number
TW202003908A
TW202003908A TW108111663A TW108111663A TW202003908A TW 202003908 A TW202003908 A TW 202003908A TW 108111663 A TW108111663 A TW 108111663A TW 108111663 A TW108111663 A TW 108111663A TW 202003908 A TW202003908 A TW 202003908A
Authority
TW
Taiwan
Prior art keywords
valve
atomic layer
layer deposition
reaction chamber
conductance
Prior art date
Application number
TW108111663A
Other languages
English (en)
Other versions
TWI791104B (zh
Inventor
傑瑞德 李 威克勒
卓熹 李
邁克爾 F 舒爾茨
約翰 凱文 蘇格古
Original Assignee
荷蘭商Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip控股公司 filed Critical 荷蘭商Asm Ip控股公司
Publication of TW202003908A publication Critical patent/TW202003908A/zh
Application granted granted Critical
Publication of TWI791104B publication Critical patent/TWI791104B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本發明揭露的一半導體製程裝置可包括一反應器總成,該反應器總成包括一尺寸化適合其中接受基材的反應腔室。一排氣管線可為流體連通於該反應腔室,該排氣管線構造成使氣體輸送出該反應腔室。一閥可沿著該排氣管線配置,以調節沿著該排氣管線的氣體流動。一控制系統可構造成使用一開迴路控制模式進行操作,從而控制該閥的操作。

Description

控制氣相處理的系統與方法
本發明有關用於控制氣相製程之系統及方法,尤其是有關用於控制在製程期間整體流速變化的氣相製程之系統及方法。
原子層沉積(Atomic Layer Deposition,ALD)為一種用於在基材上生長高度均勻薄膜之方法。在分時的ALD(Time-divided ALD)反應器中,基材為置放在不含雜質的反應空間中,而且至少兩不同揮發性前驅物(反應物蒸氣)為交替及重複使用氣相注入反應空間。薄膜生長是基於在基材表面上發生的自限性表面反應,以形成一固態原子或分子層,因為選擇基材的反應物和溫度,使得交替注入的氣相前驅物的分子僅於基板上與其表面層反應。反應物是以足夠高的劑量注入,以使表面在各注入循環期間實際上飽和。因此,所述製程是高度自調性,沒有非常仰賴於起始材料的濃度、暴露的溫度或持續時間(至少在相對寬的製程空間內),藉此可實現極高的薄膜均勻性及單一原子或分子層的厚度準確性。在空間分割的ALD(space-divided ALD)反應器中可獲得類似結果,其中基材移入交替暴露於不同反應物的區域。反應物可促成生長薄膜(前驅物)及/或用於其他功能,諸如從前驅物的吸附物質中剝離配體以促成後續反應物的反應或吸附。
ALD方法可用於生長元素和化合物薄膜兩者。ALD可涉及循環重複的交替兩或多個反應物,而且不同循環可具有不同數目的反應物。雖然ALD的變體可每個循環沉積一個以上的單層,但是純的ALD反應傾向於每個循環產生少於一單層。
使用ALD方法生長薄膜可能由於具有逐步(逐層)特性而為一緩慢製程 至少兩氣體脈衝交替形成一想要材料層,而且脈衝保持彼此分離,防止無法控制薄膜生長和污染ALD反應器。在每個脈衝之後,從反應空間除去薄膜生長製程的氣態反應產物、以及氣相的過量反應物,或將基材自包含其等之區移開。在分時的實例中,此可藉由向下泵抽反應空間、藉由在連續脈衝之間使用一惰性氣體流吹驅反應空間、或兩者來實現。吹驅處理在反應物脈衝之間的管道中使用一惰性氣柱。由於吹驅處理效率及其在連續脈衝之間形成有效擴散屏障的能力,使得吹驅處理廣泛使用在生產模式上。通常,惰性吹驅處理氣體在反應物脈衝期間亦當作一載氣使用,從而稀釋反應物蒸氣,然後將其饋入反應空間。
從吹驅處理轉移到劑量處理的控制或逆向轉移、同時確保高薄膜品質、時間效率與反應物消耗兩者可越有具挑戰性。因此,仍然需要用於改善控制沉積製程的系統及方法。
本發明的系統及方法具有數個特徵,其中沒有單一特徵負責其想要的屬性。在沒有限制文後申請專利範圍所主張本發明的範疇之情況下,現將簡單討論各種特徵。在考慮此討論後,尤其是閱讀標題「實施方式」段落之後,將瞭解本說明書所述特徵如何提供優於習知氣體傳輸方法及系統的若干優點。
在一實施例中,揭露一原子層沉積(ALD)裝置。該ALD裝置可包括一反應器總成,所述反應器總成包括一尺寸化適於其中接受基材之反應腔室。該ALD裝置可包括一流體連通於該反應腔室之排氣管線,該排氣管線構造成使氣體輸送出該反應腔室。該ALD裝置可包括一沿著該排氣管線配置的閥,以調節沿該排氣管線的氣體流動,該控制閥具有複數個流導設定。該ALD裝置可包括一構造成控制該閥的操作之控制系統。在該ALD裝置的劑量狀態期間,該控制系統可構造成發送一第一信號至對應於該複數個流導設定的一第一流導之閥。在該ALD裝置的吹驅狀態(purge state)期間,該控制系統可構造成發送一第二信號至對應於該複數個流導設定的一第二流導之閥。
在另一實施例中,揭露一半導體製程裝置。該半導體製程裝置可包括一反應器總成,所述反應器總成包括一尺寸化適於其中接受基材之反應腔室。該半導體製程裝置可包括一流體連通於該反應腔室之排氣管線,該排氣管線構造成使氣體輸送出該反應腔室。該半導體製程裝置可包括一沿著該排氣管線配置的閥,以調節沿著該排氣管線的氣體流動。該半導體製程裝置可包括一構造成使用開迴路控制模式進行操作從而控制該閥的操作之控制系統。
在另一實施例中,揭露一種控制原子層沉積(ALD)裝置的方法。該ALD裝置可包括一反應腔室、一使氣體輸送出所述反應腔室的排氣管線、及一沿著該排氣管線的控制閥。該方法可包括針對該ALD裝置的劑量狀態,至少部分基於該反應腔室中的一第一期望壓力和一針對劑量狀態的第一氣體負載來決定對應於一第一流導的該閥之一第一流導設定。該方法可包括針對該ALD裝置的吹驅狀態,至少部分基於該反應腔室中的一第二期望壓力和一針對吹驅狀態的第二氣體負載來決定對應於一第二流導的該閥之一第二流導設定。該方法可包括針對所述劑量狀態之至少一部分,將該閥置於所述第一流導設定。該方法可包括在所述劑量狀態期間使一第一反應物蒸氣脈衝入該反應腔室。該方法可包括針對所述吹驅狀態之至少一部分,將該閥置於所述第二流導設定。該方法可包括在所述吹驅狀態期間,透過供應惰性氣體至該反應腔室以吹驅該反應腔室。
本說明書揭露的各種實施例有關用於控制半導體製程裝置中的沉積製程之系統及方法。雖然在沉積裝置(例如,一原子層沉積(ALD)裝置、一化學氣相沉積(Chemical Vapor Deposition,CVD)裝置等)的環境下描述實施例,但是熟習該項技藝者將明白本說明書所教示關於整體流速及/或壓力可經常在製程期間變化的其他類型製程之原理和優點的應用。
圖1A為在所述半導體製程裝置1的製程階段期間所示,含有一反應器總成18的半導體製程裝置1之示意側截面。圖1B為在所述半導體製程裝置1的裝載階段期間所示,圖1A所示半導體製程裝置1之示意側截面圖。圖1A-1B示意說明一ALD裝置,但是應明白,本發明揭露的實施例可結合任何適當類型半導體製程裝置(例如,任何適當類型沉積裝置)使用。此外,所述半導體製程裝置、控制系統、及方法可結合美國專利案第8,211,230號、美國專利案第8,216,380號、2017年11月3日申請的美國專利申請案第15/803,615號、2017年10月16日申請的美國專利申請案第15/785,231號、及美國專利案第9,574,268號所述的製程系統使用,其每個專利案的整個內容且針對所有目的併入本說明書供參考。
該反應器總成18可包括一配置於下裝載腔室8上方的上反應腔室2。該反應腔室2可尺寸化適於接受基材(例如,一半導體晶圓)供製程。為了裝載該反應器總成18,如圖1B所示,可降低由一可動臂6支撐的基座5,使得該基座5配置於該裝載腔室8中。在實施例中,該基座5可包括一內部加熱機構,諸如一電阻式加熱器。未示出的基材(諸如晶圓)可定位於該基座5上。該可動臂6可垂直升高以將基材(未示出)定位於該反應腔室2內。例如,該可動臂6可升高,使得該基座5的上表面暴露於該反應腔室2。一隔板9可分開該反應腔室2與該裝載腔室8。在實施例中,處於製程位置(圖1A)的該裝載腔室8和該反應腔室2之間可有某些受限的流體連通,諸如通過該基座5和該隔板9之間的示意小間隙或一系列開口。如圖1A和1B所示,一下腔室(Lower Chamber,LC)壓力轉換器16可設置在該裝載腔室8中,從而測量該裝載腔室8中的壓力。在示意的實施例中,該反應腔室2中沒有壓力測量裝置,以避免對該反應腔室2中的氣體流動產生不想要的影響。
在諸如一ALD製程的沉積製程期間,流入氣體gi (例如,反應物及/或惰性氣體)可經由一進氣歧管7以交替及重複供應至該反應器總成18。例如,在ALD製程的脈衝或劑量狀態期間,一反應物氣體可經由該進氣歧管7供應至該反應器總成18。反應氣體可與基材上的一針對性物質起反應以形成一想要的反應物單層。在一吹驅狀態期間,可經由該進氣歧管7將惰性氣體供應至該反應器總成18,以從該反應腔室2吹驅過量的反應物(及其他)氣體。在所述層到達整體想要的厚度之前,劑量處理和吹驅處理步驟可可交替重複以一次生長一薄膜層。所述流入氣體gi 可經由一噴頭總成散布在圖1A所示的基材上,所述噴頭總成包括一噴頭板3和一配置於所述噴頭板3上方的噴頭充氣腔室4。該噴頭板3可包括複數個開口(未示出),其可使流入氣體gi 平均且均勻散布在基材上。雖然圖1A-1B顯示一噴頭總成,但應明白,其他類型反應器可結合所揭露實施例使用,諸如平流反應器。
所述反應腔室2中的反應物及/或惰性氣體可藉由一真空源10(例如,一真空泵)沿著一排氣管線17從該反應器總成18移除。該真空源10可被致動以施加負壓至該排氣管線17和該反應腔室2,以從該反應器總成18抽吸排氣ge 。如圖1A所示,氣體可經由提供反應腔室2和排氣管線17之間的流體連通的一或複數個排氣口13而離開該反應腔室2。在示意的實施例中,該(等)排氣口13供應連通於該排氣管線17的一排氣環。一閥14(例如,一流動控制閥)可在複數個設定點或位置處可調節式打開和關閉,以計量沿著該排氣管線17的排氣ge 的流動。複數個設定點或位置可對應於該閥14的複數個對應流導。圖1A-1B所示的閥14包括一可於複數個位置處打開的節流閥,以增加或減少排氣ge 通過該排氣管線17的流動。例如,該閥14可定位於0%和100%打開之間,且0%表示完全關閉,100%表示完全打開,及其間位置變化之任一者。在其他實施例中,如下有關圖6A-6B的解釋,該閥14可包括一構造成控制排氣ge 流過該排氣管線17之球閥。如圖1A-1B所示,一排氣管線壓力轉換器15可沿著該排氣管線17設置,以測量沿著該排氣管線17的排氣ge 之壓力。
如本說明書的解釋,一控制系統19可構造成控制該半導體製程裝置1的操作。該控制系統19可包括一模組控制器11與一閥控制器12。雖然圖中未示出,但該控制系統19可包括用於控制該半導體製程裝置1的整體操作之其他控制器。該模組控制器11可構造成選擇(自動或手動)所述製程控制模式、製程類型、使用的配方、及特定製程的其他參數。該模組控制器11可連通該閥控制器12,所述閥控制器構造成控制該閥14的操作。例如,如下的解釋,該模組控制器11可將有關製程的階段或狀態(例如,劑量或吹驅)、半導體製程裝置1的控制模式操作(例如,半導體製程裝置1是否將在開或閉迴路控制模式操作)、控制壓力設定點(例如,用於閉迴路控制)、及複數個閥位置設定點(例如,閥14的固定控制位置)的指令發送至該閥控制器12。此外,如下面的解釋而且基於來自該模組控制器11的指令,該閥控制器12可發送指令至閥14,以將所述閥置放在對應於該閥14的複數個流導的複數個設定點之一者處。此指令可基於例如一具有複數個流導或流導範圍與對應複數個閥位置的查找表。該模組控制器11與該閥控制器12可包括用於控制該閥14及/或該製程裝置1的其他組件的操作之任何適合製程電子器件。例如,該模組控制器11及/或該閥控制器12可包括相關的非暫時性電腦可讀記憶體裝置、及構造成執行儲存在相關記憶體裝置上的指令之處理器。在各種實施例中,例如,該閥控制器12可包括一可編程邏輯控制器(Programmable Logic Controller,PLC)。任何其他適當類型控制器或製程電子器件可使用。
對於通過該反應腔室2與該排氣管線17的整體流速和對應流導隨著多個階段製程變化是想要的,諸如一原子層沉積(ALD)製程。例如,在一吹驅處理狀態期間,最大化生產量及減少反應物/副產物停留時間,使用高流速(氣體負載)來快速吹驅該反應腔室2的過量或廢氣是想要的。然而,在一沉積階段期間,諸如一ALD劑量處理狀態,在較低流速(氣體負載)下使用較長反應物停留時間以實現飽和(或幾乎飽和),同時最小化反應物浪費是想要的。許多ALD製程尋求在劑量處理和吹驅處理期間在該反應腔室2中保持相對恆定整體流速及/或均勻壓力,以避免壓力波動和伴隨的污染問題(例如,剝落)。因此,許多ALD配方使用恆定的整體氣體負載或流速。然而,使用恆定的氣體負載可能犧牲吹驅效率及/或薄膜沉積的品質。
在某些配置中,可使用一閉迴路控制模式來控制該反應腔室2中的壓力。例如,在某些配置中,該排氣管線壓力轉換器15可用於測量沿著該排氣管線17的排氣ge 之壓力。沿著該排氣管線17的所測量壓力可反饋到該控制系統19。各種控制演算法(例如,一比例微積分、或PID、控制演算法)可用於調節該閥14的設定點,從而控制該壓力轉換器15所測量的壓力。然而,基於該排氣管線壓力轉換器15沿著排氣管線17進行壓力測量的閉迴路反饋控制可能不準確,而且可能不準確反映該反應腔室2中的氣體壓力(或壓力變化),導致不準確或次佳控制該反應腔室2中的壓力。同樣地,由於製程期間的腔室之間的不同流速和有限的流體流通,使得該裝載腔室8中的壓力可能無法準確反映該反應腔室2中的壓力,而且如前述,所述反應腔室中的壓力測量裝置可能受到產生的盲管(dead leg)或擾流而干擾所想要的流體力學。
例如,該等排氣口13可作用為從該反應腔室2至該排氣管線17的氣體流出限制。該等排氣口13的限制可能導致該排氣管線壓力轉換器15的壓力讀數不同於(例如,低於)該反應腔室2中的實際壓力。此外,如圖1A-1B所示,該排氣管線壓力轉換器15可藉由介入的流量,例如經由排氣部件13(及任何介入的排氣腔室)、與該排氣管線壓力轉換器15上游的排氣管線17的流量來隔開該反應腔室2。介於該排氣管線壓力轉換器15和該反應腔室2之間的空間中的該排氣管線壓力轉換器15上游的額外流量可能減慢該閉迴路控制方法的反應。此外,在高速ALD製程中的該閥14的閉迴路反饋控制可能不適合,因為氣體負載可能每200-500毫秒改變一次。某些節流閥可能無法以此高速進行切換,及/或此快速切換可能損壞該等閥。此外,將一壓力轉換器置於該反應腔室2本身內可能不想要,因為在此小空間中存有該壓力轉換器15可能干擾晶圓上的流動模式而且可能負面影響到薄膜生長。
因此,仍然需要用於改善控制該反應腔室2中的壓力之方法。本發明揭露的各種實施例在劑量處理狀態和吹驅處理狀態期間利用開迴路控制(例如,閥14的固定位置控制)來間接控制該反應腔室2中的壓力。例如,在某些實施例中,該LC轉換器16可用於在由該真空泵10施加的各種氣體負載(流速)下來測量該裝載腔室8中的壓力,而且該裝載腔室8中的所測量壓力可能與該閥的對應設定點或設定位置(代表閥的對應流導)有關聯。在各種實施例中,一流量控制器(例如,一壓力控制器或一主流量控制器、或MFC)可提供在該反應腔室2的上游,以調節及/或提供想要的氣體負載。本發明的解釋,該閥14可作用為通過該排氣管線17的流動限制,此流動可改變該反應腔室2中的壓力(參見例如圖3)。在本發明揭露的實施例中,除非特別說明,否則該真空泵10可以恆定速度致動。然而,在其他實施例中,該真空泵10的速度在製程期間可變化。
在基材經歷沉積製程的製程階段期間(圖1A),配置在該裝載腔室8中的LC轉換器16可能無法準確表示在該反應腔室2中的壓力。例如,該反應腔室2和該裝載腔室8在製程期間可被隔離,以防止氣體從該反應腔室2流入該裝載腔室8。如圖1A所示,隔板9和基座5可使用小間隙或在隔板9和基座5之間的空間中的多個開口橫向彼此緊密間隔。在某些配置中,該裝載腔室8中的壓力可設定在較高於該反應腔室2的壓力,其中結合隔板9和基座5的緊密間隔可防止氣體流入該裝載腔室8。儘管用於降低污染的其他配置亦符合本說明書教示的實施例,但防止氣體進入該裝載腔室8可有利於晶圓傳輸至該裝載腔室8及/或從其傳輸晶圓期間減少該裝載腔室8和該LC轉換器16的污染。
為了校準用於開迴路控制的半導體製程裝置1,所述可動臂6和基座5可垂直向下移動,使得該基座5是在該裝載腔室5中,此破壞該反應腔室2和該裝載腔室8之間的流體密封,例如該反應腔室2和該裝載腔室8界定一連續容積或腔室。因此,當該基座5是在圖1B所示的位置時,該LC轉換器16可表示該反應腔室2中的壓力,其是在圖1B所示的位置,其為打開且為流體連通於該裝載腔室8。該LC轉換器16可針對跨複數個氣體負載(流速)之該閥14的複數個設定點或位置來測量該反應腔室2中的壓力。所測量的反應腔室壓力、閥設定點、和氣體負載可儲存在一查找表(Look Up Table,LUT)中及/或繪製圖表以對該控制系統19提供輸入資料。在其他實施例中,該反應腔室中的壓力可在製程位置連同基座直接測量供校準,諸如使用針對反應腔室直接壓力測量的臨時或永久儀器。
圖2為表示氣體負載(流速)、閥控制壓力、閥設定點或位置、所測量晶圓壓力(即是,該反應腔室2中的壓力)、及介於所測量晶圓壓力和控制壓力之間差異的數值表格。圖2所示的表格表示針對一閉迴路控制系統所獲得的數值。如圖2所示,當該閥14設定在1 Torr的控制壓力時,該反應腔室2中的壓力可變化超過800 mTorr,因為氣體負載是以至少10倍增加。此氣體負載變化在一閉迴路控制模式期間可能引起該反應腔室2的大變化。如圖2所示,該閥設定點通常在較高的氣體負載(例如,較高流速下的吹驅處理負荷)下更開放,以符合低氣體負載下的晶圓壓力(例如,在較低流速下的劑量處理負荷)。如圖2所示,改善對改變氣體負載的壓力變化控制可是重要的。
圖3為繪製跨複數個氣體負載之所測量反應腔室壓力與閥14之設定點相比較的曲線圖之實例。圖3的曲線圖為示意,但可視為表示LUT中提供的資料。如前面的解釋,圖3的曲線圖(和對應的LUT)可針對在一第一特定氣體負載或流速F1 下之閥14的複數個設定點或位置,藉由(直接或間接)測量該反應腔室2中的壓力產生。所述氣體負載或流速F1 可增加到一第二氣體負載或流速F2 ,而且可針對在第二流速F2 下之該閥14的複數個設定點來測量該反應腔室2中的壓力。在決定所有想要流速FN 的壓力和閥位置之前,可繼續校準。因此,該LUT可包括一矩陣,該矩陣包括壓力、閥設定點(關於閥流導)與施加於該半導體製程裝置1的氣體負載(例如,一位於腔室上游提供的控制器(諸如一MFC或壓力控制器))相比較之校準值。整體氣體負載或流速F可表示進入該反應腔室2的總流速。在其他實施例中,可決定有關於反應腔室設定壓力、閥設定(閥14的傳導率)、泵5提供的氣體負載(流速)的分析函數或曲線擬合(curve-fit)。如圖3所示,對於一特定流速,腔室中的壓力可隨著增加閥流導而減小(例如,如關於閥的打開程度)。
因此,在一ALD製程期間,該控制系統19(或使用者)可針對劑量和吹驅兩狀態選擇該反應腔室2的想要設定壓力Pset ,假設氣體負載是由這些劑量和吹驅狀態的配方提供。例如,如果在劑量處理狀態期間使用所述第一較低流速F1 (根據製程配方,使用一用於控制流速F1 )的控制器),該控制系統19(或使用者)可決定該閥14的第一流導設定,例如,沿著產生反應腔室2中壓力約Pset 的第一流速F1 的曲線之該閥14的一第一設定位置V1 (位置1)。該控制系統19可指示該閥14在劑量處理期間移到所述第一設定位置V1 。在完成劑量處理之後,該控制系統19可關閉反應物氣體的流動。如果在吹驅處理狀態期間要使用所述第二較高流速F2 (根據配方,使用控制流速F2 的控制器),該控制系統19(或使用者)可決定該閥的第二流導設定,例如,沿著產生反應腔室2中壓力約Pset 的第二流速F2 的曲線之該閥14的一第二設定位置V2 (位置2)。該控制系統19可指示該閥14在吹驅處理期間移到所述第二設定位置V2 。儘管前面的實例描述每個狀態(劑量或吹驅)的一閥(或流導)設置,但是應明白,在各種實施例中,每個狀態(劑量或吹驅)可使用多個閥或流導設定。
前述和圖3示意說明的實例假設想要在吹驅處理和劑量處理兩者期間將該反應腔室2的壓力保持近似恆定壓力,以減小壓力波動和附帶污染問題。當然,如果需要,本說明書描述的開迴路控制亦可使用於不同製程階段的不同壓力設定點。此外,儘管在此實例ALD製程中僅描述一吹驅和一劑量步驟,但應明白,特定沉積製程循環可包括一個以上的劑量步驟及/或一個以上的吹驅步驟。例如,某些沉積製程(例如,ALD製程)可包括一具有四個階段的循環,包括例如兩不同反應物蒸汽(其可利用不同閥流導和持續時間)和兩不同吹驅(可具有或可不具有相同閥流導和持續時間)。此外,某些沉積製程(例如,ALD製程)可包括在每個循環中,具有一、兩或三個吹驅階段之下脈衝三個不同反應物蒸汽的循環。其他沉積製程(例如,ALD製程)可包括在每個循環中,具有一、兩、三、或四個吹驅階段之下脈衝四個不同反應物蒸汽的循環。
有利地,本說明書描述的LUT和圖3所示的曲線圖能允許使用開迴路或固定位置控制,其中在切換閥位置之前,該排氣管線壓力轉換器15(或其他感測器)未對該控制系統19提供主動反饋。因此,當該半導體製程裝置1置於一吹驅處理狀態時,閥14可基於吹驅處理流速下的期望壓力設定成一閥位置或設定位置。同樣地,當該半導體製程裝置1置於一劑量處理狀態時,該閥14可基於在吹驅處理流速下的期望壓力設定成一閥位置或設定位置。本說明書描述的開迴路控制方法可優於閉迴路控制,因為所述閥設定位置在各種流速下更準確對應該反應腔室2中的壓力,而不是由該壓力轉換器15 沿著該排氣管線17即時進行壓力測量。此外,本說明書揭露技術可消除一壓力轉換器15暴露於排氣ge 的需要,有利於使用LC轉換器16隔離來自可能損壞所述轉換器之反應腔室2的氣體。因此,本說明揭露的開迴路控制方法可改善氣相製程期間在該反應腔室2中的壓力控制,特別是針對在不同階段下具有不同想要整體流速的製程,而且甚至更特別是針對不同階段之間使用快速切換的製程。例如,在各種ALD製程中,所述劑量處理階段可持續介於約50毫秒(msec)和5秒(sec)之間的時段。
此外,本說明書揭露的各種實施例解決有關控制信號數位輸出的閉迴路壓力控制系統的其他缺點。圖1A-1B所示閥14的設定點或位置(例如,一節流閥)可藉由控制該閥14的一板或其他結構性構件的位置來調節,以調節限制流過所述閥14和排氣管線17。然而,許多閉迴路控制系統利用數位輸出,此使得將該閥14的位置準確設定在由該閉迴路控制系統計算的想要類比設定點時是具挑戰性。例如,在一閉迴路控制系統中,該控制系統可計算沒有傾向與該控制系統的數位輸出緊密關聯性之該閥14的類比設定點。
圖4為控制系統19電溝通該閥14的示意系統圖。如前面有關圖1A-1B的解釋,該模組控制器11可構造成控制該閥控制器12的操作,然後可構造成控制該閥14的操作。在圖4,該模組控制器11可包括輸出信號組塊11a-11e,其每一者包括經由一第一通信通道20a而傳輸至該閥控制器12的數位或類比輸出值。所述第一通信通道20a可包括介於該模組控制器11和該閥控制器12之間的任何適合有線或無線電氣或資料連接。
例如,在該模組控制器11的一第一輸出信號組塊11a中,針對一ALD製程,一數位輸出DO2可提供指示該半導體製程裝置1是否置於供應反應物氣體至該反應腔室2的劑量處理製程中、或置於從該反應腔室2去除過量氣體的吹驅處理製程中。例如,如果該模組控制器11決定該半導體製程裝置1是要置於劑量處理狀態,則DO2信號可設定成0,表示對應於閥14的位置1(例如,圖3所示V1 )之低流導的劑量處理狀態。相反地,如果該模組控制器11決定該半導體製程裝置1是要置於吹驅處理狀態,則DO2信號可設定成1,表示對應於閥14的位置2(例如,圖3所示V2 )之高流導的吹驅處理狀態。應明白,在圖4的整個描述中,信號在吹驅狀態可取代設定成0,而在劑量狀態可取代設定成1。因此,組塊11a的數位輸出DO2可指示該閥控制器12是否將該半導體製程裝置1置於劑量狀態或吹驅狀態。
在模塊控制器11的第二輸出塊11b中,數位輸出DO1可以包括關於過程控制模式的指令。例如,該半導體製程裝置1是否在閉迴路反饋控制(DO1=0)中操作,其中將提供一壓力設定點控制,或在開迴路(固定位置)控制(DO1=1)進行操作,其中閥位置在沒有即時反饋情況下會被改變。靜態類比變量AO1-AO3可在製程之前藉由一配方步驟來定義,例如,AO1-AO3可由該控制系統19設定或由使用者手動設定(例如,經由使用者界面)。在一第三組塊11c中,類比輸出AO1可表示閉迴路控制壓力設定點,其表示如果選定閉迴路控制時的想要設定點壓力。在一第四組塊11d中,類比輸出AO2可表示閥14的位置1,例如,圖3所示的位置V1 。如前的解釋,位置1可表示在反應物氣體脈衝入該反應腔室2期間所要使用的低流導狀態。在一第五組塊11e中,類比輸出AO3可表示該閥14的位置2,例如,圖3所示的位置V2 。如前的解釋,位置2可表示吹驅該反應腔室2中過量氣體期間所要使用的高流導狀態。來自組塊11a-11e的數位和類比輸出可經由所述第一通信通道20a傳輸至該閥控制器12。
請即參考所述閥控制器12,該模組控制器11發送的指令可由類比或數位輸入組塊12a-12e接收。在一第一組塊12a中,數位輸入DI2可對應於來自該模組控制器11的數位輸出DO2。由於劑量處理和吹驅處理步驟是快速交替,使得輸出和輸入組塊11a、12a可透過一相對高速通信通道提供。在第二至第五輸入組塊12b-12e中,DI1可表示從該模組控制器11的組塊11b發送的數位模式選擇;AI1可表示從該模組控制器11的塊組11c發送的類比壓力設定控制點;AI2可表示從該模組控制器11的組塊11d發送的閥14之位置1;及AI3可表示從該模組控制器11的組塊11e發送的閥14之位置2。由於組塊11b-11e、12b-12e中的數值可用於整個製程(或多個製程),因此可使用較慢的通信網路。
該閥控制器12可亦包括複數個邏輯組塊12f、12g、12h。製程電子器件可執行儲存在該閥控制器12的記憶體裝置上的指令,以特別決定裝置的控制模式、製程狀態(例如,吹驅或劑量)、閥設定位置、閉迴路控制的壓力設定點。例如,在一第一邏輯組塊12f中,如果DI1=0(表示具有壓力設定點的閉迴路控制),則該閥控制器12的數位輸出DO1(參見組塊12i)同樣可設定成0來表示閉迴路控制,而且該閥控制器12的類比輸出AO1(參見組塊12j)可設定成儲存在AI1中的壓力控制設定點。在此配置中,該閥控制器12可透過一第二通信通道20b將DO1和AO1分別傳輸至該閥14的組塊14a、14b。因此,隨著該閥14處的數位輸入DI=0,該閥14可在一閉迴路反饋模式進行操作。隨著類比輸入AI等於該閥14處的壓力設定控制點,該閥14可使用壓力設定控制點來驅動沉積製程的閉迴路反饋。
或者,在該閥控制器12的一第二邏輯組塊12g中,如果DI1=1(表示具有一位置設定點的開迴路控制)而且如果DI2=0(表示閥應該位於位置1),則組塊12i的數位輸出DO1設定為1,而且組塊12j的類比輸出AO1設定為AI2來表示閥14的位置1(例如,V1 )。在透過所述第二通信通道20b將該閥控制器12的DO1和AO1傳輸至該閥14的相對組塊14a、14b時,該閥14處的數位輸入DI=1,將該閥14置於一開迴路控制模式(例如,沒有反饋)。隨著類比輸入AI等於位置1,該閥14可移到位置1(V1 ),其表示一低流導反應物脈衝狀態,如圖3所示。
同樣地,在該閥控制器12的一第三邏輯組塊12h中,如果DI1=1(表示具有一位置設定點的開迴路控制)而且如果DI2=1(表示該閥應該位於位置2),則組塊12i的數位輸出DO1設定為1,而且組塊12j的類比輸出AO1設定為AI3來表示該閥14的位置2(例如,V2 )。在透過所述第二通信通道20b將該閥控制器12的DO1和AO1傳輸至該閥14的相對組塊14a、14b時,該閥14處的數位輸入DI=1,將該閥14置於一開迴路控制模式(例如,沒有反饋)。隨著類比輸入AI等於位置2,該閥14可移到位置2(V2 ),其表示一高流導吹驅狀態,如圖3所示。
因此,有利地,本說明書揭露的實施例可利用數位控制系統來致動一具有連續閥位置範圍的閥14。本說明書揭露的實施例可選擇是否使用閉迴路控制模式或開迴路控制模式來操作。
圖5為示意說明根據各種實施例之用於操作ALD裝置的方法50之流程圖。尤其是,該方法50示意說明使用開迴路控制方法來控制該反應腔室2中的壓力之各個步驟。從步驟51開始,可決定對應於一第一流導之閥的一第一傳導設定。例如,對於圖1A-1B和3-4所示的實施例,決定該閥14沿著該排氣管線17的一第一預編程設定點。所述第一預編程設定點可表示該閥14中的一可動構件的位置,其表示該閥14的打開程度 (例如,0%至100%打開)。在至少部分基於該反應腔室2中的一第一期望壓力和基於要施加於該排氣管線17的一第一氣體負載之下,所述第一預編程設定點可對應於該閥14的一第一流導設定。如前的解釋,一LUT(或代表LUT的圖表)可基於要施加於所述裝置的期望壓力與氣體負載(流速)來決定該閥14的所述第一預編程設定點或設定位置,例如,經由該反應腔室2的上游之一控制器。I在其他配置中,可使用憑經驗設計的函數或曲線擬合(例如,基於類似於圖3所示的曲線)來關聯該反應腔室2中的期望壓力、該閥14的所述第一流導設定、和氣體負載。例如,所述第一預編程設定點可對應於在反應物氣體脈衝入該反應腔室2期間所要使用的相對低流導。請即重新參考圖3所示的實例,可決定對應於位置1(或V1 )的該閥之所述第一預編程設定點。如前的解釋,當該基座5配置在該反應腔室2下方的裝載腔室8內時,可藉由使用該LC轉換器16測量該反應腔室2和該裝載腔室8的壓力來產生LUT。或者,壓力可直接在該反應腔室2中測量供校準,例如,使用臨時或永久性儀器進行校準。
請即參考步驟52,可決定該閥對應於一第二流導的一第二傳導設定。例如,可決定該閥14沿著該排氣管線17的一第二預編程設定點。至少部分基於該反應腔室2中的一第二期望壓力和一第二氣體負載之下,所述第二預編程設定點可對應於該閥14的所述第二流導。在某些實施例中,如前的解釋,所述第二期望壓力可為大致相同於所述第一期望壓力,以在劑量處理和吹驅處理步驟期間在該反應腔室2中保持大致恆定壓力。如前的解釋,LUT(或代示LUT的圖式)可基於所述第二期望壓力和施加的氣體負載(流速)決定該閥14的所述第二預編程設定點或設定位置。例如,所述第二預編程設定點可對應於在吹驅來自該反應腔室2的過量氣體或廢氣期間所要使用的相對高流導。請即重新參考圖3所示的實例,可決定對應於位置2(或V2 )的該閥之所述第二預編程設定點。
在步驟53,該模組控制器11可指使該閥控制器12將該閥14置於劑量處理狀態,例如,在所述第一傳導設定。對於劑量處理,該閥控制器12可指使該閥14移到位置1(V1 ),以在劑量處理期間提供相對低流導。在步驟54,該控制系統19可使該半導體製程裝置1將反應物氣體脈衝入該反應腔室2,從而在基材上生長一反應物層。在劑量處理之後,在步驟55,該模組控制器11可指使該閥控制器12將該閥14置於第二流導狀態,例如,在針對吹驅處理狀態的閥設定。對於吹驅處理,該閥控制器12可指使該閥14移到位置2(V2 ),以在吹驅處理期間提供相對高流導。在步驟56,該控制系統19可使該半導體製程裝置1吹驅來自該反應腔室2的過量或廢氣。請即參考步驟57,該控制系統19可決定是否要重複所述製程。如果判定為確認(Yes),則該方法50返回步驟53,將該閥14置於所述第一流導設定,例如,針對將額外的反應物氣體脈衝入該反應腔室2的預編程設定點(位置1)。如果判定為撤銷(No),則該方法50結束。
圖6A為根據本說明書揭露的各種實施例之閥14處於完全打開組態之示意平面圖。圖6B為處於完全關閉組態之圖6A所示的閥14之示意平面圖。在某些實施例中,圖6A-6B所示的閥14可結合上面參考圖1A-5描述的半導體製程裝置1一起使用。在其他實施例中,圖1A-5所示的半導體製程裝置1可利用不同類型閥,諸如前述的節流閥。此外,應明白,圖6A-6B所示的閥14可用於任何適當類型半導體處理系統,包括不同於前述半導體製程裝置1的裝置。實際上,圖6A-6B所示的閥14可用於ALD裝置、CVD裝置、其他類型沉積裝置、非沉積設備(例如,蝕刻設備)、或利用通過導管或管道的可變流導之任何其他適合裝置。
如前的解釋,想要具有用於半導體製程裝置的可變流導系統。例如,如前的解釋,想要在吹驅該反應腔室期間具有高流導(高流速),以改善在隨後劑量處理步驟之前的產量及除去過量氣體。此外,想要在劑量處理期間具有低流導(低流速),以增加在該反應腔室中的反應物氣體停留時間。此外,最近的半導體裝置利用可具有各種表面佈局的多層(例如,大於100層)。為了製造具有非常大量層和複雜表面佈局的裝置,進一步增加該反應腔室中的反應物氣體停留時間可是重要的,以確保反應物層覆蓋較大的表面積,同時在諸如ALD吹驅處理的其他製程階段具有低停留時間可是重要的。其他蒸汽製程在不同製程階段可同樣要求不同的整體流速。因此,仍然持續需要改善用於半導體製程的可變流導裝置。
該閥14可包括一特別適於在沉積反應器中進行可變導體製程處理及/或製程的閥,其中該閥暴露於反應氣體而有不利反應(諸如可能關閉閥的層增法),尤其是在反應器的排氣管線中。在示意的實施例中,該閥14包括一球閥,該球閥具有通過該圓閥體31而設置一孔32的圓閥體31。在示意的實施例中,該圓閥體31包括一球形(例如,近似球形)構件。該排氣管線17上面或周圍可設置一凸緣35。該閥體31可安置在介於該圓閥體31和該凸緣35的內表面之間設置間隙的凸緣35內,以允許該圓閥體31相對於該凸緣35旋轉。一馬達30操作上可經由一馬達輸出軸36耦接該圓閥體31。例如,該馬達輸出軸36可焊接或以其他機械式連接至該圓閥體31。當該馬達30致動時可將旋轉傳遞至該馬達輸出軸36,然後傳遞至該圓閥體31,以使該圓閥體31圍繞平行於該馬達輸出軸36的縱軸或旋轉軸x旋轉R。該馬達30可在高速(例如,至少約1000rpm)下操作以產生快速吹驅-劑量-吹驅-劑量循環(例如,一循環為60ms)。
如圖6A-6B所示,通過該圓閥體31形成的孔32可非平行取向於(例如,垂直)該馬達30的旋轉或縱軸x。在圖6A中,該馬達30可定位所述孔32,使得該孔32平行於該排氣管線17的流動軸y。當該孔32平行於該排氣管線17的流動軸y時,該閥14可認為處於最大化流導狀態,其中該閥14是完全打開以使氣體流過該排氣管線17。對照下,在圖6B中,該馬達30可定位所述孔32,使得該孔32是垂直取向於該排氣管線17的流動軸y。在圖6B所示的配置中,所述垂直取向孔32基本上可阻擋所有氣體流過該閥14和該排氣管線17。因此,當該孔32垂直取向於該排氣管線17的流動軸y時,該閥14可認為是處於最小化流導狀態,其中該閥14基本上阻擋氣體流過該排氣管線17。從下述可清楚知道,該閥14沒有限於這兩狀態,相對在可變轉速之下,可透過無限的開放程度旋轉。
如圖6A-6B所示,該閥14可更包括一圍繞該圓閥體31的周邊配置的惰性氣體幕區域33。該惰性氣體幕區域33可包括通過一入口供應至介於該凸緣35和該圓閥體31的外周邊之間的間隙之惰性氣體區域。該惰性氣體幕區域33可包括圍繞該圓閥體31的外部吹驅區域,所述區域在該圓閥體31周圍形成壓載物。當該閥14處於如圖6A所示的打開狀態時,該惰性氣體幕區域33可有利防止反應物或其他氣體進入該圓閥體31的外周邊上的間隙,此可降低污染的風險及保持該閥14的快速性能。該惰性氣體幕區域33可允許圍繞於旋轉軸x的低摩擦旋轉。
圖6A-6B示意說明閥的兩狀態(分別是最大和最小流導),但是有利地,該閥14可圍繞於旋轉軸x以複數個取向置放。在各種實施例中,所述圓閥體31和孔32可圍繞於旋轉軸x而以連續的取向或角度範圍置放,例如在0°至360°的範圍內。所述圓閥體31和孔32可圍繞於旋轉軸x沿著兩方向旋轉。所述圓閥體31和孔32可以多個方向置放,其中該孔32沿著該排氣管線17暴露於氣體。如果該孔32相對於該排氣管線17形成角度,使得僅有該孔32的小區域暴露於該排氣管線17,則通過該閥14的流速將相對較低。如果該孔32相對於該排氣管線17形成角度,使得該孔32的相對大區域暴露於該排氣管線,則通過該閥14的流速將較高。該馬達30可準確控制所述圓閥體31和孔32圍繞於旋轉軸x的取向,而且此取向可與通過該孔32的流速有關聯。因此,有利地,該孔32在相對於旋轉軸x的複數個角度取向的能力之下,圖6A-6B所示的閥14可提供通過該排氣管線17的可變流導。在某些實施例中,該閥14可針對圍繞於旋轉軸x的連續角度而提供,因此能有通過所述閥14和氣體管線17的連續流速範圍。
為了控制所述圓閥體31和孔32的取向,一取向感測器34可設置在靠近於該圓閥體31的凸緣35上。當該圓閥體31旋轉時,該取向感測器34可保持靜止。該取向感測器34可藉由感測該孔32的前緣37、該孔32的後緣38、與介於所述前緣37和後緣38之間的孔32的區域來測量取向。在各種實施例中,該取向感測器34可包括一磁感測器,但可使用其他類型感測器。在各種實施例中,例如,一馬達編碼器可用於感測該圓閥體31的取向。該控制系統19亦可用於控制所述圓閥體31和孔32的取向。例如,該控制系統19可包括製程電子器件,其構造成控制該馬達30的操作及/或接收由該取向感測器34轉換的信號。在某些實施例中,該控制系統19可利用反饋控制技術,其中提供對應於想要流導的該閥14之一取向設定點。該控制系統19可從該取向感測器34接收表示所述圓閥體31和孔32的目前取向之信號。基於介於取向設定點和目前取向之間的差異,該控制系統可利用各種控制技術(包括,例如,PID控制技術),將一指令信號發送給該馬達30,以使該馬達30將該圓閥體31旋轉至對應於想要流導的所需取向設定點。
在一吹驅循環或狀態期間,該控制系統19可指使該馬達30在對應於想要或預編程相對高流導的一或複數個取向下來旋轉所述圓閥體31和孔32。因此,在特定階段(劑量處理或吹驅處理)期間,可藉由控制旋轉速度來改變平均流導。例如,所述圓閥體31和孔32的旋轉速度在劑量處理期間可減慢以減小平均流導,及/或在吹驅處理期間增加以增加平均流導。在某些實施例中,該馬達30可在吹驅和劑量狀態期間連續旋轉所述圓閥體31和孔32。例如,該馬達30可在吹驅處理期間以較高速度旋轉所述圓閥體31和孔32,及/或可在吹驅處理期間將該孔32的較大區域暴露於該排氣管線17。在某些實施例中,該馬達30可在劑量處理期間以較低速度旋轉所述圓閥體31和孔32,及/或可在劑量處理期間將該孔32的較小區域暴露於該排氣管線17。在某些實施例中,該馬達30可在吹驅處理及/或劑量處理期間以特定取向停止旋轉所述圓閥體31和孔32。例如,在吹驅處理期間,該馬達30可在最大化或增加流導的取向下停止旋轉所述圓閥體31和孔32 (例如,如圖6A所示)。舉另一實例,在劑量處理期間,該馬達30可在最小化或減小流導的取向下停止旋轉所述圓閥體31和孔32,以增加在該反應腔室2中的反應物蒸汽停留時間。如前的解釋,該控制系統19可基於來自該取向感測器34的信號利用反饋控制技術。
圖7是使用圖6A-6B中所示的閥14的相對流導對時間的圖,其中吹驅循環隨後是劑量循環,隨後是另一吹驅循環。如吹驅脈衝所示,該控制系統19可指使該馬達30在增加或最大化流導的一或複數個流導設定下置放該閥14,例如,圖6A所示的取向,其中該孔32通常平行於該排氣管線17的流動軸y。假設,在吹驅期間的高流導設定導致在吹驅處理循環期間的高流速。如本說明書的解釋,所述控制系統19和馬達30可在特定製程階段(劑量或吹驅)期間及/或製程階段之間,控制圍繞轉軸x的所述圓閥體31和孔32的角速度和加速度。吹驅處理期間,該馬達30可在高速度下旋轉該圓閥體31,以先終止吹驅循環然後接續劑量處理循環。
對照下,在劑量處理期間,該閥14可設定在一或多個相對低流導設定,導致如圖7所示的相對低流速。例如,該孔32可使用減少通過該閥14的流量之方式移動。例如,在某些實施例中,該閥14的旋轉速度可減慢,及/或暴露於該排氣管線17的孔32的區域在劑量處理期間的該圓閥體31的各種取向下可相對較小達成,例如,在相對於流動軸y的相對大角度(但小於90°)。相對大角度及/或低角速度可使該孔32的小部分暴露於該排氣管線17一段較長時間,導致在劑量處理期間的低流速和較長的停留時間。此外,在劑量處理期間,所述圓閥體31和孔32的角速度可相對較低,使該反應腔室2中的反應物氣體變得相對停滯,導致增加該反應腔室2中的停留時間及改善層形成。
因此,圖6A-7所示的球閥14可有利針對任何適當類型半導體製程裝置提供高速、可變的流導。在某些實施例中,圖6A-7所示的閥14可沿著半導體氣相製程裝置的該排氣管線17置放。在某些實施例中,所述裝置為一氣相沉積裝置。在某些實施例中,所述裝置是一循環CVD裝置。在某些實施例中,所述裝置為一ALD裝置。此外,當該孔32為至少部分暴露於該排氣管線17時,惰性氣體幕33可有利防止反應物氣體污染該圓閥體31的外周邊。
雖然為了清楚和瞭解經由示意說明和實例來詳細描述前述內容,但是熟習該項技藝者明白可實施某些改變和修改。因此,不應將描述及實例詮釋為限制發明範疇於本文中描述之特定具體例及實例,而是亦涵蓋伴隨著發明之真實範疇及精神之所有修改及替代。此外,並非一定需要所有上文描述之特徵、態樣及優點來實施本發明。
1‧‧‧半導體製程裝置 2‧‧‧反應腔室 3‧‧‧噴頭板 4‧‧‧噴頭充氣腔室 5‧‧‧基座 6‧‧‧可動臂 7‧‧‧進氣歧管 8‧‧‧裝載腔室 9‧‧‧隔板 10‧‧‧真空源\真空泵\泵 11‧‧‧模組控制器 12‧‧‧閥控制器 11a、11b、11c、11d、11e、12a、12b、12c、12d、12e、12f、12g、12h、12i、12j、14a、14b‧‧‧組塊 13‧‧‧排氣口、排氣部件 14‧‧‧閥 15‧‧‧排氣管線壓力轉換器 16‧‧‧下腔室壓力轉換器 17‧‧‧排氣管線 18‧‧‧反應器總成 19‧‧‧控制系統 20a‧‧‧第一通信通道 20b‧‧‧第二通信通道 30‧‧‧馬達 31‧‧‧圓閥體 32‧‧‧孔 33‧‧‧惰性氣體幕區域 34‧‧‧感測器 35‧‧‧凸緣 36‧‧‧馬達輸出軸 37‧‧‧前緣 38‧‧‧後緣 50‧‧‧方法 51、52、53、54、55、56、57‧‧‧步驟 F1、F2、F3、FN‧‧‧流速 gi‧‧‧流入氣體 ge‧‧‧排氣 Pset‧‧‧想要設定壓力 V1‧‧‧第一設定位置 V2‧‧‧第二設定位置 R‧‧‧旋轉 x‧‧‧旋轉軸 y‧‧‧流動軸
現將參考數個實施例的附圖來描述本發明的這些及其他特徵、態樣和優點,所述實施例旨在說明而不是限制本發明。 圖1A為在製程階段期間所示之含有一反應器總成之半導體製程裝置的示意側截面圖。 圖1B為裝載/卸載階段期間所示圖1A的半導體製程裝置之示意側截面圖。 圖2為表示氣體負載(流速)、閥控制壓力、閥設定點或位置、反應腔室所測量壓力、與所測量的晶圓壓力和圖1A-1B所示半導體製程裝置的控制壓力之間差異的數值表格。 圖3為繪製跨複數個氣體負載之所測量反應腔室壓力與沿著半導體製程裝置的排氣管線之閥的設定點相比較的曲線圖之實例。 圖4為控制系統電溝通於所述閥的示意系統圖。 圖5為示意說明根據各種實施例之用於操作ALD裝置的方法之流程圖。 圖6A為根據本說明書揭露的各種實施例之閥處於完全打開組態的示意平面圖。 圖6B為處於完全關閉組態之圖6A所示的閥之示意平面圖。 圖7為使用圖6A-6B所示的閥,在一吹驅循環、隨後一劑量循環、隨後另一吹驅循環的隨時間的相對流導之圖式。
50‧‧‧方法
51、52、53、54、55、56、57‧‧‧步驟

Claims (30)

  1. 一種原子層沉積裝置,包括: 一反應器總成,其包括尺寸化適於其中接受基材的一反應腔室; 一排氣管線,其為流體連通於該反應腔室,該排氣管線構造成使氣體輸送出該反應腔室; 一閥,其沿著該排氣管線配置,以沿著該排氣管線來調節氣體的流動,該閥具有複數個流導設定;及 一控制系統,其構造成控制該閥的操作, 其中,在該原子層沉積裝置的一劑量狀態期間,該控制系統構造成發送對應於該複數個流導設定之一第一流導的一第一信號至該閥;及 其中,在該原子層沉積裝置的吹驅狀態期間,該控制系統構造成發送對應於該複數個流導設定之一第二流導的一第二信號至該閥。
  2. 如申請專利範圍第1項所述之原子層沉積裝置,其中該第二流導為較高於該第一流導。
  3. 如申請專利範圍第1項所述之原子層沉積裝置,其中該第一流導對應於該閥的一第一預編程設定點,其中該第二流導對應於該閥的一第二預編程設定點,其中該控制系統構造成基於一結合該反應腔室中的所測量壓力與跨複數個氣體負載的該複數個流導設定之查找表,以決定該第一預編程設定點,而且其中該控制系統構造成基於該查找表以決定該第二預編程設定點。
  4. 如申請專利範圍第3項所述之原子層沉積裝置,其中該反應器總成包括一配置在該反應腔室下方的裝載腔室,該反應腔室在沉積製程期間基本上是與該裝載腔室密封,該反應腔室在將該基材裝載至該反應器總成期間為流體連通於該裝載腔室。
  5. 如申請專利範圍第4項所述之原子層沉積裝置,其中當該反應腔室為流體連通於該裝載腔室時,該反應腔室的所測量壓力是在該裝載腔室中測得。
  6. 如申請專利範圍第5項所述之原子層沉積裝置,其更包括構造成支撐基材的一基座、及構造成介於該反應腔室和該裝載腔室之間移動該基座的一可動臂,當所測量的壓力是在該裝載腔室中測得時,該基座配置在該裝載腔室中。
  7. 如申請專利範圍第1項所述之原子層沉積裝置,其中該控制系統包括一模組控制器與一閥控制器,該模組控制器構造成控制該閥控制器的操作,該閥控制器構造成控制該閥的操作。
  8. 如申請專利範圍第7項所述之原子層沉積裝置,其中該模組控制器構造成發送關於用於沉積製程的一控制模式與關於用於沉積製程的一控制設定點之指令至該閥控制器。
  9. 如申請專利範圍第1項所述之原子層沉積裝置,其中該控制系統構造成於一開迴路控制模式進行操作。
  10. 如申請專利範圍第9項所述之原子層沉積裝置,其中該控制系統進一步構造成於一閉迴路控制模式進行操作。
  11. 如申請專利範圍第1項所述之原子層沉積裝置,其中該閥包括一節流閥。
  12. 如申請專利範圍第1項所述之原子層沉積裝置,其中該閥包括一球閥。
  13. 如申請專利範圍第12項所述之原子層沉積裝置,其中該球閥包括一閥體與通過該閥體形成的一孔,該閥體和該孔構造成圍繞一旋轉軸旋轉,以沿著該排氣管線提供該複數個流導設定。
  14. 如申請專利範圍第13項所述之原子層沉積裝置,其更包括構造成圍繞於該旋轉軸旋轉該閥體和該孔的一馬達。
  15. 如申請專利範圍第14項所述之原子層沉積裝置,其中在該吹驅狀態期間,該控制系統構造成指使該馬達使用較高於該劑量狀態期間的速度來移動該閥體和該孔。
  16. 如申請專利範圍第13項所述之原子層沉積裝置,其更包括構造成感測該孔圍繞於該旋轉軸取向的一取向感測器。
  17. 如申請專利範圍第16項所述之原子層沉積裝置,其中該控制系統構造成基於傳輸至該取向感測器的一反饋信號以控制該馬達的操作。
  18. 如申請專利範圍第12項所述之原子層沉積裝置,其更包括介於該閥體和該閥體所在的一凸緣之間的一間隙、及供應惰性氣體至該間隙的一入口。
  19. 一種半導體製程裝置,包括: 一反應器總成,其包括尺寸化適於其中接收一基材的一反應腔室; 一排氣管線,其為流體連通於該反應腔室,該排氣管線構造成使氣體輸送出該反應腔室; 一閥,其沿著該排氣管線配置,以沿著該排氣管線來調節氣體的流動;及 一控制系統,其構造成於一開迴路控制模式進行操作,從而控制該閥的操作。
  20. 如申請專利範圍第19項所述之半導體製程裝置,其中該半導體製程裝置構造成在不同階段中使用不同整體流速來執行具有多個階段的製程。
  21. 如申請專利範圍第19項所述之半導體製程裝置,其中該半導體製程裝置包括一原子層沉積裝置。
  22. 如申請專利範圍第19項所述之半導體製程裝置,其中該閥具有對應於對應的複數個流導的複數個設定點,其中在該原子層沉積裝置的一劑量狀態期間,該控制系統構造成發送一第一信號至該閥,從而將該閥置於對應該複數個流導的一第一流導之該複數個設定點的一第一預編程設定點,而且其中在該原子層沉積裝置的一吹驅狀態期間,該控制系統構造成發送一第二信號至該閥,從而將該閥置於對應該複數個流導的一第二流導之該複數個設定點的一第二預編程設定點。
  23. 如申請專利範圍第22項所述之半導體製程裝置,其中該控制系統構造成基於結合該反應腔室中的所測量壓力與跨複數個氣體負載的該複數個設定點之一查找表,以決定該第一預編程設定點,而且其中該控制系統構造成基於該查找表以決定該第二預編程設定點。
  24. 一種控制原子層沉積裝置之方法,該原子層沉積裝置包括一反應腔室、使氣體輸送出該反應腔室的一排氣管線、及沿著該排氣管線的一閥,該方法包括: 針對該原子層沉積裝置的一劑量狀態,至少部分基於該反應腔室中的一第一期望壓力與用於該劑量狀態的一第一氣體負載,決定對應於一第一流導的該閥之一第一流導設定; 針對該原子層沉積裝置的一吹驅狀態,至少部分基於該反應腔室中的一第二期望壓力與用於該吹驅狀態的一第二氣體負載,決定對應於一第二流導的該閥之一第二流導設定; 針對至少一部分的該劑量狀態,將該閥置於該第一流導設定; 在該劑量狀態期間,將一第一反應物蒸氣脈衝入該反應腔室中; 針對至少一部分的該吹驅狀態,將該閥置於該第二流導設定;及 在該吹驅狀態期間,透過供應一惰性氣體至該反應腔室以吹驅該反應腔室。
  25. 如申請專利範圍第24項所述之控制原子層沉積裝置之方法,其中該第一期望壓力是大致相同於該第二期望壓力。
  26. 如申請專利範圍第24項所述之控制原子層沉積裝置之方法,其中該原子層沉積裝置包括構造成支撐一基材的一基座、位於該反應腔室下方的一裝載腔室、及暴露於該裝載腔室的一下腔室壓力轉換器,該方法包括: 將該基座定位於該裝載腔室中,使得該裝載腔室為流體連通於該反應腔室;及 針對沿著該排氣管線的複數個氣體負載,對該閥的複數個設定點來測量該裝載腔室中的壓力。
  27. 如申請專利範圍第26項所述之控制原子層沉積裝置之方法,其更包括結合一查找表中的該等測量壓力與跨該複數個氣體負載的該複數個設定點。
  28. 如申請專利範圍第27項所述之控制原子層沉積裝置之方法,其更包括至少部分基於該查找表來決定該閥的該第一流導設定及決定該閥的該第二流導設定。
  29. 如申請專利範圍第24項所述之控制原子層沉積裝置之方法,其更包括使用一開迴路控制模式來控制該原子層沉積裝置的操作。
  30. 如申請專利範圍第24項所述之控制原子層沉積裝置之方法,其更包括在該劑量狀態期間,將該閥置於複數個第一流導設定,及在該吹驅狀態期間,將該閥置於複數個第二流導設定。
TW108111663A 2018-06-01 2019-04-02 原子層沉積裝置、半導體製程裝置及控制原子層沉積裝置之方法 TWI791104B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/996,350 2018-06-01
US15/996,350 US10774422B2 (en) 2018-06-01 2018-06-01 Systems and methods for controlling vapor phase processing

Publications (2)

Publication Number Publication Date
TW202003908A true TW202003908A (zh) 2020-01-16
TWI791104B TWI791104B (zh) 2023-02-01

Family

ID=68694460

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108111663A TWI791104B (zh) 2018-06-01 2019-04-02 原子層沉積裝置、半導體製程裝置及控制原子層沉積裝置之方法
TW111150520A TW202321502A (zh) 2018-06-01 2019-04-02 控制原子層沉積裝置之方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW111150520A TW202321502A (zh) 2018-06-01 2019-04-02 控制原子層沉積裝置之方法

Country Status (4)

Country Link
US (2) US10774422B2 (zh)
KR (3) KR20190137679A (zh)
CN (1) CN110551991B (zh)
TW (2) TWI791104B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11579114B2 (en) * 2019-01-31 2023-02-14 Femtodx, Inc. Four point semiconductor nanowire-based sensors and related methods
CN112802737A (zh) * 2019-11-13 2021-05-14 东京毅力科创株式会社 基片处理方法和基片处理装置
US20210404059A1 (en) * 2020-06-26 2021-12-30 Applied Materials, Inc. Processing system and method of controlling conductance in a processing system
CN111996510B (zh) * 2020-08-04 2022-12-02 西安电子科技大学芜湖研究院 一种用于金刚石生长的plc真空压力控制方法及装置

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6812157B1 (en) 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US7060132B2 (en) 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US6701066B2 (en) * 2001-10-11 2004-03-02 Micron Technology, Inc. Delivery of solid chemical precursors
US6902620B1 (en) 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
WO2003062490A2 (en) 2002-01-17 2003-07-31 Sundew Technologies, Llc Ald apparatus and method
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6936086B2 (en) 2002-09-11 2005-08-30 Planar Systems, Inc. High conductivity particle filter
CN1777696B (zh) * 2003-03-14 2011-04-20 杰努斯公司 用于原子层沉积的方法和设备
JP3802889B2 (ja) * 2003-07-01 2006-07-26 東京エレクトロン株式会社 熱処理装置及びその校正方法
US7408225B2 (en) * 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US7846499B2 (en) 2004-12-30 2010-12-07 Asm International N.V. Method of pulsing vapor precursors in an ALD reactor
KR20100106608A (ko) * 2008-01-31 2010-10-01 어플라이드 머티어리얼스, 인코포레이티드 폐쇄 회로 mocvd 증착 제어
TWI501704B (zh) * 2008-02-08 2015-09-21 Lam Res Corp 於電漿處理系統中用以改變面積比之方法與裝置
US8216380B2 (en) 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US20130025786A1 (en) 2011-07-28 2013-01-31 Vladislav Davidkovich Systems for and methods of controlling time-multiplexed deep reactive-ion etching processes
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US10566187B2 (en) * 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10731248B2 (en) * 2016-01-15 2020-08-04 Tokyo Electron Limited Vacuum processing apparatus and operation method thereof
US20180274615A1 (en) * 2017-03-27 2018-09-27 Goodrich Corporation Common vacuum header for cvi/cvd furnaces

Also Published As

Publication number Publication date
KR20230144993A (ko) 2023-10-17
TWI791104B (zh) 2023-02-01
CN110551991B (zh) 2022-10-28
KR20230065219A (ko) 2023-05-11
US10774422B2 (en) 2020-09-15
KR20190137679A (ko) 2019-12-11
US11891693B2 (en) 2024-02-06
US20200370179A1 (en) 2020-11-26
CN110551991A (zh) 2019-12-10
TW202321502A (zh) 2023-06-01
US20190368038A1 (en) 2019-12-05

Similar Documents

Publication Publication Date Title
TWI791104B (zh) 原子層沉積裝置、半導體製程裝置及控制原子層沉積裝置之方法
US10475641B2 (en) Substrate processing apparatus
US11479856B2 (en) Multi-cycle ALD process for film uniformity and thickness profile modulation
KR100448112B1 (ko) 막 침착장치 및 침착방법
CN102308362B (zh) 半导体处理室中减少污染的方法及其装置
KR101575359B1 (ko) 성막 장치, 기판 처리 장치, 성막 방법 및 상기 성막 방법용 기억 매체
US20100068383A1 (en) Film deposition apparatus, film deposition method, and computer readable storage medium
US20090325386A1 (en) Process and System For Varying the Exposure to a Chemical Ambient in a Process Chamber
JP2010073823A (ja) 成膜装置、成膜方法、及びコンピュータ可読記憶媒体
US11784070B2 (en) Heat treatment apparatus, heat treatment method, and film forming method
WO2012120991A1 (ja) 基板処理装置、及び、基板の製造方法
US20210087684A1 (en) Deposition apparatus and deposition method
US11674224B2 (en) Film forming method and film forming apparatus
US20220411933A1 (en) Film forming apparatus
KR20210128914A (ko) 원료 공급 장치 및 성막 장치
TWI731226B (zh) 基板處理裝置
JP2005142355A (ja) 基板処理装置及び半導体装置の製造方法
WO2023139925A1 (ja) プラズマ成膜装置及びプラズマ成膜方法
JP2021014614A (ja) 基板処理方法及び基板処理装置