TW201946107A - 半導體裝置的形成方法 - Google Patents

半導體裝置的形成方法 Download PDF

Info

Publication number
TW201946107A
TW201946107A TW108112220A TW108112220A TW201946107A TW 201946107 A TW201946107 A TW 201946107A TW 108112220 A TW108112220 A TW 108112220A TW 108112220 A TW108112220 A TW 108112220A TW 201946107 A TW201946107 A TW 201946107A
Authority
TW
Taiwan
Prior art keywords
layer
metal
photoresist
etching
substrate
Prior art date
Application number
TW108112220A
Other languages
English (en)
Inventor
訾安仁
張慶裕
林進祥
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201946107A publication Critical patent/TW201946107A/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一種方法包含在基底之上旋轉塗佈第一無金屬層;在第一無金屬層之上沉積含金屬層;在含金屬層之上旋轉塗佈第二無金屬層;在第二無金屬層之上形成光阻層,光阻層包含第一金屬元素;將光阻層曝光;以及接著顯影光阻層以形成一個圖案。含金屬層包含選自鋯、錫、鑭、或錳的第二金屬元素,及選自鋯、錫、銫、鋇、鑭、銦、銀、或鈰的第一金屬元素。

Description

半導體裝置的形成方法
本揭露內容是有關於一種半導體裝置的形成方法,特別是有關於一種具有光阻結構的半導體裝置的形成方法。
半導體積體電路(integrated circuit,IC)產業已經歷了快速的成長。在積體電路(IC)演進的歷程中,伴隨幾何尺寸(亦即使用生產製程可產生的最小組件(或線))的縮小,功能密度(亦即單位晶片面積的互連裝置數量)已普遍地增加。這樣的尺寸縮減的過程普遍地為提升生產效率與降低相關成本帶來了好處。然而,這樣的尺寸縮減也隨之增加了包含這些積體電路(IC)的裝置的設計與製造的複雜性。為了實現這些發展,需要在裝置的加工製造上進行類似的開發。
在一個範例的面向中,光微影(或簡稱「微影」)是使用微尺度製程(micro-fabrication),例如是半導體製程,來選擇性地移除基底或薄膜的一些部件的一種製程。這種製程使用光線來將一個圖案(例如幾何圖案)從光遮罩轉移到基底上的感光層(例如光阻層)。近來,由於極紫外光射線源(extreme ultraviolet (EUV) radiation source)具有短曝光波長(例如小於100奈米),極紫外光射線源已經被採用來提供縮減的特徵部件尺寸。然而,在如此小的尺寸(dimensions)下,變得難以在微影製程中控制圖案化特徵部件的邊緣的粗糙度。因此,許多的努力投注在改善光阻材料的結構與組成,以控制此種粗糙度,並且確保合適的圖案化結果。雖然此種改善已經獲得普遍的有益結果,但仍無法完全地令人滿意。基於上述的這些以及一些其他的理由,需要進一步的改良。
本發明的一些實施例提供一種方法。此方法包含:提供基底;在基底之上形成第一層,其中第一層實質上不包含任何金屬元素;在第一層之上形成第二層,其中第二層包含第一金屬元素;在第二層之上形成第三層,其中第三層實質上不包含任何金屬元素;在第三層之上形成光阻層,其中光阻層包含第二金屬元素;藉由射線源將光阻層曝光;以及顯影光阻層以形成光阻圖案。
本發明的一些實施例提供一種方法。此方法包含:提供基底;在基底之上形成複合結構,此複合結構包含:第一層,形成於基底之上,其中第一層實質上不包含任何金屬元素;第二層,形成於第一層之上,其中第二層包含第一金屬元素;及第三層,形成於第二層之上,其中第三層實質上不包含任何金屬元素;在複合結構之上形成光阻層,其中光阻層包含第二金屬元素;將光阻層曝光;顯影光阻層以形成光阻圖案;使用光阻圖案作為蝕刻遮罩來進行第一蝕刻製程,以形成圖案化第三層,其中第一蝕刻製程移除第三層的多個部分,而實質上未移除第二層的多個部分;使用圖案化第三層作為蝕刻遮罩來進行第二蝕刻製程,以形成圖案化第二層,其中第二蝕刻製程移除第二層的多個部分,而實質上未移除第一層的多個部分;以及使用圖案化第二層作為蝕刻遮罩來進行第三蝕刻製程,以形成圖案化第一層,其中第三蝕刻製程移除第一層的多個部分,而實質上未移除基底的多個部分。
本發明的一些實施例提供一種方法,此方法包含:在基底之上旋轉塗佈第一無金屬層(metal-free layer);在第一無金屬層之上沉積含金屬層(metal-containing layer);在含金屬層之上旋轉塗佈第二無金屬層;在第二無金屬層之上形成光阻層,其中光阻層包含第一金屬元素;將光阻層曝光;以及顯影光阻層以形成光阻圖案。在一些實施例中,此方法更包含:在各自旋轉塗佈第一無金屬層及第二無金屬層之後,各自烘烤第一無金屬層及第二無金屬層。
以下內容提供了很多不同的實施例或範例,用於實現本發明實施例的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例來說,敘述中若提及第一部件形成於第二部件上或之上,可能包含形成第一和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一和第二部件之間,使得第一和第二部件不直接接觸的實施例。另外,本發明實施例可能在許多範例中重複元件符號及/或字母。這些重複是為了簡化和清楚的目的,其本身並非代表所討論各種實施例及/或配置之間有特定的關係。
另外,本發明實施例可能在許多範例中重複元件符號及/或字母。這些重複是為了簡化和清楚的目的,其本身並非代表所討論各種實施例及/或配置之間有特定的關係。並且,本發明實施例中,一個部件形成於另一部件上、連接至另一部件、及/或耦接至另一部件,可能包含形成這些部件直接接觸的實施例,也可能包含額外的部件可能形成於這些部件之間,使得這些部件不直接接觸的實施例。此外,一些空間上的相關用語,例如「下方的」、「上方的」、「水平的」、「垂直的」、「在…上方」、「在…之上」、「在…下方」、「在…之下」、「朝上的」、「朝下的」、「頂部」、「底部」和其他類似的衍生用語(例如,「水平地」、「向下地」、「向上地」等)可用於此,以便描述本發明實施例之一個部件與其他部件之間的關係。此些空間上的相關用語用於涵蓋包含此些部件的裝置的不同方位。再者,當使用「約」、「大約」或類似的用語來描述一個數字或一個數值範圍時,除非有另外指明,則此用語是用於表示包含此數值及與此數值相差±10%的數值範圍。舉例而言,「約5奈米」的用語包含了4.5奈米至5.5奈米的尺寸範圍。
本發明實施例有關於積體電路裝置的製造方法,且特別是有關於使用多層光阻結構來進行裝置圖案化製程。當半導體部件的尺寸降低至小於20奈米,光阻的線緣粗糙度(line edge roughness,LER)及/或線寬粗糙度(line width roughness,LWR)則扮演了越來越關鍵的角色。部件輪廓的此種粗糙度可能來自於以下的因素,例如被光阻材料所吸收的光子的數量,與光阻材料下方的各個材料層的蝕刻選擇比。經由三層結構的光阻結構,其包含形成在基底上的光阻層、中間層(例如硬遮罩層)、及底層(例如底抗反射層(BARC)),在一步的改良上已經表現出充分的結果。因此,本發明實施例提供一種多層光阻結構及對應的製造方法,用以在微影圖案化製程改進部件的粗糙度。
第1A、1B和1C圖是根據本發明實施例的多個面向,一種將工件200圖案化的方法100的流程圖。方法100僅僅是範例,並非用以將本發明實施例限定至超出申請專利範圍明確敘述的範圍之外。在方法100的之前、之間、及/或之後可提供額外的操作步驟,且可以將本文所述的一些操作步驟取代、消除或移動順序,而形成製程的多個進一步的實施例。以下參照如第2~9及12~24圖所示的工件200的剖面示意圖來描述方法100的中間步驟,而光阻材料的一些實施例的範例化學結構的示意圖則如第10~11圖所示。為了清楚並易於解釋,簡化了圖式中的一些元件。
參照第1A圖的步驟方塊102及第2圖,方法100包含提供(或接收)包含基底202的工件200。基底202可包含元素(單一元素)半導體,例如結晶結構中的鍺及/或矽;化合物半導體,例如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦;合金半導體,例如SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、及/或GaInAsP;非半導體材料,例如鈉鈣玻璃(soda-lime glass)、熔融矽(fused silica)、熔融石英(fused quartz)、及/或氟化鈣(CaF2 );及/或上述的任意組合。
基底202可以是具有均質組成的單層材料,或者基底202可包含適合用於積體電路裝置製造的具有類似或不同組成的多個材料層。一範例中,基底202可以是絕緣層上半導體(semiconductor-on-insulator,SOI)基板,其具有半導體矽層形成於氧化矽層上。其他範例中,基底202可包含導電層、半導體層、介電層、其他層、及/或上述的任意組合。
在基底202之上可以形成多種電路部件,例如包含場效電晶體(FET)、金屬氧化物半導體場效電晶體(MOSFET)、互補式金屬氧化物半導體(CMOS)電晶體、高壓電晶體、高頻電晶體、雙極性接面電晶體、二極體、電阻、電容、電感、變容二極體(varactor)、其他適用的裝置、及/或上述的任意組合。
在一些實施例中,當基底202包含場效電晶體(FET),基底202上可形成多種摻雜區,例如源極/汲極區。根據設計的需求,摻雜區可以摻雜p型摻雜物及/或n型摻雜物,p型摻雜物例如是磷或砷,n型摻雜物例如是硼或BF2 。摻雜區可以是平面的或非平面的(例如在鰭式場效電晶體裝置中),且可以直接形成在基底上、在P型井中、在N型井中、在雙井結構中、或使用突起結構(raised structure)來形成。摻雜區可以經由佈植摻雜原子、原位摻雜磊晶成長、及/或其他適用的技術而形成。
參照第1A圖的步驟方塊104及第2圖,方法100包含在基底202之上形成第一層204。在許多實施例中,第一層204實質上不包含任何金屬元素。在本發明實施例中,「實質上不包含」的用語表示一個給定材料層包含的某個元素的濃度是在不高於被認定為雜質的程度,例如是低於約0.1原子比例的程度。具體而言,金屬元素可以是純金屬的形式、金屬化合物的形式(例如,金屬氧化物、金屬氮化物、金屬氮氧化物、金屬矽化物、金屬碳化物等)、金屬合金的形式(例如,多種金屬成分的組合)、或上述的任意組合。一個這種範例中,第一層204不包含任何金屬元素(亦即,任何金屬元素的濃度均大約為0)。
一實施例中,第一層204包含具有以下結構中的一者的富碳聚合物,其中x、y和z各自表示大於或等於1的整數,n表示大於或等於2的整數。更一實施例中,第一層204包含具有以下結構的聚合物的混合物。第一層204可以是底抗反射層(bottom anti-reflective coating,BARC),其組成經選擇用來在後續形成光阻層的曝光製程中,使射線源的反射率最小化。
其他實施例中,第一層204包含具有如下所示的範例結構的富矽聚合物,其中,R、R’、R’’、R’’’、和R’’’’各自獨立地選自具有1至12個碳原子的芳族碳環、直鏈或環狀烷基、烷氧基、氟代烷基、具有1至12個碳原子的氟代烷氧基鏈、直鏈或環狀烯烴、炔烴、羥基、酮、醛、碳酸酯、羧酸、酯、醚、醯胺、胺、亞胺、亞醯胺、疊氮化物、硝酸鹽、腈,亞硝酸鹽、或具有1至12個碳原子的硫醇官能基,且其中x表示在括弧中的結構的重複單元的數目,且x可以是介於1和30之間。在許多實施例中,R、R’、R’’、R’’’、和R’’’’是分別不同的官能基。在一範例中,R’可以是發色團官能基(chromophore moiety)。在其他範例中,R’’ 可以是相對於用於曝光後續形成的光阻層的射線源透明的官能基(moiety)。在其他範例中,R’’’可以是交聯官能基(crosslinking moiety)。在另外其他範例中,R’’’’ 可以是單價烴官能基(monovalent hydrocarbon group)。在一些其他實施例中,R、R’、R’’、R’’’、和R’’’’可以是相同的且各自為一個羥官能基(hydroxyl group)。
在許多實施例中,第一層204可以經由將前述的富碳聚合物及/或富矽聚合物旋轉塗佈在基底202的頂表面上(或者是多層基底202的最頂材料層)而形成,且可以形成為具有任何適合的厚度。在一些實施例中,第一層204的厚度是約50埃至約500埃。在所述的實施例中,第一層204的厚度是約250埃至約500埃。旋轉塗佈可以經由以下方式實施,將溶解於適合的溶劑中的富碳聚合物及/或富矽聚合物沉積在基底202的頂表面,接著或同時搭配旋轉基底202,而使得富碳聚合物及/或富矽聚合物形成跨過基底202的頂表面的一層薄膜。富碳聚合物及/或富矽聚合物可以溶解在任何適合的溶劑中,例如包含乙酸正丁酯、甲基正戊基酮、4-甲基-2-戊醇、丙二醇甲醚乙酸酯、丙二醇甲醚、γ-丁內酯、乳酸乙酯、環己酮、乙基酮、二甲基甲醯胺、醇類(例如,乙醇和甲醇)、其他適合的溶劑、或上述的任意組合。然後,經由烘烤(亦即,固化(curing))將溶劑蒸發,以形成第一層204。在許多實施例中,烘烤溫度是在約180度C至約350度C的範圍。其他烘烤溫度也可以適用於蒸發溶劑。
參照第1A圖的步驟方塊106及第3圖,方法100包含在第一層204之上形成第二層206。在許多實施例中,第二層206是含金屬層,其包含至少一個金屬元素,此金屬元素是純金屬的形式、金屬化合物的形式(例如,金屬氧化物、金屬氮化物、金屬氮氧化物、金屬矽化物、金屬碳化物等)、金屬合金的形式(例如,多種金屬成分的組合)、或上述的任意組合。金屬元素的非限定的範例包含鋯、鑭、錳、銅、鉭、鎢、鉿、錫、鋁、鈦、銅、和鈷。在許多實施例中,第二層206可以經由任何適合的製程形成,包含物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)、低壓化學氣相沉積(LPCVD)、電漿增強化學氣相沉積(PECVD)、及/或其他適合的技術,且可以形成為具有任何適合的厚度。在許多實施例中,第二層206的厚度是在約100埃至約250埃的範圍。如果第二層206的厚度大於約250埃,則後續形成在第二層206中的圖案可能會因為增大的深寬比(aspect ratio)而崩塌。另一方面,如果第二層206的厚度小於約100埃,則對下方的層(例如第一層204)進行蝕刻的製程範圍(processing window)可能會受到不利的影響。在一些實施例中,第二層206的厚度是第一層204的厚度的約20%至約80%的範圍。在所述的實施例中,第二層206的厚度是在約100埃至約200埃的範圍。因此,和實質上不包含金屬元素的第一層204相比,含金屬的第二層206實質上較薄,且相對於第一層204可以具備預期的蝕刻選擇比,因不需要犧牲蝕刻製程的圖案解析度。
參照第1A圖的步驟方塊108及第4圖,方法100包含在第二層206之上形成第三層208。在許多實施例中,類似於前述的第一層204的狀況,第三層208實質上不包含任何金屬。在一範例中,第三層208可包含如前所述的富碳聚合物及/或富矽聚合物。在所述的實施例中,第三層208可以藉由類似於第一層204的方式來形成,亦即藉由旋轉塗佈而形成為具有任何適合的厚度。在所述的實施例中,第三層208的厚度與第一層204的厚度類似,因此實質上比含金屬的第二層206厚。在許多實施例中,第二層206的厚度是第三層208的厚度的約1%至約70%的範圍。在旋轉塗佈製程之後,可以接著在約180度C至約350度C的範圍的溫度烘烤第三層208。
參照第1A圖的步驟方塊110及第5圖,方法100包含在第三層208之上形成第四層210。在許多實施例中,第四層210包含至少一個金屬元素,此金屬元素是純金屬的形式、金屬化合物的形式(例如,金屬氧化物、金屬氮化物、金屬氮氧化物、金屬矽化物、金屬碳化物等)、金屬合金的形式(例如,多種金屬成分的組合)、或上述的任意組合。類似於之前對於第二層206的論述,第四層210可包含一或多個以下的金屬元素:鋯、鑭、錳、銅、鉭、鎢、鉿、錫、鋁、鈦、銅、鈷、或其他適合的元素。在一些實施例中,第四層210包含的一個金屬元素與第二層206包含的一個金屬元素不同。在一個範例實施例中,第四層210包含與第二層206相同的金屬元素。在許多實施例中,第四層210可以經由任何適合的製程形成,包含物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)、低壓化學氣相沉積(LPCVD)、電漿增強化學氣相沉積(PECVD)、及/或其他適合的技術,且可以形成為具有任何適合的厚度。在一個範例實施例中,第四層210形成為具有與第二層206大約相同的厚度,且因此比第一層204和第三層208薄。在許多實施例中,第四層210的厚度是第三層208或第一層204的厚度的約1%至約70%的範圍。
參照第1A圖的步驟方塊112及第6圖,方法100包含在第四層210之上形成第五層212。在許多實施例中,如同前述的第一層204和第三層208,第五層212實質上不包含任何金屬。在一範例中,第五層212可包含如前所述的富碳聚合物及/或富矽聚合物。在所述的實施例中,第一層204可以是富碳的底抗反射(BARC)層,而第五層212可以是富矽層。在一個此種範例中,類似於之前對於第一層204的論述,第五層212包含富矽聚合物。在許多實施例中,第五層212所包含的富矽聚合物可以改善下方的層與之後形成的光阻層(例如光阻層214)之間的接合性(adhesion)。第五層212可以藉由類似於第一層204和第三層208的方式來形成,亦即藉由旋轉塗佈而形成為具有任何適合的厚度。在所述的實施例中,第五層212的厚度與第一層204(以及第三層208)的厚度類似,且因此實質上比第四層210厚。在旋轉塗佈製程之後,可以接著在約150度C至約500度C的範圍的溫度烘烤第五層212。在所述的實施例中,第一層204、第二層206、第三層208、第四層210、及第五層210部分地或全面地視為一個複合結構。在一些實施例中,根據工件200的特殊設計需求及/或由此所製作的裝置,第一層204、第二層206、第三層208、第四層210、及第五層210中的一或多個是選擇性的,且可以被省略。
參照第1A圖的步驟方塊114及第7圖,方法100包含在第五層212之上形成光阻層214。光阻層214可以是任何光微影敏感(lithographically sensitive)的阻劑材料,且在許多實施例中,光阻層214包含對射線源216(例如紫外光、深紫外光(DUV)射線、及/或如第8圖所述的極紫外光(EUV)射線)敏感的光阻材料。然而,本發明實施例的原則同樣可以適用在電子束阻劑或其他直寫(direct-write)阻劑材料。
光阻層214可以具有單層結構或多層結構。在一個實施例中,光阻層214包含一種阻劑材料(未繪示),當藉由射線源216曝光此阻劑材料之後,此阻劑材料便化學性地分解(及/或改變極性)並且隨後溶解於顯影劑中。或者,光阻層214包含一種阻劑材料,藉由射線源曝光此阻劑材料之後,此阻劑材料聚合(及/或交聯)而變得不溶於顯影劑。值得注意的是,本文所述的光阻層214實質上不包含任何感光性官能基,例如光酸產生劑(photo-acid generator,PAG)、熱酸產生劑(thermal-acid generator,TAG)、光鹼產生劑(photo-base generator,PBG)、光分解鹼(photo-decomposable base,PDB)、光分解淬滅劑(photo-decomposable quencher,PDQ)、或其他感光性官能基。在所述的實施例中,光阻層214包含具有結構402(參照第10圖)、結構408(參照第11圖)、或上述的組合的阻劑材料。
參照第10圖,結構402可以是包含核心基團404及環繞核心基團404的多個配位基412的顆粒(例如團簇(cluster))。在所述的實施例中,虛線表示核心基團404與配位基412之間的離子、共價、金屬、或凡得瓦鍵結。在許多實施例中,核心基團404包含至少一個金屬元素,此金屬元素是純金屬的形式(亦即金屬原子)、金屬化合物的形式(例如,金屬氧化物、金屬氮化物、金屬氮氧化物、金屬矽化物、金屬碳化物等)、金屬合金的形式(例如,多種金屬成分的組合)、或上述的任意組合。在許多實施例中,核心基團404包含與第二層206及/或第四層210相同的金屬元素,例如是鋯、鑭、錳、銅、鉭、鎢、鉿、錫、鋁、鈦、銅、鈷、或其他適合的元素。在一個範例實施例中,第二層206及/或第四層210包含鑭、銀或鈰中的一者,而核心基團404包含錳。在一些實施例中,核心基團404可以是金屬氧化物(例如氧化鋯)或純金屬原子(例如錫原子)。在一些其他實施例中,核心基團404是帶正電荷的金屬離子。多個配位基412彼此之間可以相同或不同,且可以包含直鏈或環狀烷基、烷氧基、羧酸、烯烴、或其它具有1至12個碳原子的官能基。在所述的實施例中,結構402包含核心基團404及多個配位基412(實施例並未限定為如第10圖所示的四個配位基412)組織而成的一個顆粒(例如團簇)。
參照第11圖,結構408可以是包含一個主幹414及與其鍵結的多個官能基416的聚合物鏈。主幹414可包含任何適合的化學結構,且可包含丙烯酸酯基聚合物、聚(降冰片烯)-順-馬來酸酐(COMA)聚合物、聚(羥基苯乙烯)聚合物、其他適合的聚合物、或上述的任意組合。在許多實施例中,官能基416包含至少一個金屬元素,此金屬元素是純金屬的形式(亦即金屬原子)、金屬化合物的形式(例如,金屬氧化物、金屬氮化物、金屬氮氧化物、金屬矽化物、金屬碳化物等)、金屬合金的形式(例如,多種金屬成分的組合)、或上述的任意組合。在所述的實施例中,官能基416包含帶正電荷的金屬離子,其鍵結至兩個配位基418。在許多實施例中,官能基416包含與第二層206及/或第四層210相同的金屬元素,例如是鋯、鑭、錳、銅、鉭、鎢、鉿、錫、鋁、鈦、銅、鈷、其他適合的元素、或上述的任意組合。在一些實施例中,官能基416包含一個金屬元素,例如是銫、鋇、鑭、鈰、銦、銀、銻、其他適合的元素、或上述的任意組合。在所述的實施例中,第二層206及/或第四層210包含鑭、銀或鈰,而官能基416包含錳。多個配位基418彼此之間可以相同或不同,且可以包含直鏈或環狀烷基、烷氧基、羧酸、烯烴、或其它具有1至12個碳原子的官能基。在一些實施例中,額外的官能基可以鍵結至主幹414及/或位於主幹414與官能基416之間。
可以經由任何適合的技術施作光阻層214。在一些實施例中,使用旋塗(亦即旋轉塗佈)來提供具有液體形式的光阻層214。為了促進應用,光阻層214可包含一種溶劑或數種溶劑的混合物,當溶劑蒸發則留下固態或半固態形式(例如,膜)的光阻層214。溶劑的非限定範例包含乙酸正丁酯、甲基正戊基酮、4-甲基-2-戊醇、丙二醇甲醚乙酸酯、丙二醇甲醚、γ-丁內酯、乳酸乙酯、環己酮、乙基酮、二甲基甲醯胺、醇類(例如,乙醇和甲醇)、其他適合的溶劑、或上述的任意組合。在旋轉塗佈時、沈降(settling)製程期間、及/或施加後/曝光前的烘烤製程期間,溶劑可能會被驅散。曝光前的烘烤製程可以藉由任何適合的儀器來實施,例如加熱板,且可以在任何適用於光阻層214及其採用的溶劑的特定組成的任何溫度來進行。
在一些其他實施例中,藉由沉積方法來施加光阻層214,例如物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)、其他適合的方法、或上述的任意組合。在一個此種範例中,可以使用與形成第二層206及/或第四層210相同的沉積方法來施加光阻層214。
參照第1A圖的步驟方塊116及第8圖,方法100包含藉由射線源216將光阻層214曝光。在許多實施例中,射線源216可以是I線(I-line)(波長大約是365奈米)、深紫外光射線源如氟化氪(KrF)準分子雷射(波長大約是248奈米)或氟化氬(ArF)準分子雷射(波長大約是193奈米)、極紫外光射線(波長大約是約1奈米至約100奈米)、x光、電子束、離子束、及/或其他適合之射線。步驟方塊112的曝光製程可以在空氣中、液體(浸潤式微影)中、或真空(例如,針對極紫外光微影與電子束微影)中進行。在所述的實施例中,步驟方塊116的曝光製程採用使用光罩220的光微影技術,而光罩220包含位於其上的一個圖案218。光罩220可以是透射式遮罩或反射式遮罩,後者可以進一步實施解析度增益技術(resolution enhancement technique),例如移相(phase-shifting)、離軸照射(off-axis illumination,OAI)、及/或光學近似修正(optical proximity correction,OPC)。在其他實施例中,射線源216直接藉由一個預先設定的圖案調控,例如積體電路輪廓,而不需使用光罩220(例如使用數位圖案產生器(digital pattern generator)或直寫模式)。在一個範例實施例中,射線源216是極紫外光射線,且步驟方塊116的曝光製程是在極紫外光微影系統中進行。對應於此,反射式的光罩220可以用於將光阻層214圖案化。
如第9圖所示,光阻層214的區域222藉由射線源216進行曝光而進行化學改變,而未曝光的區域230的化學性質維持不變。相對於結構402並參照第10圖,配位基412承受射線源216的曝光而從核心基團404解離,且形成較大的顆粒(亦即結構402),其包含多個核心基團404與環繞這些核心基團404的多個配位基412。相對於結構408並參照第11圖,不同聚合物鏈的配位基418彼此之間交聯,有效地形成聚合物鏈的網路422。因此,在步驟方塊116的曝光製程之後,光阻層214的曝光的區域222進行阻劑材料的聚合反應及/或交聯,且因此可變得相對於後續施加的顯影劑而具有較差的溶解性。
參照第1A圖的步驟方塊118及第12圖,方法100包含在工件200上進行顯影製程。依據在步驟方塊116的曝光製程期間的光阻層214中進行的化學反應,顯影製程溶解或移除曝光的區域222或未曝光的區域230。在所述的實施例中,顯影製程移除光阻層214的未曝光的區域230。步驟方塊118的顯影製程可以由曝光後的烘烤製程開始。根據光阻層214所包含的聚合物,曝光後的烘烤製程可催化任何由步驟方塊116的曝光製程所引發的化學反應。舉例而言,曝光後的烘烤製程可加速光阻層214中的阻劑材料的斷裂或交聯。在選擇性的曝光後的烘烤製程之後。在工件200上施加顯影劑(未繪示),藉此移除光阻層214的特定區域(區域222或區域230)。適合的有機顯影劑包含乙酸正丁酯、乙醇、己烷、苯、甲苯、及/或其他適合的溶劑,而適合的水溶性顯影劑包含水溶性溶劑,例如是四甲基氫氧化銨(TMAH)、KOH、NaOH、及/或其他適合的溶劑。在所述的實施例中,顯影劑是有機溶劑。在許多實施例中,在步驟方塊118的顯影製程之後,在工件200上進行曝光後的烘烤製程,以進一步穩定光阻層214的圖案。
參照第1A圖的步驟方塊120及第13圖,方法100包含使用圖案化光阻層214作為蝕刻遮罩來選擇性地移除第五層212的多個部分。如此一來,步驟方塊120的蝕刻製程移除了第五層212的多個部分,而並未實質上移除下方的第四層210的多個部分,藉此證實了第五層212相對於第四層210的蝕刻選擇比。接著藉由任何適合的方法將圖案化光阻層214從工件200上移除。
具體而言,可以藉由任何適合的方法蝕刻第五層212,包含乾式蝕刻、濕式蝕刻、其他適合的蝕刻製程、反應性離子蝕刻(reactive ion etch,RIE)、或上述的任意組合。在一個範例實施例中,實施乾式蝕刻,且採用的蝕刻氣體包含含氧氣體(例如O2 )、含碳氣體(例如Cx Hy ,其中x和y可以是任何整數)、含氟蝕刻氣體(例如Cx Fy 、Cx Hy Fz 、Nx Fy 、及/或 Sx Fy ,其中x、y和z可以是任何整數)、其他適合的蝕刻氣體、或上述的任意組合。在所述的實施例中,方法100包含進行少於約30秒的乾式蝕刻,以移除第五層212的多個部分。在許多實施例中,可以採用小於約1000 W的蝕刻偏壓,以移除第五層212的多個部分。或者,不施加任何蝕刻偏壓(亦即 0 W)。對於第四層210和光阻層214兩者包含相同金屬元素(例如鋯、錫及/或鑭)的一些實施例,金屬元素提供了相似的抗蝕刻性,在實質上不具有金屬元素的第五層212的蝕刻期間,可以提升圖案解析度。
參照第1B圖的步驟方塊122及第14圖,方法100包含使用圖案化第五層212作為蝕刻遮罩來移除選擇性地第四層210的多個部分。如此一來,步驟方塊122的蝕刻製程移除了第四層210的多個部分,而並未實質上移除下方的第三層208的多個部分,藉此證實了第四層210相對於第三層208的蝕刻選擇比。接著藉由任何適合的方法將圖案化第五層212從工件200上移除。在所述的實施例中,藉由電漿灰化(plasma ashing)或使用氧氣及/或氮氣電漿沖洗(flushing)來移除圖案化第五層212。值得注意的是,移除圖案化第五層212的方法並未實質上影響下方的圖案化第四層210,也並未實質上影響第三層208。
在許多實施例中,使用任何適合的方法來蝕刻包含至少一個金屬元素的第四層210,包含乾式蝕刻、濕式蝕刻、其他適合的蝕刻製程、反應性離子蝕刻(RIE)、或上述的任意組合。在許多實施例中,採用乾式蝕刻製程,不過用於移除第四層210的多個部分的蝕刻製程所採用的蝕刻劑與用於移除第五層212的不同,第五層212實質上不包含金屬。在此種範例中,步驟方塊122的蝕刻製程採用的蝕刻氣體包含含氯氣體(例如Cl2 、Cx Hy Clz 、Cx Cly 、Six Cly 、及/或Bx Cly ,其中x、y和z可以是任何整數)、含溴氣體(例如HBr及/或Cx Hy Brz )、含氮氣體(例如N­2 )、含氫氣體(例如H2 )、含碘氣體、其他適合的氣體及/或電漿、或上述的任意組合。在所述的實施例中,使用含氯氣體來移除第四層210的多個部分,且方法100包含進行少於約30秒的乾式蝕刻。在一些實施例中,在步驟方塊122的乾式蝕刻製程之前,進行約10秒至約3分鐘的清潔程序。
參照第1B圖的步驟方塊124及第15圖,方法包含使用圖案化第四層210作為蝕刻遮罩來選擇性地移除第三層208的多個部分。如此一來,步驟方塊124的蝕刻製程移除了第三層208的多個部分,而並未實質上移除下方的第二層206的多個部分,藉此證實了第三層208相對於第二層206的蝕刻選擇比。接著藉由任何適合的方法將圖案化第四層210從工件200上移除。在所述的實施例中,藉由電漿灰化(plasma ashing)或使用氫氣及/或氯氣電漿沖洗(flushing)來移除圖案化第四層210。值得注意的是,移除圖案化第四層210的方法並未實質上影響下方的圖案化第三層208,也並未實質上影響第二層206。
具體而言,可以藉由任何適合的方法蝕刻實質上不包含金屬的第三層208,包含乾式蝕刻、濕式蝕刻、其他適合的蝕刻製程、反應性離子蝕刻(reactive ion etch,RIE)、或上述的任意組合。在許多實施例中,採用乾式蝕刻製程,不過用於移除第三層208的多個部分的蝕刻製程所採用的蝕刻劑與用於移除第四層210的不同,第四層210包含至少一個金屬元素。在一個範例實施例中,步驟方塊124的乾式蝕刻採用的蝕刻氣體包含含氧氣體(例如O2 )、含碳氣體(例如Cx Hy ,其中x和y可以是任何整數)、含氟蝕刻氣體(例如Cx Fy 、Cx Hy Fz 、Nx Fy 、及/或 Sx Fy ,其中x、y和z可以是任何整數)、其他適合的蝕刻氣體、或上述的任意組合。在所述的實施例中,方法100包含進行少於約30秒的乾式蝕刻,以移除第三層208的多個部分。在許多實施例中,可以用類似於前述關於步驟方塊120的第五層212的蝕刻製程來蝕刻第三層208。
參照第1B圖的步驟方塊126及第16圖,方法100包含使用圖案化第三層208作為蝕刻遮罩來移除選擇性地第二層206的多個部分。如此一來,步驟方塊126的蝕刻製程移除了第二層206的多個部分,而並未實質上移除下方的第一層204的多個部分,藉此證實了第二層206相對於第一層204的蝕刻選擇比。接著藉由任何適合的方法將圖案化第三層208從工件200上移除。在所述的實施例中,藉由電漿灰化(plasma ashing)或使用氧氣及/或氮氣電漿沖洗(flushing)來移除圖案化第三層208。值得注意的是,移除圖案化第三層208的方法並未實質上影響下方的圖案化第二層206,也並未實質上影響第一層204。
在一些實施例中,使用任何適合的方法來蝕刻包含至少一個金屬元素的第二層206,包含乾式蝕刻、濕式蝕刻、其他適合的蝕刻製程、反應性離子蝕刻(RIE)、或上述的任意組合。在許多實施例中,採用乾式蝕刻製程,不過用於移除第二層206的多個部分的蝕刻製程所採用的蝕刻劑與用於移除第三層208的不同,第三層208實質上不包含金屬。在一個此種範例中,步驟方塊126的蝕刻製程採用的蝕刻氣體包含含氯氣體(例如Cl2 、Cx Hy Clz 、Cx Cly 、Six Cly 、及/或Bx Cly ,其中x、y和z可以是任何整數)、含溴氣體(例如HBr及/或Cx Hy Brz )、含氮氣體(例如N­2 )、含氫氣體(例如H2 )、含碘氣體、其他適合的氣體及/或電漿、或上述的任意組合。在所述的實施例中,使用含氯氣體來移除第二層206的多個部分,且方法100包含進行少於約30秒的乾式蝕刻。在許多實施例中,可以用類似於前述關於步驟方塊122的第四層210的蝕刻製程來蝕刻第二層206。在一些實施例中,在步驟方塊126的乾式蝕刻製程之前,進行約10秒至約3分鐘的清潔程序。
參照第1B圖的步驟方塊128及第17圖,方法包含使用圖案化第二層206作為蝕刻遮罩來選擇性地移除第一層204的多個部分。如此一來,步驟方塊128的蝕刻製程移除了第一層204的多個部分,而並未實質上移除下方的基底202的多個部分,藉此證實了第一層204相對於基底202的蝕刻選擇比。接著藉由任何適合的方法將圖案化第二層206從工件200上移除。在所述的實施例中,藉由電漿灰化(plasma ashing)或使用氫氣及/或氯氣電漿沖洗(flushing)來移除圖案化第二層206。值得注意的是,移除圖案化第二層206的方法並未實質上影響下方的圖案化第一層204,也並未實質上影響基底202。
具體而言,可以藉由任何適合的方法蝕刻實質上不包含金屬的第一層204,包含乾式蝕刻、濕式蝕刻、其他適合的蝕刻製程、反應性離子蝕刻(reactive ion etch,RIE)、或上述的任意組合。在許多實施例中,採用乾式蝕刻製程,不過用於移除第一層204的多個部分的蝕刻製程所採用的蝕刻劑與用於移除第二層206的不同,第二層206包含至少一個金屬元素。在一個此種範例中,步驟方塊128的乾式蝕刻採用的蝕刻氣體包含含氧氣體(例如O2 )、含碳氣體(例如Cx Hy ,其中x和y可以是任何整數)、含氟蝕刻氣體(例如Cx Fy 、Cx Hy Fz 、Nx Fy 、及/或 Sx Fy ,其中x、y和z可以是任何整數)、或上述的任意組合。在所述的實施例中,方法100包含進行少於約30秒的乾式蝕刻,以移除第一層204的多個部分。在許多實施例中,可以用類似於前述關於步驟方塊120的第五層212的蝕刻製程來蝕刻第一層204。
之後,參照第1B圖的步驟方塊130,方法100包含使用圖案化第一層214作為遮罩來對基底202進行製程。可以藉由任何適合的方法來對基底202進行製程,包含蝕刻製程、沉積製程、佈植製程、磊晶成長製程、及/或其他加工製程。在多個範例中,製程處理過後的基底202用來製作閘極堆疊、製作互連結構、藉由蝕刻以暴露出鰭或經由磊晶成長鰭材料而形成非平面的裝置、及/或其他適合的應用。在所述的實施例中,參照第18圖,使用圖案化第一層214作為遮罩來蝕刻基底202。可以藉由任何適合的方法蝕刻基底202,包含乾式蝕刻、濕式蝕刻、其他適合的蝕刻製程、反應性離子蝕刻(RIE)、或上述的任意組合。接著藉由任何適合的方法將圖案化第一層214移除,例如藉由電漿灰化(plasma ashing)或使用氫氣及/或氯氣電漿沖洗(flushing)。
參照第1B圖的步驟方塊132,可提供工件200來進行額外的製程。舉例而言,工件200可以用來製作積體電路晶片、系統單晶片(system-on-a-chip,SOC)、及/或上述的一部份,因而後續的製程可以形成多種被動及主動微電子裝置,例如電阻、電容、電感、二極體、金屬氧化物半導體場效電晶體(MOSFET)、互補式金屬氧化物半導體(CMOS)電晶體、雙極性接面電晶體(BJT)、側向擴散金屬氧化物半導體(LDMOS)、高功率金屬氧化物半導體(MOS)電晶體、其他類型的電晶體、及/或其他電子元件。
在許多實施例中,連續地蝕刻交錯的無金屬層(例如第一層204、第三層208、和第五層212)與含金屬層(例如第二層206和第四層210),使得蝕刻選擇比獲得改善,這更導向線寬粗糙度(LWR)與臨界尺寸(CD)的縮減。在一個範例實施例中,各對交錯的層降低約3%至約20%的線寬粗糙度(LWR),以及約10%至約20%的臨界尺寸(CD)。多層光阻材料也可有利地搭配其他的圖案化設計,以進一步縮減部件尺寸且無須在圖案的解析度上做出妥協。
在一個此種範例中,參照如第1C圖所示的方法100的步驟方塊134至146,在第1A圖的步驟方塊120的圖案218形成於第五層212之後,進行間隔分離(pitch-splitting)圖案化製程。具體而言,參照第1C圖中的步驟方塊134及第19圖,方法100包含在圖案化第五層212之上沉積間隙物材料層240,圖案化第五層212具有節距242(亦即兩個相鄰部件之間的最小尺寸)。間隙物材料可以是任何適合的材料,包含介電材料,例如是氧化物、氮化物、氮氧化物、碳化物、或上述的任意組合。值得注意的是,間隙物材料實質上不包含任何金屬元素、富矽聚合物、或富碳聚合物,因而可以在後續的製程中選擇性地蝕刻間隙物材料。可以藉由任何適合的方法沉積間隙物材料,例如是化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、其他適合的方法、或上述的任意組合。
參照第1C圖中的步驟方塊136及第20圖,方法包含非等向性蝕刻(例如藉由乾式蝕刻製程)間隙物材料層240,以在圖案化第五層212中的心軸(mandrel)的側壁上形成間隙物244。參照第1C圖中的步驟方塊138及第21圖,方法100包含藉由類似於第1A圖的步驟方塊120論述的方式移除圖案化第五層。在所述的實施例中,步驟方塊138的蝕刻製程選擇性地移除圖案化第五層212而不移除間隙物244,而形成具有節距246的圖案248。因為圖案218的各個心軸對應形成兩個間隙物244,節距246有效地成為節距242的一半。參照第1C圖中的步驟方塊140及第22圖,方法100包含使用間隙物244作為蝕刻遮罩來蝕刻第四層210。在一些實施例中,第四層210可以藉由類似於第1A圖的步驟方塊122所論述的蝕刻製程並採用類似的蝕刻劑來蝕刻。
然後,參照第1C圖中的步驟方塊142,方法100包含使用圖案化第四層210作為蝕刻遮罩來對工件200進行額外的蝕刻製程,以形成圖案化第三層208、圖案化第二層206、及圖案化第一層204(參照第3圖)。然後,參照第1C圖中的步驟方塊144及第24圖,方法100包含使用圖案化第一層204做為遮罩來對基底202進行製程,例如是蝕刻製程。也可以使用圖案化第一層204做為遮罩來對基底進行其他的加工製程。參照第1C圖中的步驟方塊146,方法100包含對工件200進行類似於之前在第1B圖的步驟方塊132論述的額外的製程步驟。如此一來,形成具有節距246的最終圖案(亦即圖案248),其中節距246是原始圖案218的節距的一半,縮減了形成於工件200上的部件的臨界尺寸(CD)。值得注意的是,納入交錯的無金屬層與含金屬層,而可以確保在後續的蝕刻製程中,由前述間隔分離(pitch-splitting)方法製作的縮減部件尺寸的線寬粗糙度(LWR)可以獲得維持甚至能夠獲得改進。
儘管並非用於限定,本發明的一個或多個實施例提供了對於半導體裝置及其製造方法的改進。舉例而言,實施例的多層光阻結構包含含金屬光阻頂層以及交錯的無金屬材料層與含金屬材料層,藉由改善多層結構對於曝光源的敏感性而提供了相對於微影圖案化製程的較佳的控制,並且提升了蝕刻選擇比。因此,本發明實施例可以達到調節(tune)並改善具有縮減尺寸的積體電路部件的線緣粗糙度(LER)及/或線寬粗糙度(LWR)。
在一個面向中,本發明實施例提供一種方法,此方法包含:提供基底;在基底之上形成第一層;在第一層之上形成第二層;在第二層之上形成第三層;在第三層之上形成光阻層;藉由射線源曝光此光阻層;以及顯影此光阻層以形成光阻圖案。
在一些實施例中,第一層及第三層各自實質上不包含任何金屬元素。在一些實施例中,第二層包含第一金屬元素,而光阻層包含第二金屬元素。在一些實施例中,曝光此光阻層使得此光阻層的多個曝光部分聚合。
在一些實施例中,形成第一層以及形成第三層各自包含旋轉塗佈一個實質上不包含任何金屬元素的材料,且形成第二層包含藉由化學氣相沉積、物理氣相沉積、或原子層沉積中的一者沉積第一金屬元素。在更一些實施例中,旋轉塗佈此材料包含旋轉塗佈富碳聚合物(carbon-rich polymer)或富矽聚合物(silicon-rich polymer)中的一者。
在一些實施例中,此方法更包含在形成第一層之後烘烤第一層,以及在形成第三層之後烘烤第三層。
在一些實施例中,第一金屬元素與第二金屬元素相同。在一些實施例中,第一金屬元素是鋯、錫、鑭、或錳。
在一些實施例中,此方法更包含:在形成光阻層之前,藉由化學氣相沉積、物理氣相沉積、或原子層沉積中的一者在第三層之上沉積第四層,第四層包含第三金屬元素,第三金屬元素例如是鋯、錫,鑭、或錳;以及在第四層之上旋轉塗佈第五層,第五層實質上不包含任何金屬元素。在一些實施例中,第五層包含富矽聚合物。
在另一個面向中,本發明實施例提供一種方法,此方法包含:提供基底;在基底之上形成複合結構,此複合結構包含形成於基底之上的第一層、形成於第一層之上的第二層、及形成於第二層之上的第三層;在複合結構之上形成光阻層;將光阻層曝光;顯影光阻層以形成光阻圖案;使用光阻圖案作為蝕刻遮罩來進行第一蝕刻製程,以形成圖案化第三層;使用圖案化第三層作為蝕刻遮罩來進行第二蝕刻製程,以形成圖案化第二層;以及使用圖案化第二層作為蝕刻遮罩來進行第三蝕刻製程,以形成圖案化第一層。
在一些實施例中,第一層及第三層各自實質上不包含任何金屬元素。在一些實施例中,第二層包含第一金屬元素,而光阻層包含第二金屬元素。在一些實施例中,第二層不包含矽。
在一些實施例中,第一蝕刻製程移除第三層的多個部分,而實質上未移除第二層的多個部分。在一些實施例中,第二蝕刻製程移除第二層的多個部分,而實質上未移除第一層的多個部分。在一些實施例中,第三蝕刻製程移除第一層的多個部分,而實質上未移除基底的多個部分。
在一些實施例中,進行第一蝕刻製程以及進行第三蝕刻製程各自包含使用含氧氣體、含碳氣體、或含氟氣體中的一者。在更一些實施例中,進行第二蝕刻製程包含使用含氯氣體、含溴氣體、含氮氣體、或含氫氣體中的一者。
在一些實施例中,藉由施加極紫外光射線源(extreme ultra-violet radiation source)來將光阻層曝光。在一些實施例中,光阻層實質上不包含任何酸產生成分(acid-generating moiety)。在更一些實施例中,第二金屬元素是鋯、錫、銫、鋇、鑭、銦、銀、或鈰。
在又一個面向中,本發明實施例提供一種方法,此方法包含:在基底之上旋轉塗佈第一無金屬層(metal-free layer);在第一無金屬層之上沉積含金屬層(metal-containing layer);在含金屬層之上旋轉塗佈第二無金屬層;在第二無金屬層之上形成光阻層,其中光阻層包含第一金屬元素;將光阻層曝光;以及顯影光阻層以形成光阻圖案。在一些實施例中,此方法更包含:在各自旋轉塗佈第一無金屬層及第二無金屬層之後,各自烘烤第一無金屬層及第二無金屬層。
在一些實施例中,第一無金屬層包含富碳聚合物,且其中第二無金屬層包含富矽聚合物。
在一些實施例中,含金屬層包含第一金屬元素,第一金屬元素是鋯、錫、銫、鋇、鑭、銦、銀、或鈰。
在一些實施例中,含金屬層包含第二金屬元素,第二金屬元素是銦、銀、或鈰,且其中第一金屬元素是錳。
以上概述數個實施例之部件特徵,以便在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明實施例的精神與範圍,且他們能在不違背本發明實施例之精神和範圍下,做各式各樣的改變、取代和替換。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
100‧‧‧方法;
102、104、106、108、110、112、114、116、118、120、122、124、126、128、130、132、134、136、138、140、142、144、146‧‧‧步驟方塊;
200‧‧‧工件;
202‧‧‧基底;
204‧‧‧第一層;
206‧‧‧第二層;
208‧‧‧第三層;
210‧‧‧第四層;
212‧‧‧第五層;
214‧‧‧光阻層;
216‧‧‧射線源;
218、248‧‧‧圖案;
220‧‧‧光罩;
222、230‧‧‧區域;
240‧‧‧間隙物材料層;
242、246‧‧‧節距;
244‧‧‧間隙物;
402、408‧‧‧結構;
404‧‧‧核心基團;
412、418‧‧‧配位基;
414‧‧‧主幹;
416‧‧‧官能基;
422‧‧‧網路。
藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的內容。需強調的是,根據產業上的標準慣例,許多特徵部件(feature)並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。 第1A、1B和1C圖是根據本發明實施例的多個面向,一種範例方法的流程圖。 第2、3、4、5、6、7、8、9圖和第12、13、14、15、16、17、18、19、20、21、22、23和24圖是根據本發明實施例的多個面向,一種範例方法的中間階段的範例工件的局部剖面示意圖。 第10圖和第11圖是根據本發明實施例的多個面向,範例化學結構的示意圖。

Claims (1)

  1. 一種半導體裝置的形成方法,包括: 提供一基底; 在該基底之上形成一第一層,其中該第一層實質上不包括任何金屬元素; 在該第一層之上形成一第二層,其中該第二層包括一第一金屬元素; 在該第二層之上形成一第三層,其中該第三層實質上不包括任何金屬元素; 在該第三層之上形成一光阻層,其中該光阻層包括一第二金屬元素; 藉由一射線源曝光該光阻層;以及 顯影該光阻層以形成一光阻圖案。
TW108112220A 2018-04-27 2019-04-09 半導體裝置的形成方法 TW201946107A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/965,417 2018-04-27
US15/965,417 US10381481B1 (en) 2018-04-27 2018-04-27 Multi-layer photoresist

Publications (1)

Publication Number Publication Date
TW201946107A true TW201946107A (zh) 2019-12-01

Family

ID=67543709

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108112220A TW201946107A (zh) 2018-04-27 2019-04-09 半導體裝置的形成方法

Country Status (3)

Country Link
US (2) US10381481B1 (zh)
CN (1) CN110416068A (zh)
TW (1) TW201946107A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI817318B (zh) * 2021-10-28 2023-10-01 南亞科技股份有限公司 製作半導體的方法

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3807721A4 (en) * 2018-06-13 2022-04-13 Brewer Science, Inc. ADHESION LAYERS FOR EXTREME UV LITHOGRAPHY
US10665545B2 (en) * 2018-09-19 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices, semiconductor packages and methods of forming the same
US11681221B2 (en) * 2019-08-28 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photoresist with low-activation-energy ligands or high-developer-solubility ligands
US11776811B2 (en) * 2020-05-12 2023-10-03 Applied Materials, Inc. Selective deposition of carbon on photoresist layer for lithography applications
CN113376960A (zh) * 2020-05-22 2021-09-10 台湾积体电路制造股份有限公司 制造半导体器件的方法和图案形成方法
CN113990742A (zh) * 2021-10-21 2022-01-28 中国科学院微电子研究所 一种半导体器件的制造方法

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9105371D0 (en) 1991-03-14 1991-05-01 Dow Corning Organosilicon compounds and their use in film-forming copolymers for water vapour permeable coatings
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US7202013B2 (en) 2003-06-03 2007-04-10 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
US7303855B2 (en) 2003-10-03 2007-12-04 Shin-Etsu Chemical Co., Ltd. Photoresist undercoat-forming material and patterning process
US7270931B2 (en) 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
US7326523B2 (en) 2004-12-16 2008-02-05 International Business Machines Corporation Low refractive index polymers as underlayers for silicon-containing photoresists
US7678529B2 (en) 2005-11-21 2010-03-16 Shin-Etsu Chemical Co., Ltd. Silicon-containing film forming composition, silicon-containing film serving as etching mask, substrate processing intermediate, and substrate processing method
CN101322074B (zh) 2005-12-06 2013-01-23 日产化学工业株式会社 用于形成光交联固化的抗蚀剂下层膜的含有硅的抗蚀剂下层膜形成用组合物
US7745104B2 (en) 2006-08-10 2010-06-29 Shin-Etsu Chemical Co., Ltd. Bottom resist layer composition and patterning process using the same
US8026040B2 (en) 2007-02-20 2011-09-27 Az Electronic Materials Usa Corp. Silicone coating composition
US8017296B2 (en) 2007-05-22 2011-09-13 Az Electronic Materials Usa Corp. Antireflective coating composition comprising fused aromatic rings
KR101546222B1 (ko) 2008-02-25 2015-08-20 허니웰 인터내셔널 인코포레이티드 공정가능한 무기 및 유기 중합체 배합물, 이의 제조방법 및 이의 용도
US20100316949A1 (en) 2009-06-10 2010-12-16 Rahman M Dalil Spin On Organic Antireflective Coating Composition Comprising Polymer with Fused Aromatic Rings
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
US8664679B2 (en) 2011-09-29 2014-03-04 Toshiba Techno Center Inc. Light emitting devices having light coupling layers with recessed electrodes
US8728332B2 (en) 2012-05-07 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of patterning small via pitch dimensions
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US8987142B2 (en) 2013-01-09 2015-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning method and device formed by the method
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9501601B2 (en) 2013-03-14 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Layout optimization of a main pattern and a cut pattern
US9153478B2 (en) 2013-03-15 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
KR20230156842A (ko) 2014-10-23 2023-11-14 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
US9876114B2 (en) 2014-12-30 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D FinFET metal gate
US9536759B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method
US9857684B2 (en) 2016-03-17 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon-containing photoresist for lithography
US10825684B2 (en) * 2016-03-18 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US10741410B2 (en) * 2017-04-28 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US10636656B2 (en) * 2018-04-16 2020-04-28 Globalfoundries Inc. Methods of protecting structure of integrated circuit from rework

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI817318B (zh) * 2021-10-28 2023-10-01 南亞科技股份有限公司 製作半導體的方法

Also Published As

Publication number Publication date
US10777681B2 (en) 2020-09-15
US10381481B1 (en) 2019-08-13
US20190334028A1 (en) 2019-10-31
CN110416068A (zh) 2019-11-05

Similar Documents

Publication Publication Date Title
US11822238B2 (en) Extreme ultraviolet photolithography method with developer composition
US11487207B2 (en) Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance
TW201946107A (zh) 半導體裝置的形成方法
US10825684B2 (en) Material composition and methods thereof
US10741410B2 (en) Material composition and methods thereof
TWI709165B (zh) 微影圖案化的方法
US8753797B2 (en) Surface-modified middle layers
US20200335349A1 (en) Method Composition and Methods Thereof
TW201937542A (zh) 半導體裝置結構的形成方法
US10520821B2 (en) Lithography process with enhanced etch selectivity
CN108333866B (zh) 光刻图案化的方法
US10863630B2 (en) Material composition and methods thereof
CN110941148B (zh) 半导体装置的制造方法
TWI761987B (zh) 負光阻及其顯影的方法
CN110824845A (zh) 半导体制程