TW201828779A - 電漿著火之抑制 - Google Patents

電漿著火之抑制 Download PDF

Info

Publication number
TW201828779A
TW201828779A TW106138247A TW106138247A TW201828779A TW 201828779 A TW201828779 A TW 201828779A TW 106138247 A TW106138247 A TW 106138247A TW 106138247 A TW106138247 A TW 106138247A TW 201828779 A TW201828779 A TW 201828779A
Authority
TW
Taiwan
Prior art keywords
plasma
source
gas
helium
process gas
Prior art date
Application number
TW106138247A
Other languages
English (en)
Inventor
帕維爾 尼
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201828779A publication Critical patent/TW201828779A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

提供用以抑制在電漿處理腔室中之靜電卡盤的氦散佈通道中之電弧的方法,其中靜電卡盤連接至用於提供夾持電壓之電壓源,且其中電漿處理腔室包含製程氣體源及用於將製程氣體轉換成為電漿之電漿功率源。氣體通過靜電卡盤的氦散佈通道流至晶圓的背側。氣體包含氦與負電性氣體。

Description

電漿著火之抑制
本揭露內容關於用以在半導體晶圓上形成半導體元件的方法與設備。更具體而言,本揭露內容關於在半導體元件的形成期間之基板支撐件中的著火之抑制。
半導體處理系統係用以處理例如半導體晶圓之基板。可在如此系統上執行之範例製程包括但不限於導體蝕刻、介電質蝕刻、原子層沉積、化學汽相沉積、及/或其他蝕刻、沉積或清潔製程。基板可配置在半導體處理系統的處理腔室中之基板支撐件上,例如基座、靜電卡盤(ESC)。基板支撐件可包括具有嵌入加熱器之陶瓷層、高電壓電極、以及黏合至陶瓷層的底板。基板支撐件可更包括氦散佈通道,其用以供給氦至晶圓的背側以控制基板與基板支撐件之間的熱傳導性。半導體處理系統可實施需要高RF功率之電漿製程(例如,電漿蝕刻製程),該功率將造成高電壓出現在基板支撐件上。橫跨基板支撐件施加的電壓的增加可能造成不欲見的效果,例如在基板支撐件的氦散佈通道中及/或其他腔中的電弧或氣體著火。著火可能損壞半導體元件與處理腔室、在晶圓上產生粒子缺陷、損壞晶圓上的半導體元件等等,從而增加成本與設備停機時間並降低產品良率。
為實現上述內容且根據本揭露內容之目的,提供用以抑制在電漿處理腔室中之靜電卡盤的氦散佈通道中之電弧的方法,其中靜電卡盤連接至用於提供夾持電壓之電壓源,且其中電漿處理腔室包含製程氣體源及用於將製程氣體轉換成為電漿之電漿功率源。氣體通過靜電卡盤的氦散佈通道流至晶圓的背側。氣體包含氦與負電性氣體。
在另一態樣中,提供用於電漿處理晶圓之設備。提供用於支撐晶圓之靜電卡盤,其中靜電卡盤具有用於提供冷卻氣體至晶圓的背側之氦散佈通道。氦與負電性氣體源係與氦散佈通道保持流體連通。
本發明之該等及其他特徵以下將於本發明的詳細說明內容中並結合以下圖示更詳細地加以描述。
本發明現將參照如隨附圖式中所說明的幾個較佳實施例詳細描述。在以下說明中,為了提供本發明的透徹理解,說明許多具體細節。然而,顯然地,對於精於本項技術之人士而言,本發明可不具有某些或全部這些具體細節而實施。另一方面,為了不要不必要地模糊本發明,未詳細說明眾所周知的製程步驟及/或結構。
圖1為實施例的高階流程圖。在此實施例中,將基板放置於處理腔室中的靜電卡盤上(步驟104)。使著火抑制氣體流通過靜電卡盤以冷卻基板的背側(步驟108)。施加夾持電壓(步驟112)。處理基板(步驟116)。範例
在本發明的較佳實施例中,基板係放置於處理腔室中的靜電卡盤上(步驟104)。圖2為可在實施例中使用之電漿處理腔室的示意圖。在一或更多實施例中,電漿處理系統200包含設置一氣體入口之氣體散佈板206、及靜電卡盤(ESC)208,此二者係在由腔室牆250圍起的處理腔室249之內。在處理腔室249之內,基板212定位在ESC 208的頂部。ESC 208可提供來自ESC源248的夾持電壓。製程氣體源210經由散佈板206連接至處理腔室249。ESC氣體源251提供ESC氣體通過入口213至氦散佈通道214。氦散佈通道與冷卻劑埠216保持流體連通以對基板212的背側提供冷卻劑,以控制基板212與ESC 208之間的熱傳導性。RF源230提供RF功率至下電極234。在此實施例中,上電極為氣體散佈板206。在較佳的實施例中,400 kHz、2 MHz、60 MHz及27 MHz的功率源構成RF源230。在此實施例中,一產生器提供各頻率。在其他實施例中,該產生器可在分離的複數RF源之中,或分離的複數RF源可連接至不同的電極。例如,上電極可具有連接至不同RF源的內部及外部電極。RF源與電極的其他配置可在其他實施例中使用,例如在另一實施例中上電極可接地。控制器235係可控制地連接至RF源230、ESC源248、排氣泵浦220、ESC氣體源251及製程氣體源210。如此電漿處理腔室的範例為美商Lam Research Corporation of Fremont, CA製造的Exelan FlexTM 蝕刻系統。製程腔室可為CCP(電容耦合電漿)反應器或ICP(電感耦合電漿)反應器。
圖3為顯示電腦系統300的高階方塊圖,其合適於實施在本發明的實施例中使用的控制器235。電腦系統可具有許多實體形式,其範圍從積體電路、印刷電路板與小型手持裝置上至大型超級電腦。電腦系統300包括一或更多處理器302且進一步可包括電子顯示裝置304(用於顯示圖形、文字與其他數據)、主記憶體306(例如,隨機存取記憶體(RAM))、儲存裝置308(例如,硬碟裝置)、可移除式儲存裝置310(例如,光碟機)、使用者介面裝置312(例如,鍵盤、觸控螢幕、小鍵盤、滑鼠或其他指向裝置等等)、及通訊介面314(例如,無線網路介面)。通訊介面314容許軟體與數據在電腦系統300與外部裝置之間經由連線來轉移。系統亦可包括前述裝置/模組連接至其上的通訊基礎結構316(例如,通訊匯流排、縱橫條(cross-over bar)、或網路)。
經由通訊介面314傳輸的資訊可為訊號之形式,例如能夠經由通訊連結而被通訊介面314接收的電子、電磁、光學、或其他訊號,該通訊連結攜帶訊號且可藉由使用導線或纜線、光纖、電話線、行動電話連結、射頻連結、及/或其他通訊通道加以實現。在使用此種通訊介面之情況下,吾人預期一或更多處理器302可於執行上述方法步驟期間內從網路接收資訊、或可將資訊輸出至網路。此外,本發明的方法實施例可僅在該等處理器上執行,或可透過網路(例如,網際網路)而結合遠端處理器(其分擔一部分的處理)執行。
術語「非暫態電腦可讀媒體」通常係用以意指媒體,例如主記憶體、輔助記憶體、可移除式儲存裝置及儲存裝置(例如硬碟)、快閃記憶體、磁碟機記憶體、CD-ROM、及其他形式的持續性記憶體,且不應被理解為涵蓋暫時性標的(例如,載波或訊號)。電腦碼之範例包含機器碼(例如藉由編譯器產生者)、及含有較高階碼的檔案,該較高階的碼係藉由使用解譯器的電腦而執行。電腦可讀媒體亦可為藉由電腦數據訊號而傳輸的電腦碼,該電腦數據訊號係嵌入在載波中且代表了可由處理器執行之指令的序列。
在此範例中,主要由氦與氧組成的著火抑制氣體從ESC氣體源251流至ESC 208中的氦散佈通道(步驟108)。在此範例中,著火抑制氣體為1%至30%的氧,且剩餘的氣體為氦。在範例中,著火抑制氣體在10至80 托的壓力下流通過氦散佈通道214。
施加夾持電壓(步驟112)。在此範例中,提供-2000至-2900伏特的夾持電壓。
處理基板(步驟116)。在此範例中,製程為介電質蝕刻製程。在此範例中,包含18 sccm C4 F8 、19 sccm O2 與400 sccm Ar之製程氣體從製程氣體源210流入處理腔室249內,同時維持70至90 毫托的腔室壓力。提供RF功率以將製程氣體形成為電漿。在此範例中,以2 MHz提供3000 Watts、以27 MHz提供1500 Watts及以60 MHz提供500 Watts。當電漿本身偏壓電壓增加時,使用高夾持電壓。在此範例中,著火抑制氣體防止著火。在受處理之晶圓的背側沒有觀察到電弧軌跡。
為了測試氧的添加的效果,使用純He取代著火抑制氣體來執行相同的製程。在如此測試中,發生著火。這顯示添加氧至氦散佈氣體造成差異,以抑制或消除著火。
由於在與氦一起添加之時氧一直被視為有害的,因為氧減少ESC與晶圓之間的熱接觸且會洩漏至製程腔室內(這可能改變製程),所以將氧添加至氦並非顯而易知。在不同的實驗中發現,相較於使用純氦,氧的添加不會造成夾持與溫度散佈的任何損失。夾持係需要的,以將基板固持至靜電卡盤。由於熱擴散率正比於分子質量的平方根的倒數,主要由氧與氦組成的氣體具有比單獨氦更低的熱擴散率。不同的實驗已經發現,氧的添加不會影響熱擴散率到足以影響晶圓的溫度之程度。此外,一些氧可能洩漏至處理腔室內。發現少量的氧氣洩漏對製程沒有顯著的害處。
在習知技術中,使用極端電壓處理基板已經造成在氦散佈通道中的電弧。如此電弧損壞基板與處理腔室兩者。除了修復受損的處理腔室之時間損失,這還造成元件缺陷。不同設備與方法已經用以減少電弧。然而,如此設備與方法不完全有效或可能干擾製程。此外,如此設備為複雜且昂貴的。
在不希望受到理論束縛的情況下,吾人推測,由於氧為負電性氣體,所以氧的添加藉由捕捉自由電子來抑制放電,因而抑制放電。在氣體中的自由電子對於激發電漿而言為必要的;如果移除此等電子,則不可能發生電弧。負電性氣體(例如氧、氟、氯等等)據信能捕捉自由電子,使其附著至原子。一旦束縛,先前的自由電子不能再促成電弧事件。所以,導入負電性氣體至主要冷卻氣體的氣流內減少自由電子的數目至低於對維持電漿放電為必要之閾值位準。在其他實施例中,可使用例如氟、氯與SiH4 之其他負電性氣體。然而,由於氧為較少危害且較低化學反應性,故氧為較佳的。在說明書及申請項中,負電性氣體具有在鮑林標度上至少3.00之電負度。
不同的實施例減少或消除由於著火(在氦散佈通道中的電弧)之損壞,其減少對於晶圓的損壞及元件缺陷。其亦增加生產力並提供更大的安全操作參數空間。提供更大的安全操作參數容許可藉由製程腔室執行之製程的更寬範圍。處理腔室的生命週期亦延長。
在一實施例中,ESC氣體源可為氧與氦兩者的單一源,例如具有氦與氧的混合物之容器,其中氧為總氣體的1%至30%,其係藉由將氧的莫爾數除以總莫爾數的比率來測量。圖4為在另一實施例中之ESC氣體源的放大示意圖。在此實施例中,ESC氣體源251包含氧來源404與氦來源408。氧來源404連接至氧閥412。氦來源408連接至氦閥416。氧閥412與氦閥416連接至入口213。在此範例中,由於存在分離的氧來源404與氦來源408,故氧閥412與氦閥416可用以提供所欲的氦相對氧的流率。
較佳的是,提供超過3,000 Watts的RF平均功率。在一些實施例中,以7,000至40,000 Watts提供RF功率。更佳的是,以5,000至20,000 Watts提供RF功率。在不同的實施例中,夾持電壓具有至少500 volts的量級。更佳的是,夾持電壓具有至少2000 volts的量級。更佳的是,不同實施例具有在-2000 volts至 -3000 volts之間的夾持電壓。
其他實施例可在電感耦合製程腔室中使用。其他實施例可使用具有內部與外部加熱塊的靜電卡盤。為設置內部與外部區塊,密封環帶可為隔離內部與外部區塊之高起的稜線。此外,台面可放置在密封環帶之間而可提供額外的支撐。
雖然本發明已就數個較佳實施例加以描述,但仍存在變更、修改、變化、及各種同等替代物,其皆落入本發明之範圍內。亦應注意本發明之方法及設備有許多替代的實行方式。因此,以下隨附申請專利範圍應被解釋為包含所有落入本發明之真正精神及範圍內的變更、修改、變化、及同等替代物。
104‧‧‧步驟
108‧‧‧步驟
112‧‧‧步驟
116‧‧‧步驟
200‧‧‧電漿處理系統
206‧‧‧氣體散佈板
208‧‧‧靜電卡盤(ESC)
210‧‧‧製程氣體源
212‧‧‧基板
213‧‧‧入口
214‧‧‧氦散佈通道
216‧‧‧冷卻劑埠
220‧‧‧排氣泵浦
230‧‧‧RF源
234‧‧‧下電極
235‧‧‧控制器
248‧‧‧ESC源
249‧‧‧處理腔室
250‧‧‧腔室牆
251‧‧‧ESC氣體源
300‧‧‧電腦系統
302‧‧‧處理器
304‧‧‧電子顯示裝置
306‧‧‧主記憶體
308‧‧‧儲存裝置
310‧‧‧可移除的儲存裝置
312‧‧‧使用者介面裝置
314‧‧‧通訊介面
316‧‧‧通訊基礎結構
404‧‧‧氧來源
408‧‧‧氦來源
412‧‧‧氧閥
416‧‧‧氦閥
本揭露內容係藉由範例的方式且不藉由限制的方式而在隨附圖示的複數圖中加以說明,且其中類似的參考數字指涉類似的元件,且其中:
圖1為實施例的高階流程圖。
圖2為可在實施例中使用之電漿處理腔室的示意圖。
圖3為可用於運作實施例之電腦系統的示意圖。
圖4為在另一實施例中之ESC氣體源的放大示意圖。

Claims (18)

  1. 一種抑制電弧的方法,用於抑制在電漿處理腔室中之靜電卡盤的氦散佈通道中之電弧,其中該靜電卡盤連接至用於提供夾持電壓之電壓源,且其中該電漿處理腔室包含製程氣體源及用於將製程氣體轉換成為電漿之電漿功率源,該方法包含: 使一冷卻氣體通過該靜電卡盤的該氦散佈通道流至一晶圓的一背側,其中該氣體包含: 氦;及 一負電性氣體。
  2. 如申請專利範圍第1項之抑制電弧的方法,其中該負電性氣體包含O2 、F2 、Cl2 或SiH4 之至少一者。
  3. 如申請專利範圍第2項之抑制電弧的方法,更包含: 施加來自該電壓源之一夾持電壓; 使一製程氣體從該製程氣體源流至該晶圓的一前側;及 將該製程氣體形成為一電漿。
  4. 如申請專利範圍第3項之抑制電弧的方法,其中該夾持電壓具有至少500 伏特的量級。
  5. 如申請專利範圍第4項之抑制電弧的方法,其中該將該製程氣體形成為一電漿的步驟包含從該電漿功率源提供超過3,000 瓦RF平均功率至該製程氣體。
  6. 如申請專利範圍第5項之抑制電弧的方法,其中該冷卻氣體主要由He與O2 組成。
  7. 如申請專利範圍第1項之抑制電弧的方法,更包含: 施加來自該電壓源之一夾持電壓; 使一製程氣體從該製程氣體源流至該晶圓的一前側;及 將該製程氣體形成為一電漿。
  8. 如申請專利範圍第7項之抑制電弧的方法,其中該將該製程氣體形成為一電漿的步驟包含從該電漿功率源提供超過3,000瓦RF平均功率至該製程氣體。
  9. 如申請專利範圍第1項之抑制電弧的方法,其中該夾持電壓具有至少500 伏特的量級。
  10. 如申請專利範圍第1項之抑制電弧的方法,其中該冷卻氣體主要由He與O2 組成。
  11. 一種用於電漿處理晶圓的設備,包含: 一靜電卡盤,用於支撐一晶圓,其中該靜電卡盤具有用於提供一冷卻氣體至該晶圓的一背側之氦散佈通道;及 一氦與負電性氣體源,其與該氦散佈通道呈流體連通。
  12. 如申請專利範圍第11項之用於電漿處理晶圓的設備,其中該氦與負電性氣體源,包含: 一氦來源;及 一負電性氣體來源。
  13. 如申請專利範圍第12項之用於電漿處理晶圓的設備,其中該負電性氣體來源為一氧氣體來源。
  14. 如申請專利範圍第13項之用於電漿處理晶圓的設備,更包含: 一電漿腔室,其圍繞該靜電卡盤; 一製程氣體源,其與該電漿腔室呈流體連通;及 一電漿功率源,其耦合至該電漿腔室,用於將該製程氣體轉換成為一電漿。
  15. 如申請專利範圍第14項之用於電漿處理晶圓的設備,更包含一靜電卡盤電壓源,其能夠提供具有至少2000 伏特的量級之一偏壓電壓。
  16. 如申請專利範圍第11項之用於電漿處理晶圓的設備,更包含 一電漿腔室,其圍繞該靜電卡盤; 一製程氣體源,其與該電漿腔室呈流體連通;及 一電漿功率源,其耦合至該電漿腔室,用於將該製程氣體轉換成為一電漿。
  17. 如申請專利範圍第11項之用於電漿處理晶圓的設備,更包含一靜電卡盤電壓源,其能夠提供具有至少2000 伏特的量級之一偏壓電壓。
  18. 如申請專利範圍第11項之用於電漿處理晶圓的設備,其中該氦與負電性氣體源包含一容器,其包含氦與氧的一混合物,其中該氧係藉由莫爾數的比率來測量而為該混合物的1%至30%。
TW106138247A 2016-11-11 2017-11-06 電漿著火之抑制 TW201828779A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/349,918 2016-11-11
US15/349,918 US10535505B2 (en) 2016-11-11 2016-11-11 Plasma light up suppression

Publications (1)

Publication Number Publication Date
TW201828779A true TW201828779A (zh) 2018-08-01

Family

ID=62108030

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106138247A TW201828779A (zh) 2016-11-11 2017-11-06 電漿著火之抑制

Country Status (5)

Country Link
US (1) US10535505B2 (zh)
KR (1) KR102423975B1 (zh)
CN (1) CN109952636B (zh)
TW (1) TW201828779A (zh)
WO (1) WO2018089180A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10896806B2 (en) * 2016-11-03 2021-01-19 En2Core Technology, Inc. Inductive coil structure and inductively coupled plasma generation system
US10535505B2 (en) * 2016-11-11 2020-01-14 Lam Research Corporation Plasma light up suppression
KR20210072114A (ko) * 2018-11-01 2021-06-16 램 리써치 코포레이션 He 홀 라이트-업 (light-up)/아크 (arcing) 를 방지하는 특징들을 갖는 고전력 정전 척
KR102297382B1 (ko) 2019-10-18 2021-09-01 세메스 주식회사 기판 처리 시스템 및 방법

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2867679A (en) * 1952-12-04 1959-01-06 Gen Electric Gas composition for cooling and insulating purposes
JPH03269924A (ja) * 1990-03-19 1991-12-02 Hitachi Ltd ガス遮断器
US6083569A (en) * 1996-10-25 2000-07-04 Applied Materials, Inc. Discharging a wafer after a plasma process for dielectric deposition
US6872322B1 (en) 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US5955823A (en) * 1998-05-12 1999-09-21 Ultra Sonus Ab High power ultrasonic transducer
US6016023A (en) * 1998-05-12 2000-01-18 Ultra Sonus Ab Tubular ultrasonic transducer
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
TWI234417B (en) * 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
TW561515B (en) * 2001-11-30 2003-11-11 Tokyo Electron Ltd Processing device, and gas discharge suppressing member
JP3693972B2 (ja) * 2002-03-19 2005-09-14 富士通株式会社 貼合せ基板製造装置及び基板貼合せ方法
JP2004014752A (ja) * 2002-06-06 2004-01-15 Tokyo Electron Ltd 静電チャック、被処理体載置台およびプラズマ処理装置
JP4322484B2 (ja) * 2002-08-30 2009-09-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN1228820C (zh) * 2002-09-04 2005-11-23 东京毅力科创株式会社 等离子体处理装置以及等离子体处理方法
US7033443B2 (en) * 2003-03-28 2006-04-25 Axcelis Technologies, Inc. Gas-cooled clamp for RTP
US7072165B2 (en) * 2003-08-18 2006-07-04 Axcelis Technologies, Inc. MEMS based multi-polar electrostatic chuck
US6946403B2 (en) * 2003-10-28 2005-09-20 Axcelis Technologies, Inc. Method of making a MEMS electrostatic chuck
JP4468194B2 (ja) * 2005-01-28 2010-05-26 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US7393460B2 (en) 2005-03-29 2008-07-01 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
JP4593402B2 (ja) * 2005-08-25 2010-12-08 株式会社日立ハイテクノロジーズ エッチング方法およびエッチング装置
US8080479B2 (en) 2007-01-30 2011-12-20 Applied Materials, Inc. Plasma process uniformity across a wafer by controlling a variable frequency coupled to a harmonic resonator
JP5231117B2 (ja) * 2008-07-24 2013-07-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
CN102149502A (zh) * 2008-09-30 2011-08-10 大阳日酸株式会社 钢板的气体保护电弧钎焊方法
US8435906B2 (en) 2009-01-28 2013-05-07 Applied Materials, Inc. Methods for forming conformal oxide layers on semiconductor devices
JP2010182763A (ja) * 2009-02-04 2010-08-19 Hitachi High-Technologies Corp プラズマ処理装置
JP5357639B2 (ja) * 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US20100326602A1 (en) * 2009-06-30 2010-12-30 Intevac, Inc. Electrostatic chuck
US20110024049A1 (en) 2009-07-30 2011-02-03 c/o Lam Research Corporation Light-up prevention in electrostatic chucks
US9318341B2 (en) * 2010-12-20 2016-04-19 Applied Materials, Inc. Methods for etching a substrate
WO2013035547A1 (ja) * 2011-09-07 2013-03-14 三菱電機株式会社 タンク型遮断器
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9245761B2 (en) * 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
JP2015069770A (ja) * 2013-09-27 2015-04-13 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9273393B2 (en) * 2014-01-25 2016-03-01 Yuri Glukhoy Torch system for depositing protective coatings on interior walls and recesses present on the flat surface of an object
US9343252B2 (en) * 2014-08-27 2016-05-17 Eaton Corporation Arc extinguishing contact assembly for a circuit breaker assembly
JP6524534B2 (ja) * 2016-03-09 2019-06-05 パナソニックIpマネジメント株式会社 素子チップの製造方法
US10535505B2 (en) * 2016-11-11 2020-01-14 Lam Research Corporation Plasma light up suppression
CN108087735A (zh) * 2018-01-15 2018-05-29 佛山电器照明股份有限公司 一种高效散热的led灯泡

Also Published As

Publication number Publication date
CN109952636A (zh) 2019-06-28
CN109952636B (zh) 2024-03-26
KR20190070363A (ko) 2019-06-20
WO2018089180A1 (en) 2018-05-17
US20180138021A1 (en) 2018-05-17
US10535505B2 (en) 2020-01-14
KR102423975B1 (ko) 2022-07-21

Similar Documents

Publication Publication Date Title
JP5281309B2 (ja) プラズマエッチング装置及びプラズマエッチング方法及びコンピュータ読み取り可能な記憶媒体
US20210134604A1 (en) Etching method
KR100891754B1 (ko) 기판 처리실의 세정 방법, 기억 매체 및 기판 처리실
TWI663649B (zh) Plasma processing method and plasma processing device
TW201828779A (zh) 電漿著火之抑制
US20100078129A1 (en) Mounting table for plasma processing apparatus
KR20140092257A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
JP6422262B2 (ja) プラズマ処理方法及びプラズマ処理装置
KR20180083264A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
US10553409B2 (en) Method of cleaning plasma processing apparatus
KR101089951B1 (ko) 플라즈마 처리 장치
KR102348077B1 (ko) 플라즈마 처리 방법
KR102538188B1 (ko) 플라즈마 처리 장치의 세정 방법
KR20150087120A (ko) 플라즈마 처리 장치
TW202015493A (zh) 處理晶圓用之方法及裝置
US20170069497A1 (en) Plasma etching method
KR20080073416A (ko) 플라즈마 식각 장치