TW201824341A - 用於高縱橫比之剝除方法 - Google Patents

用於高縱橫比之剝除方法 Download PDF

Info

Publication number
TW201824341A
TW201824341A TW106117129A TW106117129A TW201824341A TW 201824341 A TW201824341 A TW 201824341A TW 106117129 A TW106117129 A TW 106117129A TW 106117129 A TW106117129 A TW 106117129A TW 201824341 A TW201824341 A TW 201824341A
Authority
TW
Taiwan
Prior art keywords
polymer layer
substrate
aspect ratio
plasma
polymer
Prior art date
Application number
TW106117129A
Other languages
English (en)
Other versions
TWI760338B (zh
Inventor
維杰M 凡尼艾波拉
紹銘 馬
禮 侯
Original Assignee
美商瑪森科技公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商瑪森科技公司 filed Critical 美商瑪森科技公司
Publication of TW201824341A publication Critical patent/TW201824341A/zh
Application granted granted Critical
Publication of TWI760338B publication Critical patent/TWI760338B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0014Cleaning by methods not provided for in a single other subclass or a single group in this subclass by incorporation in a layer which is removed with the contaminants
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Laminated Bodies (AREA)

Abstract

本案提供一種用於從一具有高緃橫比結構之基板上剝除光罩層(例如摻雜非晶碳光罩層)的方法。在一示範實例中,一方法能包含沉積一聚合物層於一基板上之一高緃橫比結構的至少一部份的頂端。該方法進一步能包含:使用一電漿剝除方法,從該基板移除至少一部份的聚合物層及該摻雜非晶碳膜。在示範性實施例中,沉積一聚合物層能包含:以該聚合物層***一或更多高緃橫比結構。在一示範性實施例中,沉積一聚合物層能包含:形成一聚合物層於一或更多高緃橫比結構之一側壁。

Description

用於高緃橫比結構之剝除方法
本申請案請求2016年9月14日提申之美國專利臨時申請案第62/394,242號、發明名稱為”Strip Process for High Aspect Ratio Structure”的優先權,該案發明內容於本案中併入以供參考。本申請案請求2017年2月9日提申之美國專利臨時申請案第62/456,911號、發明名稱為”Strip Process for High Aspect Ratio structure”之優先權,該案發明內容於本案中併入以供參考。
本案一般係關於光罩材料之移除,尤其是用於從具有高緃橫比結構之半導體材料上移除光罩層的方法。
含碳的硬光罩,例如非晶碳層(ACL)或式樣限定膜(PDF),已經廣泛地修改,可供利用成為光罩材料,用於蝕刻高緃橫比結構,例如DRAM或3D NAND裝置(例如用於DRQAM中的OCS蝕刻、或3D NAND中的高緃橫比接觸蝕刻應用。)對於高緃橫比結構的蝕刻或剝除方法,能有數種挑戰。
例如,一基板能包含一具有高緃橫比結構的圖樣區(patterned area),及一不具有高緃橫比結構的非圖樣區。在蝕刻之後執行的剝除方法,需要在濕式清潔之前,於該圖樣區 及該非定式區圖樣區中移除一碳硬光罩。然而,該定式區圖樣區內蝕刻後的殘留光罩,係遠遠地較薄於非圖樣區,此係起因於(例如)離子轟擊介電電漿蝕刻等等的圖樣鄰近效應及較強大的光罩腐蝕性。結果,在企圖從該基板上每一個地方都乾淨地移除該碳光罩的加工期間,該圖樣區相對於該未圖樣區,係能夠經歷到遠遠較長的過度剝除。
在高緃橫比結構蝕刻加工期間有較高的光罩選擇性,已經逐漸地成為一種需求。據此,摻雜物(如硼)能夠被添加到該碳光罩材料,以便產出被摻雜的非晶碳(DaC)膜,以便增強光罩選擇性。然而,在使用習知剝除方法之下,該光罩的DaC膜的移除速率,已經係遠遠地較低於使用習知剝除方法之習知非晶碳膜所能取得的移除速率。
本案實施例的態樣及優點,將部份地陳述於下文的描述中,或可由該描述加以習得、或可透過該實施例之實行來習得。
例如在一實施例中,本案一示範性態樣係指向一種用於從一具有高緃橫比結構之基板上移除至少一部份的摻雜非晶碳膜的方法。該剝除方法包含沉積一聚合物層於至少一部份的基板上高緃橫比結構之頂端;及使用一電漿剝除方法,從該基板移除至少一部份的該聚合物層及該摻雜非晶碳層。
本揭示內容的其他示範性態樣係指向於用來進行 一剝除方法以便從一具有高緃橫比結構之基板上,移除至少一部份的光罩層的系統、方法、程序及設備。
各種其他實施例的這些以及其他特色、態樣及優點,將在參照後文描述及後附申請專利範圍之下,而更佳地獲得瞭解。合併在本文中並構成本說明書一部份的附圖,圖解說明了本揭示內容的實施例,並且其連同該說明書描述,係用於解釋相關的原理。
20‧‧‧substrate 基板
22‧‧‧Patterned area 圖樣區
24‧‧‧Unpatterned area 未圖樣區
25‧‧‧Carbon hard mask 碳硬光罩
50‧‧‧Substrate 基板
52‧‧‧Patterned area 圖樣區
54‧‧‧Unpatterned area 未圖樣區
55‧‧‧Hard mask 光罩
60‧‧‧Polymer layer 聚合物層
60‧‧‧Protection layer 保護層
100‧‧‧Plasma processing apparatus 電漿處理設備
110‧‧‧Processing chamber 處理室
112‧‧‧Substrate holder or pedestal 基板支架或台座
114‧‧‧Substrate 基板
116‧‧‧Grid 網格
120‧‧‧Plasma chamber 電漿室
122‧‧‧Dielectric side wall 介電側壁
124‧‧‧ceiling 天花板
125‧‧‧Plasma chamber interior 電漿室內部
128‧‧‧Faraday shield 法拉第屏蔽
130‧‧‧Induction coil 電感線圈
132‧‧‧Matching network 匹配網路
134‧‧‧RF power generator RF電力產生器
140‧‧‧Gas injection insert 氣體注射嵌件
150‧‧‧Gas supply 氣體供應器
151‧‧‧Annular gas distribution channel 環形氣體分散通道
針對本技藝中具一般能力者的實施例詳細討論,係陳述在本說明書中,其係參照附屬圖式,其中:第一圖係一碳硬光罩的後蝕刻剝除方法範例的示意圖。
第二(a)、(b)、(c)及(d)圖,係依照本案示範實施例之示範性剝除方法的示意圖。
第三圖係依照本案示範實施例之一示範性剝除方法的示意圖。
第四圖係依照本案示範實施例之用於進行一剝除方法的示範性電漿處理設備的示意圖。
第五圖係依照本案示範實施例之用於移除一光罩層的示範性方法的流程圖。
第六圖係依照本案示範實施例之用於移除一光罩層的示範性方法的流程圖。
現在將詳細參照具體實施例,其一或多個示範例已在圖示中繪出。所提出各示範例是要解釋該等具體實施例,並非要做為本案的限制。事實上,熟習此項技術者應能輕易看出,該等具體實施例可有各種修改及變異而不會偏離本案的範疇及精神。舉例來說,繪出或描述為一具體實施例之某部分的特徵,可配合另一具體實施使用以產出又更進一步的具體實施例。因此,本案的態樣是要涵蓋此等修改及變異。
本揭示內容一般係指向後蝕刻剝除方法,其在具有高緃橫比結構的基板的處理期間,能用於移除一硬碳光罩層。含碳的硬光罩係廣泛地用於高緃橫比介電蝕刻方法(例如用於DRAM及3D NAND)。然而,在該介電蝕刻方法後剝除該硬光罩,且在該剝除方法期間不破壞該高緃橫比蝕刻結構可能會很困難。此外,摻雜非晶碳硬光罩的使用,很難讓該碳硬光罩移除得夠快,且同時在剝除方法期間擁有硬光罩與下層(如中間層該高緃橫比結構的氮化物及氧化物)之間的良好選擇性。
依照本案的示範性態樣,用於移除一硬光罩層的後蝕刻剝除方法,能包含沉積一含碳聚合物於該高緃橫比結構之內部,以便保護該高緃橫比結構的側壁及其他表面。然後該基板能曝照於一電漿剝除方法,以便移除該硬光罩。然後該基板能被處理,以便移除被沉積的聚合物,及清潔該室。依此方 式,該硬光罩及該高緃橫比結構下層膜之間的剝除方法選擇性,係能夠降低。
基於解釋及討論的緣故,本案的態樣係參照「晶圓」或作為基板的半導體晶圓來討論。利用在本文中所提供的揭示內容,一般熟習本項技藝人士將明瞭的是,本案的示範性觀點,能夠與任何工件、半導體基板、或其他合適的基板,一起結合使用。結合有數值之字詞「約」的使用,係指所述數值的10%範圍內。
又,本案的態樣係參照一摻雜非晶碳光罩層的移除來進行討論。利用在本文中所提供的揭示內容,一般熟習本項技藝人士將明瞭的是,本案技術的態樣能被應用於其他光罩層的移除,而不偏離本案的範圍。
本案一示範性實施例係指向於一種用於從一具有高緃橫比結構的基板上移除至少一部份的摻雜非晶碳膜的方法。該方法包含,沉積一聚合物層於至少一部份的基板上高緃橫比結構的頂端。該方法包含,使用一電漿剝除方法,從該基板上移除至少一部份的該聚合物層、及該摻雜非晶碳膜。
在某些實施例中,沉積一聚合物層,能包含以該聚合物層***一或更多高緃橫比結構。在某些實施例中,沉積該聚合物層,能包含在一或更多高緃橫比結構的側壁上形成一聚合物層。
該聚合物層能夠使用CHF3、H2、N2、Ar之一或更 多或其組合的聚合物沉積來形成。該聚合物層能使用結合有任何H2或任何Cx1Hy1的CxHyFz氣體來形成,其中x、y、z、x1、及y1係整數。該聚合物層能在約10毫托耳(mTorr)至約5托耳壓力範圍下加以形成。
在某些實施例中,沉積一聚合物層係在一蝕刻室中執行,且移除至少一部份的聚合物層以及摻雜非晶碳膜係在一剝除室中加以執行。在某些實施例中,沉積一聚合物層、及移除至少一部份的聚合物層以及摻雜非晶碳膜,係在同一室中加以執行。在某些實施例中,沉積一聚合物層、及移除至少一部份的聚合物層以及摻雜非晶碳膜,係加以重複,一直到該摻雜非晶碳層從該基板移除時為止。
在某些實施例中,該電漿剝除方法,能使用以下的混合物所形成的電漿:含氧氣體、含鹵素氣體、及包含氫的還原氣體。該還原氣體對於該含鹵素氣體的比例,能夠在約0.001至約3的範圍之內。
本案另一示範實施例係針對於一種用於從一具有一或更多高緃橫比結構之圖樣區的基板上移除至少一部份光罩層的方法。該方法包含,安放一基板於一電漿處理設備(如剝除室)內的基板支架。該方法包含,在該電漿處理設備內執行一聚合物沉積程序,以便沉積一聚合物層於至少一部份的基板的圖樣區。在該電漿處理設備內執行一電漿剝除程序,以便從該基板移除至少一部份的聚合物層及至少一部份的光罩層。
在某些實施例中,該聚合物沉積方法,將該聚合物層阻塞一或更多的高緃橫比結構。在某些實施例中,該聚合物沉積方法,在一或更多高緃橫比結構的側壁上形成一聚合物層。
該聚合物層能使用聚合物沉積作用,以CHF3、H2、N2、Ar之一或更多或其組合加以形成。該聚合物層能使用結合有任何H2或任何Cx1Hy1的CxHyFz氣體來形成,其中x、y、z、x1、及y1係整數。該聚合物層能在約10m托耳至約5托耳壓力範圍下加以形成。
本案另一示範實施例係指向於一種從一具有一或更多高緃橫比結構之圖樣區的基板上移除至少一部份的光罩層的方法。該方法包含安放一基板於一第一電漿處理設備。該方法包含,在該第一電漿處理設備內執行一聚合物沉積程序,以便沉積一聚合物層於該基板圖樣區的至少一部份。該方法包含轉移該基板至一第二電漿處理設備。該方法包含,在該第二電漿處理設備中執行一電漿剝除方法,以便從該基板移除至少一部份的該聚合物層及至少一部份的該光罩層。在某些實施例中,該第一電漿處理設備包含一蝕刻室。該第二電漿處理設備包含一剝除室。
參照各附圖,現在本案的示範性實施例將詳細地加以討論。第一圖解說一示範性的基板20上碳硬光罩25的後蝕刻剝除方法,該基板包含一圖樣區22,其具有高緃橫比結構, 及一未圖樣區24,其不具有高緃橫比結構。如第一圖所示,在介電蝕刻方法之後遺留在該未圖樣區24上的該碳硬光罩25,係較厚於被遺留在包含高緃橫比結構之該圖樣區22中的碳硬光罩25。因此,為了在剝除方法期間從全部基板20的面積上移除該硬光罩25,該圖樣區22可能在剝除方法期間,經歷遠遠較長的過度剝除。
一摻雜非晶碳硬光罩(如硼摻雜非晶碳硬光罩),係能夠用來取得電漿蝕刻程序期間的較高的光罩選擇性。剝除程序期間光罩移除的一個示範性技術條件,能要求大於每分鐘5000埃。藉由在O2/N2或H2/N2剝除化學中添加使用CF4,係可能取得這種要件。然而這種具有CF4添加的化學,在用於移除該硬光罩的剝除程序期間,也能夠破壞下面的高緃橫比結構的氧化物及氮化物層。
例如,在剝除該圖樣區上一硼摻雜非晶碳硬光罩之後,許多該硼摻雜非晶碳硬光罩保留在該未圖樣區。結果,該圖樣區內的過度剝除能夠是必要的,以便移除該未圖樣區內的摻雜硼非晶碳硬光罩。例如,在某些實施例中,該圖樣區中可能有超過300%的過度剝除。歸因於該過度剝除,在剝除期間氧化物及氮化物的選擇性可能有非常嚴格的技術要求。典型的剝除選擇性的技術要求需要圖樣區對上非圖樣區係大於500:1,且理想上大於1000:1。如果沒有這樣的選擇性,下方的高緃橫比結構可能被破壞。無論如何,這類選擇性技術條 件能夠讓剝除方法變慢,且摻雜非晶碳層作為光罩材料的使用受到質疑,即使它能夠在高緃橫比蝕刻程序期間具有高性能時亦然。
依照本案示範性態樣,用於從一基板移除硬光罩之剝除方法期間的介於該圖樣區及非圖樣區之間的選擇性技術條件,能夠藉由以下來減低:在執行光罩移除之前,在圖樣區的高緃橫比結構的頂端上,***或形成一聚合物。更具體地,氣體,例如CHF3、H2、N2、Ar或其組合,能夠在10毫托耳至5托耳壓力範圍及小於100℃溫度之下,被用來形成一聚合物。該聚合物能夠被沉積進入該圖樣區的高緃橫比結構,以便阻塞住該高緃橫比結構,以致於下方的氮化物或氧化物,在剝除程序期間,將減少對於攻擊性過度剝除化學的曝露時間。這個程序能夠重複,一直到大部份的光罩係從該基板的圖樣區及該未圖樣區上被移除時為止。在某些實施例中,由聚合物沉積作用所形成的保護層,能夠在一剝除室內當場完成,接著進行攻擊性剝除程序,以便移除該保護層及光罩層。在某些實施例中,該聚合物沉積作用能夠在介電蝕刻程序之後,於一蝕刻室內執行。依照本案一示範性實施例,該基板能夠被轉移至一剝除室,可供剝除該聚合物及硬光罩。聚合物沉積作用能夠使用任何合適的沉積程序來執行,例如化學蒸氣沉積。
第二圖解說一剝除方法概述,其用於從包含有圖樣區55(具有一或更多高緃橫比結構)及未圖樣區54的基板50 上,移除硬光罩55。圖樣區54中的高緃橫比結構,至少一部份地能由夾在矽之間的氧化物及氮化物層的交替,而加以形成。在某些實施例中,該硬光罩55能夠是一摻雜非晶碳硬光罩(如硼摻雜非晶硬光罩)。
第二(a)圖顯示在介電蝕刻方法後的一基板50。如所示者,基板50包含一硬光罩55。在未圖樣區54的硬光罩55相對於圖樣區52者,係較厚。如第二(b)圖,第一步驟能包含,沉積一聚合物層60於基板50。該聚合物層60能夠是使用一聚合物沉積程序所形成的聚合物層。如圖所示,聚合物層60能***或阻塞該圖樣區52內的高緃橫比結構。
在某些實施例中,聚合物層60能夠在剝除程序期間,當場在基板50上形成(當基板係處於處理室時)。例如,CH4、H2、N2、Ar或其組合之類的氣體,能提供到處理室內,以便在10毫托耳~5托耳壓力下形成一聚合物,及沉積該聚合物作為聚合物層60,其阻塞基板50上的圖樣區52中的一或更多的高緃橫比結構。其他可能性氣體也能利用於聚合物沉積作用。例如,CxHyFz可結合任何其他H2、CxHy(CH4、C2H4、C3H6、C4H8等),以便形成聚合物層60。在某些實施例中,能在低壓下沉積,例如小於100毫托耳的壓力。例如,該聚合物層能夠在小於100毫托耳壓力下於蝕刻處理室內加以沉積。在某些實施例中,該聚合物層能在溫度小於100℃之下加以沉積。
如第二(c)圖所示,該聚合物沉積步驟後,接著有 一摻雜非晶碳層(如硼摻雜非晶碳層)剝除程序,以便從基板緩慢地移除保護層60及硬光罩55。在某些實施例中,第二(b)及(c)圖的方法,能加以重複多次,一直到實質地全部的硬光罩55係如第二(d)圖所示被移除時為止。
在某些實施例中,沉積聚合物層60的步驟,可能不包含阻塞該高緃橫比結構,如第二(b)圖所示。取而代之地,該聚合物層可以沿著該高緃橫比結構的側壁加以沉積,如第三圖所示。該聚合物沉積步驟之後,可接著一摻雜非晶碳層(如硼摻雜非晶碳層)剝除程序,以便從基板緩慢地移除保護層60及硬光罩55。關於可供光罩移除使用的示範性剝除程序的細節,係如下文。聚合物沉積方法及剝除方法能夠重複多次,一直到實質全部的硬光罩55被移除時為止,如第二(d)圖所示。
依照本案一示範性態樣,在硬光罩從基板上被移除之前,使用聚合物沉積方法在基板上來提供一聚合物層,能夠降低基板上介於定式及未圖樣區之間的剝除程序的選擇性技術條件,及能夠放大製程視窗(process window)。這樣能讓摻雜非晶碳硬光罩(如硼摻雜非晶碳硬光罩)的利用,有更多實用性。該剝除方法能夠以低成本電漿剝除室加以執行。
依照本案的示範性態樣,能沉積一聚合物的方法、及能移除摻雜非晶碳光罩基板的方法,係能夠在電漿反應器內加以實行。在一具體實施例中,一下游電感耦合電漿(ICP)源,能加以利用。然而,本案光罩移除剝除方法也考慮其他電 漿技術,例如微波下游剝除技術(microwave downstream strip technology),或平行板/電感耦合電漿蝕刻技術。電感電漿源通常被利用於電漿方法,以便產生高密度電漿及反應性物種,可供加工半導體基板。例如,電感電漿源,能夠使用標準13.56MHz及較低頻電能產生器,輕易地產生高密度電漿。電感電漿源結合RF偏壓,也已經在蝕刻機中加以使用,(例如)以便獨立地控制離子能源以及晶圓上的離子通量。
對於某些電漿剝除程序,例如光罩移除,一般並不想要將半導體直接曝露於電漿之下。在這方面,該電漿能夠遠離該處理室而形成(如在下游),之後,吾人想要的微粒係被引導到該半導體基板,(例如)通過一個格柵,其對於中性微粒係通透的,但對於電漿係不通透的。這類方法會需要高RF電能(例如高達約6000瓦特(W)),且在某些情況下,較高的氣流(如約每分鐘20,000標準立方公分(sccm))及高壓(例如高達約5,000毫托耳(mTorr)。在某些實施例中,該聚合物沉積能在分離室內發生,例如一蝕刻室,及被轉移到一剝除室,可供依照本案示範性實施例來剝除摻雜非晶碳硬光罩。
第四圖解說能夠在本案方法實施例中加以使用的示範性電漿處理設備100。首先,吾人預期的是,流率及RF電力之類的參數係與晶圓表面積成比例,(例如)以致於450mm晶圓(1590cm2面積)需要300mm晶圓(707cm2面積)2.25倍的氣流及電力,同時,200mm晶圓(314cm2面積)需要300mm晶圓(707 cm2面積)0.44倍的氣流及電力。也要瞭解的是,如本項技藝中具一般能力者所知的任何其他合適的電漿室也能加以利用,而不偏離本案的範圍,其包含但不限於微波技術或平行板技術。
如圖所示,電漿反應器100包含一處理室110及一與該處理室110相互分離的電漿室120。處理室110包含一基板支架或台座112,其可操作地握持一個將要移除光罩的基板114。一電感電漿係在電漿室120中產生(亦即電漿產生區),然後吾人想要的微粒係從電漿室120,係經由網格116(其將電漿室120自處理室110(即下游區)加以隔離)中所提供的孔洞被導引到基板114的表面。
電漿室120包含一介電側壁122及一天花板124。該介電側壁122及天花板124界定一電漿室內部125。介電側壁122能由任何介電材料來形成,例如石英。一電感線圈130係鄰接該電漿室120附近的介電側壁122安放。該電感線圈130係經由一合適的匹配網路132,被耦合至一RF發電機134。反應物及承載氣體,能從氣體供應器150及環形氣體分配通道151,被供應到該室內部。當該電感線圈130以來自該RF發電機134的RF電力加以激發時,一實質的電感電漿被引入該電漿室120。在一具體實施例中,該電漿反應器100能包含一可選用的法拉第屏蔽128,以便降低電感電圈130與電漿的電容性耦合。
為了增加效率,電漿反應器100能夠選擇性地包含一安放在該室內部125中的氣體注射嵌件140。該氣體注射嵌件 140能夠是可移動式***該室內部125,或能夠是該電漿室120的固定零件。在某些實施例中,該氣體注射嵌件能夠界定一氣體注射通道,位在電漿室側壁附近。該氣體注射通道能將處理氣體餵入該室內部,靠近該電感線圈,及餵入一由氣體注射嵌件及側壁所界定的活化區。該活化區提供一個在電漿室內部之內的局限區,用於電子的主動加熱。狹窄的氣體注射通道,避免電漿從室內部散佈進入氣體通道。該氣體注射嵌件強迫該處理氣體通過該活化區,於此處電子係主動地被加熱。
在某些實施例中,依照本案示範性方法的光罩移除方法,能夠在包含有高緃橫比溝槽(藉由夾在矽間之氧化物及氮化物層交替而形成)的基板上加以實行。在某些例子中,氧化物及氮化物層的數量可以高到15個或更多,例如約20~25個。
無關於電漿處理的數目及具體類型,被用來從基板移除摻雜非晶碳光罩的電漿,能包含含氧氣體、含鹵素氣體及還原氣體(含氫)的混合物。該含氧氣體能包含但不限於二氧化碳(CO2)、一氧化碳(CO)、一氧化氮(N2O)、或其組合。含鹵素氣體能包含氟仿,及在某些實施例中,其由化學式CHxFy加以表示。在一實施例中,該含鹵素氣體能夠是四氟甲烷(CF4),然而要瞭解的是,如同具本項技藝一般能力者所知地,利用本文揭示內容之下,任何合適的含鹵素氣體係能加以利用。含有氫的還原氣體能夠是分子氫(H2)、氨(CH3)、甲烷(CH4)、或含 有氫的經稀釋的氣體混合物。經惰氣稀釋者也能加以使用,作為部份的氣體混合物。在某些實施例中,惰氣能包含氮(N2)或稀有氣體,例如氬(Ar)或氦(He)或其組合。
無關於本案示範性光罩移除方法中所利用的具體的含氧氣體、含鹵素氣體或還原氣體,含鹵素氣體的數量係總氣體體積的約0.25%~約4%,例如總氣體體積的約0.5%~約3%,如總氣體體積的約1%~約2%。再者,含氫還原氣體相對含鹵素氣體(如H2比CF4)的濃度比例,能夠是0~3。在某些實施例中,含氫氣體對含鹵素氣體的比例範圍,係約0.001~約3,如約0.002~約2.0,如約0.8~約1.8。
含氧氣體、含鹵素氣體、及還原氣體(即含氫氣體)、及可選用的惰氣,能夠以許多種流率而引入電漿產生室及處理室。例如,當處理兩片直徑各約300mm的基板時,含氧氣體能有約50~20,000sccm的流率,例如約1,000~10,000sccm,如約3,000~8,000sccm。同時,含鹵素氣體的流率能夠是約10~400sccm、如約20~200sccm、如約30~160sccm。又,含氫的還原氣體的流率能夠是約30~600sccm、例如約50~400sccm、如約100~200sccm。此外,惰氣的流率能夠是約0~10,000sccm,例如約10~8,000sccm、如約20~6,000sccm。
依據基板的表面積(如單一300mm直徑基板有約706.5平方公分(cm2)的表面積),這相對應於流率約0.03sccm/cm2~約15sccm/cm2的含氧氣體,例如約0.7sccm/cm2~約 7.25sccm/cm2、如約2sccm/cm2~約5.75sccm/cm2。同時這相對應於流率約0.007sccm/cm2~約0.3sccm/cm2的含鹵素氣體,例如約0.014sccm/cm2~約0.15sccm/cm2、如約0.02sccm/cm2~約0.12sccm/cm2。又,這相對應於流率約0.02~約0.5sccm/cm2的含氫還原氣體,例如約0.035~約0.3sccm/cm2、如約0.07~約0.15sccm/cm2。此外,這相對應於流率約0sccm/cm2~7sccm/cm2的惰氣,例如約0.007sccm/cm2~約5.75sccm/cm2、如約0.014sccm/cm2~約4.25sccm/cm2
又,光罩移除係能夠在各種不同溫度、電力及壓力水準之下來實行。例如,光罩移除期間的溫度範圍能夠是約5℃~約300℃,例如約10℃~約150℃、如約15℃~約50℃。此外,應瞭解的是,待處理的基板能夠預熱,在真空下、大氣壓下加熱浸漬、或燈具泡基礎預熱。此外,用於處理300mm直徑基板的RF源電力範圍能約300W~約6,000W,如約1,000W~約5,000W、如約3,000W~約5,000W。同時,要瞭解的是,依據前述氣體流率相同方式而將要處理的基板的表面積,該源電力能調節向上或向下。因此,(例如)當處理直徑範圍約100mm~約500mm的基板時,如約200~約450mm直徑,該源電力範圍能夠是約125W~約13500W,例如約425W~約12,375W、如約1,300W~約11,250W。
又,光罩移除係能夠在許多壓力下加以實行。例如,該壓力範圍能夠是約1毫托耳~4,000毫托耳,例如約 250~1,500毫托耳、如約400~600毫托耳。
此外,在光罩移除期間,待移除摻雜非晶碳光罩的基板,能夠依據線寬(CD)及該待處理基板中的溝渠或通道之緃橫比,而加以處理一段特定的時間。例如,該處理時間的範圍係約1~約600秒,例如約5~約450秒、如約10~約300秒。
第五圖圖解一依照本案示範性實施例之示範剝除方法(400)的流程圖。該方法(400)能夠至少部份地(例如)在一或更多的電漿處理室內加以執行,例如第四圖所示的設備100。又,第五圖圖解了依照特定順序來執行的步驟,方便解說及討論。本項技藝具一般能力者,在利用本文所提供的揭示內容下,將會瞭解的是,在某些實施例中,本文中所述任何方法的任何步驟,係能夠用許多方法來改編、擴張、重排、同時執行、省略、重複、及/或修飾,而不偏離本案的範圍。
步驟(402),該方法能包含,安放一基板於一電漿處理設備。該基板能包含一圖樣區,其具有一或更多的高緃橫比結構。該基板能包含一未圖樣區,其不包含高緃橫比結構。該一或更多的高緃橫比結構,至少一部份係能夠由以下者的交替而形成:被夾在矽間之氧化物及氮化物層。一摻雜非晶碳光罩層(如硼摻雜非晶碳光罩層),能夠被包含在該圖樣區及/或非圖樣區。在某些情況下,該未圖樣區上的摻雜非晶碳光罩層,能夠較厚於圖樣區上者。
步驟(404),該方法能包含,在該電漿處理設備(如 剝除室)中執行一聚合物沉積程序,以便沉積一聚合物層於至少一部份的基板圖樣區上。如上述,在某些實施例中,該聚合物沉積方法能夠加以使用,以便阻塞一或更多位在基板上的高緃橫比結構。在某些實施例中,該聚合物沉積方法能夠加以使用,以便在一或更多高緃橫比結構的側壁上形成一聚合物層。本文所述的任何合適的沉積方法,都能夠被利用而在至少一部份的基板圖樣區上來形成該聚合物層。
步驟(406),該方法能包含,在該電漿處理設備中執行一電漿剝除程序,以便移除至少一部份的聚合物層及光罩層。該電漿剝除方法能夠是任任前述的光罩移除方法。方塊(404)及(406)能夠加以重複,一直到該光罩層及/或聚合物層已充足地從該基板上移除時為止。
第六圖圖解一依照本案示範性實施例之示範性剝除方法(500)的流程圖。為方便說明及討論,第六圖解說的步驟係在一特定順序下執行。利用本文揭示內容,具本項技藝一般能力者將會瞭解的是,在某些實施例中,本文中所述任何方法的任何步驟,係能夠用許多方法來改編、擴張、重排、同時執行、省略、重複、及/或修飾,而不偏離本案的範圍。
步驟(502),該方法能夠包含,安放一基板於第一電漿處理設備(如蝕刻室)中的基板支架。該基板能包含一圖樣區,其具有一或更多的高緃橫比結構。該基板能包含一未圖樣區,其不包含高緃橫比結構。該一或更多的高緃橫比結構,至 少一部份係能夠由以下者的交替而形成:被夾在矽間之氧化物及氮化物層。一摻雜非晶碳光罩層(如硼摻雜非晶碳光罩層),能夠被包含在該圖樣區及/或非圖樣區。在某些情況下,該未圖樣區上的摻雜非晶碳光罩層,能夠較厚於圖樣區上者。
執行一蝕刻方法後,該方法能包含,在第一電漿處理設備中執行一聚合物沉積程序,以便沉積一聚合物層於至少一部份的基板圖樣區(504)。如前文所述,在某些實施例中,該聚合物沉積方法能夠加以使用,以便阻塞一或更多位在基板上的高緃橫比結構。在某些實施例中,該聚合物沉積方法能夠加以使用,以便在一或更多高緃橫比結構的側壁上形成一聚合物層。本文所述的任何合適的沉積方法,都能夠被利用而在至少一部份的基板圖樣區上來形成該聚合物層。
步驟(506),該方法能包含,轉移該基板至一第二電漿處理設備。例如,該方法能包含轉移基板至一剝除室。第四圖圖解一示範性剝除室,其能依照本案示範性實施例來加以使用。
步驟(508),該方法能包含在該電漿處理設備中執行一電漿剝除程序,以便移除至少一部份的聚合物層及光罩層。該電漿剝除程序可以是本文所述的任何光罩移除方法。
雖本案主旨係已經針對其具體示範性實施例來詳細描述,熟習本項技藝者將同意的是,在瞭解前文之下,對於這類實施例可能輕易地產生替代、變型及等價。因此,本揭示 內容謹作為示範例子,而非作為限制,及如同熟習本項技藝者能輕易瞭解的是,主要揭示內容並不排除包含這類對於本題事項的修飾、變異及/或增添。

Claims (20)

  1. 一種用於從一具有高緃橫比結構之基板上移除至少一部份的摻雜非晶碳膜的方法,該方法包括:沉積一聚合物層於一基板上之一高緃橫比結構之至少一部份的頂端;及使用一電漿剝除方法,從該基板移除至少一部份的該聚合物層及該摻雜非晶碳膜。
  2. 如申請專利範圍第1項的方法,其中沉積一聚合物層,包括以該聚合物層***一或更多的高緃橫比結構。
  3. 如申請專利範圍第1項的方法,其中沉積一聚合物層,包括在一或更多高緃橫比結構之一側壁上形成一聚合物層。
  4. 如申請專利範圍第1項的方法,其中該聚合物層係利用聚合物沉積作用,以一或更多種的CHF 3、H 2、N 2、Ar或其組合而加以形成。
  5. 如申請專利範圍第1項的方法,其中該聚合物層係使用一組合有任何H 2或任何C X1H Y1之C XH YF Z氣體加以形成,其中x、y、z、x1及y1均係整數。
  6. 如申請專利範圍第1項的方法,其中該聚合物層係在壓力範圍約10毫托耳至約5托耳之下加以形成。
  7. 如申請專利範圍第1項的方法,其中沉積一聚合物層,係在一蝕刻室中執行,且移除至少一部份的一聚合物層和 該摻雜非晶碳膜,係在一與該電漿室係分離的剝除室中執行。
  8. 如申請專利範圍第1項的方法,其中沉積一聚合物層、及移除至少一部份的一聚合物層和該摻雜非晶碳膜,係在同一室內執行。
  9. 如申請專利範圍第1項的方法,其中沉積一聚合物層、及移除至少一部份的一聚合物層和該摻雜非晶碳膜,係重複執行,直到該摻雜非晶碳層從該基板被移除時為止。
  10. 如申請專利範圍第1項的方法,其中該電漿剝除方法使用一電漿,該電漿係使用一含氧氣體、一含鹵素氣體、及一包含氫之還原氣體的混合物來形成。
  11. 如申請專利範圍第10項的方法,其中該還原氣體對該含鹵素氣體的比例係在約0.001至約3的範圍內。
  12. 如申請專利範圍第1項的方法,其中該摻雜非晶碳膜係硼摻雜非晶碳膜。
  13. 一種用於從一具有一或更多高緃橫比結構圖樣區的基板上移除至少一部份光罩層的方法,該方法包括:安放一基板於一電漿處理設備之內;於該電漿處理設備內執行一聚合物沉積方法,以便沉積一聚合物層於至少一部份的該基板圖樣區;於該電漿處理設備內執行一聚合物沉積方法,以便沉積一聚合物層於至少一部份的該基板圖樣區;於該電漿處理設備內執行一電漿剝除方法,以便從該 基板上移除至少一部份的該聚合物層及至少一部份的該光罩層。
  14. 如申請專利範圍第1項的方法,其中該聚合物沉積方法,以該聚合物層來阻塞一或更多的高緃橫比結構。
  15. 如申請專利範圍第13項的方法,其中該聚合物沉積方法,在一或更多高緃橫比結構之一側壁上形成一聚合物層。
  16. 申請專利範圍第13項的方法,其中該聚合物層係使用一或更多種的CHF 3、H 2、N 2、Ar或其組合加以形成。
  17. 如申請專利範圍第13項的方法,其中該聚合物層係使用一組合有任何H 2或任何C X1H Y1之C XH YF Z氣體加以形成,其中x、y、z、x1及y1均係整數。
  18. 如申請專利範圍第13項的方法,其中該電漿處理設備包括一剝除室。
  19. 一種用於從一具有一或更多高緃橫比結構圖樣區之基板上移除至少一部份光罩層的方法,該方法包括:安放該基板於一第一電漿處理設備中;於該第一電漿處理設備中執行一聚合物沉積方法,以便沉積一聚合物層於該基板之至少一部份的圖樣區;轉移該基板至一第二電漿處理設備;及於該第二電漿處理設中執行一電漿剝除方法,以便從該基板移除至少一部份的該聚合物層及至少一部份的該光罩層。
  20. 如申請專利範圍第19項的方法,其中該第一電漿處理設備包括一蝕刻室且該第二電漿處理設備包括一剝除室。
TW106117129A 2016-09-14 2017-05-24 用於高縱橫比結構之剝除方法 TWI760338B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662394242P 2016-09-14 2016-09-14
US62/394,242 2016-09-14
US201762456911P 2017-02-09 2017-02-09
US62/456,911 2017-02-09

Publications (2)

Publication Number Publication Date
TW201824341A true TW201824341A (zh) 2018-07-01
TWI760338B TWI760338B (zh) 2022-04-11

Family

ID=61559704

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106117129A TWI760338B (zh) 2016-09-14 2017-05-24 用於高縱橫比結構之剝除方法

Country Status (7)

Country Link
US (2) US10599039B2 (zh)
JP (1) JP6861802B2 (zh)
KR (1) KR102204116B1 (zh)
CN (1) CN109690735B (zh)
SG (1) SG11201901207TA (zh)
TW (1) TWI760338B (zh)
WO (1) WO2018052494A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI718597B (zh) * 2018-08-06 2021-02-11 日商日立全球先端科技股份有限公司 電漿處理方法及電漿灰化裝置
US11875978B2 (en) 2020-06-16 2024-01-16 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10636675B2 (en) * 2017-09-27 2020-04-28 Applied Materials, Inc. Methods of etching metal-containing layers
US11049728B2 (en) * 2018-10-31 2021-06-29 Entegris, Inc. Boron-doped amorphous carbon hard mask and related methods
US11270890B2 (en) 2018-12-14 2022-03-08 Lam Research Corporation Etching carbon layer using doped carbon as a hard mask
KR20210010817A (ko) * 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
CN115039209A (zh) * 2019-12-31 2022-09-09 玛特森技术公司 用于硬掩模去除的***和方法
CN113192958B (zh) * 2021-04-28 2022-01-04 长江存储科技有限责任公司 存储器件及其制造方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6207583B1 (en) 1998-09-04 2001-03-27 Alliedsignal Inc. Photoresist ashing process for organic and inorganic polymer dielectric materials
US6805139B1 (en) 1999-10-20 2004-10-19 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
WO2001029879A2 (en) 1999-10-20 2001-04-26 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
KR100338768B1 (ko) * 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
US6526996B1 (en) * 2000-06-12 2003-03-04 Promos Technologies, Inc. Dry clean method instead of traditional wet clean after metal etch
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US20040038537A1 (en) * 2002-08-20 2004-02-26 Wei Liu Method of preventing or suppressing sidewall buckling of mask structures used to etch feature sizes smaller than 50nm
KR20050044806A (ko) 2002-09-18 2005-05-12 맷슨 테크놀로지, 인크. 물질을 제거하기 위한 시스템 및 방법
US20040157457A1 (en) * 2003-02-12 2004-08-12 Songlin Xu Methods of using polymer films to form micro-structures
US7402487B2 (en) * 2004-10-18 2008-07-22 Infineon Technologies Richmond, Lp Process for fabricating a semiconductor device having deep trench structures
JP4507120B2 (ja) * 2005-11-11 2010-07-21 エルピーダメモリ株式会社 半導体集積回路装置の製造方法
US7947605B2 (en) 2006-04-19 2011-05-24 Mattson Technology, Inc. Post ion implant photoresist strip using a pattern fill and method
US7547636B2 (en) * 2007-02-05 2009-06-16 Lam Research Corporation Pulsed ultra-high aspect ratio dielectric etch
US8394723B2 (en) * 2010-01-07 2013-03-12 Lam Research Corporation Aspect ratio adjustment of mask pattern using trimming to alter geometry of photoresist features
JP2013008732A (ja) * 2011-06-22 2013-01-10 Elpida Memory Inc 半導体装置の製造方法
CN102360119B (zh) * 2011-09-29 2014-12-31 上海丽恒光微电子科技有限公司 光调制器像素单元及其制作方法
SG193093A1 (en) * 2012-02-13 2013-09-30 Novellus Systems Inc Method for etching organic hardmasks
JP6257638B2 (ja) * 2012-10-30 2018-01-10 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 高アスペクト比酸化物エッチング用のフルオロカーボン分子
US9396960B2 (en) * 2012-11-01 2016-07-19 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US9129911B2 (en) 2013-01-31 2015-09-08 Applied Materials, Inc. Boron-doped carbon-based hardmask etch processing
US9040430B2 (en) * 2013-06-27 2015-05-26 Lam Research Corporation Method of stripping organic mask with reduced damage to low-K film
CN104956476B (zh) * 2013-11-06 2017-11-14 马特森技术有限公司 用于垂直nand器件的新型掩模去除方法策略
US9418867B2 (en) * 2014-01-10 2016-08-16 Applied Materials, Inc. Mask passivation using plasma
US9390923B2 (en) * 2014-07-03 2016-07-12 Applied Materials, Inc. Methods of removing residual polymers formed during a boron-doped amorphous carbon layer etch process

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI718597B (zh) * 2018-08-06 2021-02-11 日商日立全球先端科技股份有限公司 電漿處理方法及電漿灰化裝置
US11456183B2 (en) 2018-08-06 2022-09-27 Hitachi High-Tech Corporation Plasma processing method and plasma ashing apparatus
US11875978B2 (en) 2020-06-16 2024-01-16 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method

Also Published As

Publication number Publication date
KR102204116B1 (ko) 2021-01-19
CN109690735A (zh) 2019-04-26
US10599039B2 (en) 2020-03-24
JP2019530230A (ja) 2019-10-17
CN109690735B (zh) 2023-02-21
US10901321B2 (en) 2021-01-26
WO2018052494A1 (en) 2018-03-22
KR20190043556A (ko) 2019-04-26
JP6861802B2 (ja) 2021-04-21
SG11201901207TA (en) 2019-04-29
TWI760338B (zh) 2022-04-11
US20200218158A1 (en) 2020-07-09
US20180074409A1 (en) 2018-03-15

Similar Documents

Publication Publication Date Title
TWI760338B (zh) 用於高縱橫比結構之剝除方法
JP6033496B2 (ja) 垂直nand素子のための新規のマスク除去方法
US11062910B2 (en) Surface treatment of silicon or silicon germanium surfaces using organic radicals
KR101425629B1 (ko) 실리콘 함유 필름들을 위한 평활 siconi 식각
JP5933694B2 (ja) ホウ素炭素膜をドライストリッピングする方法
US20160181112A1 (en) Anisotropic gap etch
TW201709267A (zh) 清潔高深寬比通孔
JP6579953B2 (ja) 純還元性プラズマ中で高アスペクト比のフォトレジストを除去する方法
JP4648900B2 (ja) 基板からフォトレジストを除去する方法
TW202032661A (zh) 用於移除硬遮罩之以水蒸氣為基礎的含氟電漿
TW202117847A (zh) 使用沉積製程和蝕刻製程的工件處理
TW201826385A (zh) 碳基膜之自限性循環蝕刻方法
US20090017259A1 (en) Dry etching method, fine structure formation method, mold and mold fabrication method
WO2021041389A1 (en) Methods for processing a workpiece using fluorine radicals
TWI222132B (en) Etching method and plasma etching apparatus
Wang et al. Reactive ion etching of Si1− xGex alloy with hydrogen bromide
TW202139258A (zh) 硬遮罩移除的系統與方法
JP2015216287A (ja) プラズマ処理方法
Kaler Etching of Si and SiNx by Beams Emanating from Inductively Coupled CH3F/O2 and CH3F/CO2 Plasmas