TW201813038A - 內犧牲間隔件的互連 - Google Patents

內犧牲間隔件的互連 Download PDF

Info

Publication number
TW201813038A
TW201813038A TW106117639A TW106117639A TW201813038A TW 201813038 A TW201813038 A TW 201813038A TW 106117639 A TW106117639 A TW 106117639A TW 106117639 A TW106117639 A TW 106117639A TW 201813038 A TW201813038 A TW 201813038A
Authority
TW
Taiwan
Prior art keywords
dielectric layer
opening
spacer
dielectric
air gap
Prior art date
Application number
TW106117639A
Other languages
English (en)
Inventor
志國 孫
方強
蘇拉K 帕特爾
舒杰輝
Original Assignee
格羅方德半導體公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 格羅方德半導體公司 filed Critical 格羅方德半導體公司
Publication of TW201813038A publication Critical patent/TW201813038A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本發明揭示互連結構以及形成該互連結構的方法。一間隔件形成於一介電層中的一開口內。於形成該間隔件之後,一導電柱塞形成於該介電層中的該開口內。於形成該導電柱塞後,移除該間隔件以定義位於該介電層中的該開口內的一空氣間隙。該空氣間隙位於該導電柱塞與該介電層中的該開口之間。

Description

內犧牲間隔件的互連
本發明關於積體電路以及半導體裝置製造,更具體而言,關於一晶片的互連結構以及形成這類互連結構的方法。
一後段製程(back-end-of-line;BEOL)互連結構可用於電性連接通過前段製程(front-end-of-line;FEOL)製造於一基板上的裝置結構。可使用一雙鑲嵌程序形成BEOL互連結構,其中,通過於一介電層中蝕刻的開口與溝槽同時填充金屬以生成一金屬化層(metallization level)。於先穿孔(via-first)、後溝槽(trench-last)的雙鑲嵌程序中,其中,通孔(via opening)先形成於介電層中,而後一溝槽形成於該通孔上方的該介電層中,該通孔在形成該溝槽的該蝕刻程序期間未被填充。在一個單鑲嵌程序中,該通孔與溝槽形成於不同的介電層中並分別填充金屬。
因此,需要改進的用於一晶片的互連結構及形成這種互聯結構的方法。
根據本發明的一實施例中,一互連結構包括具有一開口的一介電層、位於該介電層中的該開口內的一導電柱塞、以及位於該介電層中該開口內在該導電柱塞與該介電層的該開口之間的一位置處的一空氣間隙。
根據本發明的另一實施例中,一方法包括形成一開口於一介電層中,並形成一間隔件於該介電層中的該開口內。於形成該間隔件之後,一導電柱塞形成於該介電層中的該開口內。於形成該導電柱塞之後,移除該間隔件以形成位於該介電層中的該開口內的一空氣間隙。該空氣間隙位於該導電柱塞與該介電層中的該開口之間。
10‧‧‧金屬化層
12‧‧‧介電層
13‧‧‧基板
14、16‧‧‧開口
14a、16a‧‧‧側壁
14b、16b‧‧‧底面
15‧‧‧共形層
18、20‧‧‧犧牲間隔件
22‧‧‧阻障/襯墊層
24‧‧‧金屬層
26、28‧‧‧金屬柱塞
26a、28a‧‧‧外部側壁
30‧‧‧金屬帽蓋
34‧‧‧介電層
36、38‧‧‧空氣間隙
納入並構成本說明書的一部分的附圖示出了本發明所描述的各種實施例,並與本發明的上述的普通說明以及下面的具體實施例中的詳細說明一起,用於解釋本發明的各種實施例。
第1圖至第6圖為根據本發明的一實施例所示的於一製程方法的連續製造階段的一互連結構的剖視圖。
請參閱第1圖,根據本發明的一實施例,一介電層12用於形成載於一基板13上的一BEOL互連結構的一金屬化層10,其可能是由一前段製程(FEOL)程序所加工的一矽晶圓用以形成一積體電路。介電層12可由一典 型絕緣介電材料所構成,例如一低K介電材料,其一相對介電常數(permittivity)或介電常數(dielectric constant)小於二氧化矽(SiO2)的介電常數,大約是3.9。介電層12的候選低K介電材料包括但不限於緻密多孔的有機低k介電質,緻密多孔的無機低k介電質,例如有機矽酸鹽玻璃,以及有機和無機介電質的組合,其介電常數小於或等於3.0。在一替代實施例中,介電層12可由通過化學氣相沉積(chemical vapor deposition;CVD)法沉積的二氧化矽所組成。
開口,以開口14,16為代表,可以通過光刻以及分佈於介電層12的表面區域的選定位置上的蝕刻而形成。具體而言,可施加一抗蝕層暴露於通過一光遮罩所投射的一輻射圖案中,並在位於該介電層12中形成開口14,16的預定位置處形成開口的一對應圖案。該圖案化抗蝕層作為例如一反應離子蝕刻(reactive-ion etching;RIE)的一乾蝕刻程序的一蝕刻遮罩,用於移除部分的介電層12以形成開口14,16。蝕刻程序可以通過單個蝕刻步驟而進行,或者通過具有不同蝕刻劑的多個蝕刻步驟而進行,並可暴露一底層特徵(未予圖示)。該特徵可以是與開口14,16對齊的一底層(underlying)介電層中的一導電特徵。開口14具有側壁14a,其可能是垂直的,且終止於一底面(base surface)14b並連接底面14b。同樣地,開口16具有側壁16a,其也可能是垂直的,且終止於靠近基板13的一底面16b並連接底面16b。
一共形層15由相比於覆蓋開口14,16的側壁14a,16a以及底面14b,16b的所沉積的介電層12具有選擇性蝕刻選擇的一給定材料所構成。共形層15具有與開口14,16的尺寸(例如寬度尺寸)相結合的一層厚度,以便為後續形成的如上所述的空氣間隙建立一個或多個尺寸。共成層15還可形成於介電層12的頂面上的場域中。在側壁14a,16b、底面14b,16b、以及場域中的介電層12的該頂面的任何位置上,共形層15的厚度在名義上相同。
參考第2圖,其中,相似的參考數字是指第1圖中的相似特徵,於一後續製造階段,犧牲間隔件18,20由共形層15形成並位於開口14,16的側壁14a,16a上。犧牲間隔件18,20的至少部分具有由共形層15的層厚所建立的一給定尺寸。犧牲間隔件18,20可通過一蝕刻程序,例如反應離子蝕刻,優先移除水平面上(例如開口14,16的介電層12的頂面與底面14b,16b)的材料以定型共形層15的材料而形成。犧牲間隔件18從開口14的底面14b延伸至介電層12的頂面。犧牲間隔件20同樣從開口16的底面16b延伸至介電層12的頂面。犧牲間隔件18,20在最終的裝置機構中不存在。
如下文所述,構成共形層15的材料及其生成的犧牲間隔件18,20對介電層12的材料具有蝕刻選擇性(例如,一較高的蝕刻率)以便於移除。在一實施例中,共形層15及犧牲間隔件18可以由從通過例如CVD沉積的一介電材料層所形成的一介電材料所構成。如果介電材料 的組分是氮化矽(Si3N4),可使用例如熱磷酸(H2SO4)完成選擇性移除。如果介電材料的組分是二氧化矽(SiO2),可使用例如稀氫氟酸(hydrofluoric acid;HF)來完成選擇性移除。如果介電材料的組分是磷矽玻璃(phosphorus silicon glass;PSG),則可使用例如稀氫氟酸(HF)來完成選擇性移除。
於另一實施例中,共形層15與犧牲間隔件18,20可由其他類型的材料組成,例如可使用一後蝕刻殘留移除劑(例如EKC)而選擇性移除的氮化鈦,或使用例如四甲基氫氧化銨(tetramethylammonium hydroxide;TMAH)而選擇性移除的非晶矽。
請參考第3圖,其中,相似的參考數字是指第2圖中的相似特徵,於一後續製造階段,沉積一給定厚度的一阻障/襯墊層22於側壁14a,16a上以及開口14,16的底部,以及介電層12的頂面的場域中。阻障/襯墊層22可以由通過物理氣相沉積(physical vapor deposition;PVD),例如濺射程序,所沉積的釕(Ru)、鈦(Ti)、氮化鈦(TiN)、鉭(Ta)、氮化鉭(TaN)或這些材料的一多層組合(例如,一TaN/Ta雙層)而組成。一晶種層(未予圖示)可形成於開口14,16的側壁14a,16a上並覆蓋阻障/襯墊層22。晶種層可由銅組成,使用例如PVD程序的如元素銅或共沉積鉻銅(Cr-Cu)。
沉積晶種層之後,一較厚的導體或由低電阻金屬(如銅(Cu))所組成的金屬層可使用不同於沉積晶 種層所使用的沉積程序的一沉積程序(例如電鍍或其他電化學電鍍程序)而沉積。晶種層可能需要攜帶電流來啟動形成金屬層24的一電鍍程序並可納入金屬層24。晶種層以及金屬層24的各自殘留部分位於開口14,16內。或者,金屬層24可通過一無電鍍沉積程序沉積,其允許省略晶種層。
請參考第4圖,其中,相似的參考數字是指第3圖中的相似特徵,於一後續製造階段,金屬層24與阻障/襯墊層22通過平坦化程序,例如一個或多個化學機械拋光(chemical mechanical polishing;CMP)程序,從介電層12的頂面的場域移除。化學機械拋光過程中的材料移除結合了磨損及以亞微米級別拋光目標材料的一蝕刻效果。各化學機械拋光程序可通過使用標準拋光墊的商業工具進行並選擇泥漿來拋光目標材料。由來源於金屬層24的材料所組成的導體或金屬柱塞26,28駐留於開口14,16內。各金屬柱塞26,28由犧牲間隔件18,20中的一個所圍繞。犧牲間隔件18,20的一頂面於化學機械拋光程序之後露出,所述程序被仔細的控制以露出犧牲間隔件18,20。
一金屬帽蓋(cap)30可通過選擇性沉積(例如CVD)而形成於各金屬柱塞26,28的頂面,在這種情況下,CVD需要包括鄰近金屬柱塞26,28的頂面的一金屬前體(precursor)與一共反應氣體之間的一化學反應。一固定反應物被選擇性沉積以形成金屬柱塞26,28。然而,該反應物不會形成於鄰近金屬帽蓋30的介電層12的頂面上。 可以選擇沉積條件以提供具有高導電性(即低電阻)的薄膜,並且在不沉積於介電質表面的情況下對鈷具有良好的附著力。特別是,金屬帽蓋30中的導體可由通過低溫CVD沉積的釕(Ru)、一含釕材料(如氧化釕(RuOx))、鈷(Co)、或一含鈷材料(例如,鈷鎢磷化物(CoWP))所構成。金屬帽蓋30用於在後續清洗以及蝕刻程序期間保護金屬柱塞26,28的頂面,以防止侵蝕或損壞。
請參考第5圖,其中,相似的參考數字是指第4圖中的相似特徵,於一後續製造階段,犧牲間隔件18,20可通過用於移除對介電層12與金屬帽蓋30的材料具有選擇性(即,較高的蝕刻率)的構成犧牲間隔件18,20的材料的一蝕刻程序被移除。在一個實施例中,犧牲間隔件18,20由氮化矽(Si3N4)組成,蝕刻程序可為使用熱磷酸(H3PO4)的一濕化學蝕刻,或可以通過一氟基(fluorine-based)化學劑的一乾蝕刻程序移除。如果犧牲間隔件18,20是由一不同的材料組成的,可使用如下文所述的其他蝕刻劑移除。
被移除的犧牲間隔件18,20所空出的空間定義了未被固體材料填充的空氣間隙36,38。代替犧牲間隔件18,20的空氣間隙36,38可具有與從介電層12的頂面上的場域移除金屬層24的拋光程序之後的犧牲間隔件18,20的尺寸名義上相等的一個或多個尺寸。於一實施例中,空氣間隙36,38的寬度與犧牲間隔件18,20的層厚相等。空氣間隙36位於開口14的側壁14a與穿過由空氣間隙36生成的 空間間隙的金屬柱塞26的最近的外部側壁26a之間。同樣的,空氣間隙38位於開口16的側壁16a與穿過由空氣間隙38生成的空間間隙的金屬柱塞28的最近的外部側壁28a之間。金屬柱塞26,28位於各自相關的空氣間隙32,38的不同部分之間。空氣間隙36從底面14b的一端垂直延伸至介電層12的頂面處的一開口端。同樣的,空氣間隙38從底面16b的一端垂直延伸至介電層12的頂面處的一開口端。空氣間隙36以及金屬柱塞26與介電層12中的開口14的底面14b同延(coextensive),而金屬柱塞26與空氣間隙36的邊界的一部分在底面14b處共面。空氣間隙38以及金屬柱塞28與介電層12中的開口16的底面16b同延,而金屬柱塞28與空氣間隙38的邊界的一部分在底面16b處共面。
金屬柱塞26位於相關的空氣間隙32的不同部分之間。同樣的,金屬柱塞28位於相關的空氣間隙38的不同部分之間。於一實施例中,空氣間隙36,38可分別延伸至大約金屬柱塞26,28中的相關的一個的邊界處,以使空氣間隙26,28呈現出圍繞各自的金屬柱塞26,28的連續開放空間。
空氣間隙36,38可具有接近統一(即約1)的一介電常數(例如,相對介電常數),其反映了由處於大氣壓或接近大氣壓的空氣所填充的空氣間隙36,38由處於大氣壓或接近大氣壓的另一種氣體所填充,或含有一亞大氣壓(例如一部分真空)的空氣或氣體。介電常數是由一物 質的介電常數與一真空的介電常數之比(ratio)所決定的。由於空氣間隙36,38具有小於構成介電層12的材料的介電常數的一介電常數,所以接近金屬柱塞26,28的介電材料的複合介電常數被減小。
可形成襯墊(未予圖示)以覆蓋介電層12的介電材料與接壤空氣間隙36,38的金屬柱塞26,28。襯墊可以包括一介電材料的具有一介電常數特性的一電絕緣體,例如採用一快速熱處理(rapid thermal process;RTP)沉積的一高溫氧化物(high temperature oxide;HTO)。
請參考第6圖,其中,相似的參考數字是指第5圖中的相似特徵,於一後續製造階段,可沉積一介電層34於介電層12上。介電層34可作為一覆蓋層以封閉空氣間隙36,38並密封先前由犧牲間隔件18,20佔據的空間。介電層34的候選無機介電材料可包括,但不限於,矽碳氮化物(SiCN)、富氫碳氧化矽(SiCOH),以及這些和其他介電材料的組合。於代表性實施例中,部分的介電層34可以滲透到空氣間隙36,38的一相應上部內,使得空氣間隙36,38的體積相對於在犧牲間隔件18,20被移除之後所建立的高度略有減少。或者,介電層34可僅覆蓋和封閉空氣間隙36,38的先前的開口端,使得空氣間隙36,38的體積沒有減少。
由於犧牲間隔件18,20使開口14,16變窄,存在於光刻程序中的一較大程序餘量(process margin)被用於形成開口14,16。換句話說,開口14,16可形成於具有較大尺 寸的介電層12中,並隨後在形成金屬柱塞26,28之前,隨著犧牲間隔件18,20的形成而變窄。由於犧牲間隔件18,20的存在,金屬柱塞26,28的尺寸小於開口14,16的尺寸。具有小於介電層12的相對介電常數的一相對介電常數的空氣間隙36,38用於減小金屬化層10的電容。具有內部犧牲間隔件18的開口14,16的外形有利於沉積阻障/襯墊層22,且所述電鍍用於形成可減少金屬柱塞26,28中的廢金屬(例如銅)的發生率的金屬層24。空氣間隙36,38的體積可以通過控制犧牲間隔件18的尺寸加以預測和控制。
如上所述的方法用於積體電路晶片的製造。由此產生的積體電路晶片可由製造商以原始晶圓形式分佈(即作為具有多個未封裝晶片的一單晶圓),作為一裸晶粒(bare die),或以封裝的形式。該晶片可與其他晶片、分立式電路元件、及/或信號處理裝置整合,作為一中間產品或最終產品的一部分。該最終產品可以是任何包含積體電路晶片的產品,例如具有一中央處理器的電腦產品或智慧型手機。
本文所提及的術語,如“垂直”、“水平”等,是通過舉例的方式,而非通過限制的方式來建立參照體系的。本文所使用的術語“水平”被定義為於一半導體基板的一常規平面平行的一平面,而不管其實際的三維空間方向。術語“垂直”以及“正向(normal)”是指垂直於水平的一方向,正如剛剛所定義的。術語“橫向”是指水平面內的一個方向。諸如“上方”以及“下方”等術語 用於表示相對於相對標高的元件或結構之間的相對定位。
一特徵“連接”或“耦接”至另一元件或一特徵與另一元件“連接”或“耦接”可以是直接連接或耦接該另一元件,或者,可以存在一個或多個中間元件。如果沒有中間元件,則一個特徵可以“直接連接”或“直接耦接”另一元件。如果存在至少一中間元件,則一個特徵可“間接連接”或“間接耦接”另一元件。
已經為了說明的目的而呈現了本發明的各種實施例的描述,但並不旨在窮舉或限於所公開的實施例。在不脫離所描述的實施例的範圍和精神的情況下,許多修改和變化對於本領域普通技術人員將是顯而易見的。本文選擇使用的術語是為了最好地解釋實施例的原理,對市場中發現的技術的實際應用或技術改進,或使本領域普通技術人員能夠理解本文公開的實施例。

Claims (20)

  1. 一種互連結構,包括:一第一介電層,其包括一開口;一導電柱塞,其位於該第一介電層的該開口內;以及一空氣間隙,其位於該第一介電層中的該開口內在該導電柱塞與該第一介電層中的該開口之間的一位置處。
  2. 如申請專利範圍第1項所述的互連結構,包括:一第二介電層,其位於該第一介電層上,該第二介電層覆蓋該開口以封閉該空氣間隙。
  3. 如申請專利範圍第1項所述的互連結構,其中,該空氣間隙具有與從該開口的一部分移除的一犧牲間隔件的一尺寸相等的至少一尺寸。
  4. 如申請專利範圍第1項所述的互連結構,其中,該空氣間隙具有與從該開口的一部分移除的一犧牲間隔件的一厚度相等的一厚度。
  5. 如申請專利範圍第1項所述的互連結構,其中,該空隙間隙的該位置在該導電柱塞的一側壁以及與該第一介電層中的該開口接壤的該第一介電層的一側壁之間,且該第一介電層的該側壁通過該空氣間隙與該導電柱塞的該側壁分開。
  6. 如申請專利範圍第5項所述的互連結構,其中,該導電柱塞的該側壁為與該第一介電層的該側壁的距離最近 的一外部側壁。
  7. 如申請專利範圍第5項所述的互連結構,其中,該開口於該第一介電層中延伸至一底面,該第一介電層的該側壁與該底面相交,且該導電柱塞以及該空氣間隙與該底面同延。
  8. 一種方法,包括:形成一第一介電層;形成一開口於該第一介電層中;形成一間隔件於該第一介電層的該開口內;形成一導電柱塞於該第一介電層的該開口內;以及於形成該導電柱塞後,移除該間隔件以形成一空氣間隙於該第一介電層中的該開口內在該導電柱塞與該第一介電層中的該開口之間的一位置處。
  9. 如申請專利範圍第8項所述的方法,其中,移除該間隔件以形成於該第一介電層中的該開口內在該導電柱塞與該第一介電層中的該開口之間的該位置處的該空氣間隙包括:選擇性蝕刻與該第一介電層相對的該間隔件以移除該間隔件並形成該空氣間隙。
  10. 如申請專利範圍第9項所述的方法,其中,該第一介電層包括一低K介電材料,該間隔件包括一介電材料,以及該間隔件的該介電材料選擇性蝕刻該低K介電材料。
  11. 如申請專利範圍第9項所述的方法,其中,該第一介電層包括一低K介電材料,該間隔件包括氮化矽,以及該間隔件採用由磷酸組成的一溶液進行選擇性蝕刻。
  12. 如申請專利範圍第9項所述的方法,其中,該第一介電層包括一低K介電材料,該間隔件包括二氧化矽,以及該間隔件採用由磷酸組成的一溶液進行蝕刻。
  13. 如申請專利範圍第9項所述的方法,其中,該第一介電層包括一低K介電材料,該間隔件包括磷矽玻璃,以及該間隔件採用由磷酸組成的一溶液進行蝕刻。
  14. 如申請專利範圍第9項所述的方法,其中,該第一介電層包括一低K介電材料,該間隔件包括氮化鈦,以及該間隔件採用由後蝕刻殘液移除劑組成的一溶液進行蝕刻。
  15. 如申請專利範圍第9項所述的方法,其中,該第一介電層包括一低K介電材料,該間隔件包括非晶矽,以及該間隔件採用由四甲基氫氧化銨組成的一溶液進行蝕刻。
  16. 如申請專利範圍第8項所述的方法,其中,該開口包括一底面以及與該底面連接的側壁,且形成該間隔件於該第一介電層中的該開口內包括:沉積一共形層以覆蓋該側壁與該開口的該底面;以及蝕刻該共形層以從該開口的該底面移除該共形層。
  17. 如申請專利範圍第8項所述的方法,還包括:形成一第二介電層於該第一介電層上,其中,該第二介電層覆蓋該開口以封閉該空氣間隙。
  18. 如申請專利範圍第8項所述的方法,其中,該第一介電層包括一第一介電材料,該間隔件包括一第二介電材料,以及形成該間隔件於該第一介電層中的該開口內包括:通過選擇性地蝕刻該第一介電材料來選擇要移除的該第二介電材料。
  19. 如申請專利範圍第8項所述的方法,其中,形成該導電柱塞於該第一介電層中的該開口內包括:施加一金屬層以填充未被該間隔件填充的該開口的一部分;以及拋光該金屬層以露出該間隔件並形成該導電柱塞於該開口內。
  20. 如申請專利範圍第19項所述的方法,其中,採用一蝕刻程序移除該間隔件,且該方法還包括:於通過該蝕刻程序移除該間隔件以形成該空氣間隙之前,形成一保護帽蓋於該導電柱塞上。
TW106117639A 2016-07-06 2017-05-26 內犧牲間隔件的互連 TW201813038A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/202,867 US20180012791A1 (en) 2016-07-06 2016-07-06 Interconnects with inner sacrificial spacers
US15/202,867 2016-07-06

Publications (1)

Publication Number Publication Date
TW201813038A true TW201813038A (zh) 2018-04-01

Family

ID=60911027

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106117639A TW201813038A (zh) 2016-07-06 2017-05-26 內犧牲間隔件的互連

Country Status (3)

Country Link
US (1) US20180012791A1 (zh)
CN (1) CN107591389A (zh)
TW (1) TW201813038A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI812206B (zh) * 2021-06-17 2023-08-11 台灣積體電路製造股份有限公司 半導體結構及其形成方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108896218A (zh) * 2018-07-13 2018-11-27 河南汇纳科技有限公司 一种压阻式压力传感器及其制造方法
CN110858578B (zh) * 2018-08-23 2021-07-13 联华电子股份有限公司 管芯封环及其制造方法
US10832839B1 (en) * 2019-09-13 2020-11-10 Globalfoundries Inc. Metal resistors with a non-planar configuration
WO2022218610A1 (en) * 2021-04-12 2022-10-20 Ams-Osram Ag Semiconductor device with sealed through-substrate via and method for producing thereof

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4106048B2 (ja) * 2004-10-25 2008-06-25 松下電器産業株式会社 半導体装置の製造方法及び半導体装置
TWI403236B (zh) * 2010-03-19 2013-07-21 Via Tech Inc 線路基板製程及線路基板
KR102146705B1 (ko) * 2013-12-23 2020-08-21 삼성전자주식회사 반도체 소자의 배선 구조물 및 그 형성 방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI812206B (zh) * 2021-06-17 2023-08-11 台灣積體電路製造股份有限公司 半導體結構及其形成方法
US11929281B2 (en) 2021-06-17 2024-03-12 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing oxidation by etching sacrificial and protection layer separately

Also Published As

Publication number Publication date
CN107591389A (zh) 2018-01-16
US20180012791A1 (en) 2018-01-11

Similar Documents

Publication Publication Date Title
US10867921B2 (en) Semiconductor structure with tapered conductor
US10937694B2 (en) Chamferless via structures
US10879112B2 (en) Self-aligned via forming to conductive line and related wiring structure
TW201813038A (zh) 內犧牲間隔件的互連
JP2003168738A (ja) 半導体素子及びその製造方法
TWI495043B (zh) 形成無凹陷連線結構的方法
KR20100122701A (ko) 반도체 소자의 제조방법
US20190237356A1 (en) Air gap formation in back-end-of-line structures
US10109526B1 (en) Etch profile control during skip via formation
CN106206283A (zh) 沟槽刻蚀方法及第一金属层制造方法
CN109216317B (zh) 具混合金属化的互连
TWI648838B (zh) 被金屬覆蓋層覆蓋的鈷互連
US10056292B2 (en) Self-aligned lithographic patterning
US11114338B2 (en) Fully aligned via in ground rule region
US7662711B2 (en) Method of forming dual damascene pattern
US20240170404A1 (en) Subtractive skip via
TW202315025A (zh) 具有用於線後段互連及交叉點之改良隔離之減成法金屬蝕刻
TW202044527A (zh) 具有氣隙和介電質蓋著的互連的互連結構