TW201637837A - 具有埋入電極之陶瓷氣體分配板 - Google Patents

具有埋入電極之陶瓷氣體分配板 Download PDF

Info

Publication number
TW201637837A
TW201637837A TW104143680A TW104143680A TW201637837A TW 201637837 A TW201637837 A TW 201637837A TW 104143680 A TW104143680 A TW 104143680A TW 104143680 A TW104143680 A TW 104143680A TW 201637837 A TW201637837 A TW 201637837A
Authority
TW
Taiwan
Prior art keywords
ceramic
gas distribution
distribution plate
holes
processing system
Prior art date
Application number
TW104143680A
Other languages
English (en)
Other versions
TWI736527B (zh
Inventor
傑里米 塔克
蘭奇玄 拉烏 林甘帕奇
湯尼 高斯豪
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201637837A publication Critical patent/TW201637837A/zh
Application granted granted Critical
Publication of TWI736527B publication Critical patent/TWI736527B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Plasma Technology (AREA)
  • Devices For Post-Treatments, Processing, Supply, Discharge, And Other Processes (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

用於基板處理系統的氣體分配板包含該氣體分配板的陶瓷下部分,該陶瓷下部分包含複數陶瓷生胚片。該氣體分配板的陶瓷上部分包含複數陶瓷生胚片。電極係使用金屬網版印刷列印在該陶瓷下部分之上表面及該陶瓷上部分之下表面的其中至少一者之上。第一複數通孔係加工通過燒結之前的該氣體分配板的該陶瓷下部分及該陶瓷上部分。

Description

具有埋入電極之陶瓷氣體分配板
本揭示內容關於基板處理系統,且更具體而言,關於用於基板處理系統之具有埋入電極的陶瓷氣體分配板。
在此提供的背景介紹係為了一般地呈現本揭示內容之背景。目前列名發明者的工作成果,在此先前技術章節中所述之範圍,以及可能未在申請時以其他方式適格作為先前技術之說明的實施態樣,係未明示或暗示承認為對於本揭示內容之先前技術。
基板處理系統可用以在基板(諸如半導體晶圓)上執行膜的沉積及/或蝕刻。基板處理系統通常包含處理腔室,該處理腔室具有諸如基座、靜電夾頭、板等的基板支座。基板(諸如半導體晶圓)可配置在基板支座上。在化學氣相沉積(CVD)、電漿加強CVD(PECVD)、原子層沉積(ALD)或PEALD製程中,包含一或多個前驅物的氣體混合物可引入至處理腔室以在基板上沉積或蝕刻膜。電漿可用以活化化學反應。
一些製程使用氣體分配裝置,諸如噴淋頭。氣體分配裝置可包含具有複數氣體通孔的面板。該等氣體通孔係配置在一圖案中,以提供期望的氣流圖案。處理氣體係供應至氣體分配裝置中的孔穴,並藉由基板上方之面板的通孔加以散出。
在PECVD及PEALD製程中,射頻(RF)電漿可用以活化化學反應。例如:電容式耦合電漿(CCP)產生器可用以在處理腔室中產生電漿。電漿係在基座與氣體分配裝置的面板之間的空間加以點燃。換言之,氣體分配裝置的面板可作為CCP產生器的一個電極。
若氣體分配板作為電極,則目前氣體分配板係主要由鋁製成。對於高溫的應用(大於400o C),由於鋁之較低的熔化溫度,鋁係無法用於氣體分配板或電極。此外,在PECVD及PEALD製程中使用的一些氣體化學品係與鋁不相容。
陶瓷板亦可用作氣體分配裝置。雖然解決了溫度及化學相容性的問題,但陶瓷板一般無法在CCP電路中加以使用。為了用作電極,金屬元件係被埋在陶瓷板中。在陶瓷體中埋入金屬電極(如基座或夾頭)已使用熱壓(或燒結)製造製程加以達成。然而,熱壓製造製程在陶瓷面板係燒結之後需要進行顯著量的加工及研磨。燒結後的研磨由於陶瓷板的硬度係既昂貴又費時。因此,在使用熱壓製造製程製造的陶瓷板中即使僅包含小量通孔用於氣體分配亦係成本高昂的。
熱壓製造製程亦對在陶瓷板中埋入金屬電極的尺寸準確度有顯著的限制。換言之,設定電極之水平及垂直位置的精確度及/或平整度由於熱壓製造製程係有限的。此限制使得準確研磨通孔的同時避開金屬電極係困難的。當產生具有埋入電極的陶瓷氣體分佈板時,這些問題使得熱壓製造製程在經濟上不可行。
一種製造用於基板處理系統之氣體分配板的方法包含產生該氣體分配板的一陶瓷下部分,其中該陶瓷下部分包含複數陶瓷生胚片;產生該氣體分配板的一陶瓷上部分,其中該陶瓷上部分包含複數陶瓷生胚片;使用金屬網版印刷製程將一電極列印在該陶瓷下部分之一上表面及該陶瓷上部分之一下表面的其中至少一者之上;將一第一複數通孔加工通過該氣體分配板的該陶瓷下部分及該陶瓷上部分;及將該陶瓷上部分及該陶瓷下部分燒結以形成該氣體分配板。
在其他特徵中,該電極係加以列印具有與在該陶瓷下部分及該陶瓷上部分中之該第一複數通孔對準的孔。該電極係由具有與該陶瓷上部分及該陶瓷下部分的熱膨脹係數匹配之熱膨脹係數的一材料製成。該電極係由鉬製成。該電極係由鎢製成。
在其他特徵中,該等陶瓷生胚片係以選自由氮化鋁(AlN)、氧化鋁(Al2 O3 )、氮化矽(Si3 N4 )、氧化釔(Y2 O3 )、氧化鋯(ZrO2 )及從中製成的複合物組成之群組的一材料製成。
在其他特徵中,該方法進一步包含在該燒結步驟之前,將一第二複數通孔加工通過該氣體分配板之該陶瓷下部分及該陶瓷上部分。該第二複數通孔係在該第一複數通孔的徑向外側加以配置。該第二複數通孔具有不同於該第一複數通孔的形狀。該第二複數通孔係槽形且該第一複數通孔係圓形。
在其他特徵中,該方法包含將氣體通過該氣體分配板的該第一複數通孔至該氣體分配板的一基板側;及從該氣體分配板的該基板側利用該第二複數通孔將氣體排出。
在其他特徵中,該第一複數通孔具有小於3個電漿鞘厚度的直徑。該第二複數通孔具有小於3個電漿鞘厚度的寬度、及該寬度2至10倍的長度。
一種用於基板處理系統的氣體分配板包含該氣體分配板的一陶瓷下部分,該陶瓷下部分包含複數陶瓷生胚片。該氣體分配板的一陶瓷上部分包含複數陶瓷生胚片。一電極係使用金屬網版印刷列印在該陶瓷下部分之一上表面及該陶瓷上部分之一下表面的其中至少一者之上。一第一複數通孔係加工通過燒結之前的該氣體分配板的該陶瓷下部分及該陶瓷上部分。
在其他特徵中,該電極包含對準在該陶瓷下部分及該陶瓷上部分中的該第一複數通孔的孔。該電極係由具有與該陶瓷上部分及該陶瓷下部分的熱膨脹係數匹配之熱膨脹係數的一材料製成。該電極係由鉬製成。該電極係由鎢製成。
在其他特徵中,該等陶瓷生胚片係以選自由氮化鋁(AlN)、氧化鋁(Al2 O3 )、氮化矽(Si3 N4 )、氧化釔(Y2 O3 )、氧化鋯(ZrO2 )及從中製成的複合物組成之群組的一材料製成。第二複數通孔係加工通過燒結之前的該氣體分配板的該陶瓷下部分及該陶瓷上部分。該第二複數通孔係在該第一複數通孔的徑向外側加以配置。該第二複數通孔具有不同於該第一複數通孔的形狀。該第二複數通孔係槽形且該第一複數通孔係圓形。
在其他特徵中,該第一複數通孔具有小於3個電漿鞘厚度的直徑。該第二複數通孔具有小於3個電漿鞘厚度的寬度、及該寬度2至10倍的長度。
一種基板處理系統包含該氣體分配板、一處理腔室、一電漿產生器及一基座。該處理腔室以高於400o C的溫度操作。
本揭示內容之進一步的可應用領域將從實施方式、發明申請專利範圍及圖式中變得明顯。詳細說明及具體例子係意圖為僅供說明的目的,而非意欲限制本揭示內容的範圍。
根據本揭示內容的氣體分配板在電容式耦合電漿(CCP)處理中分配氣體及作為電極。氣體分配板包含一陶瓷體。在一些例子中,可使用氮化鋁(AlN)、氧化鋁(Al2 O3 )、氮化矽(Si3 N4 )、氧化釔(Y2 O3 )、氧化鋯(ZrO2 )、及以上製成的複合物。僅作為例子,鋁酸鋯或鋁酸釔可用以提供對氟之高的耐腐蝕性。氣體分配板包含用於氣體分配的通孔及一埋入的金屬電極。在一些例子中,金屬貫孔係在該板的外徑周圍配置,以傳導射頻(RF)功率至埋入的金屬電極。
在一些例子中,電極及貫孔係由熱膨脹係數(CTE)與陶瓷的CTE緊密匹配的金屬製成。在一些例子中,鉬、鎢或其他適合的金屬可加以使用。在PECVD或PEALD的反應器中,氣體分配板作為RF供電的電極以產生電容式耦合電漿。在一些例子中,用於電漿的反應氣體可通過氣體通孔加以引入,及在氣體分配板中通過槽孔排出。
陶瓷的使用允許將板用在高溫環境中。氣體分配板針對高溫PECVD或PEALD反應器的問題,其需要氣體分配板在CCP電路中作為供電的電極。陶瓷亦使氣體分配板耐受大部分的氣體化學品及電漿。在一些例子中,氣體分配板係在以400o C和1100o C之間的溫度操作及/或使用腐蝕性氣體化學品的CCP反應器中加以使用。或者,氣體分配板可在任何PECVD的CCP反應器中作為電極或在任何CVD反應器中作為氣體分配板加以使用。
現參照圖1-4,具有埋入電極的陶瓷氣體分配板100係加以顯示。在圖1,陶瓷氣體分配板100包含頂部表面110,該頂部表面110具有配置在該陶瓷氣體分配板100之徑向內部部分中的第一複數通孔120。在一些例子中,第一複數通孔120係圓形的,且具有小於2或3個電漿鞘厚度的直徑。
陶瓷氣體分配板100進一步包含第二複數通孔128,該第二複數通孔128係配置在該第一複數通孔120的徑向外側。在圖1的例子中,第二複數通孔128一般係槽形。在一些例子中,槽具有大於第二大小尺寸(在圖1的例子中在周向方向上的寬度)的第一大小尺寸(在圖1的例子中在徑向方向上的長度)。在一些例子中,槽的第二大小尺寸係小於2或3個電漿鞘厚度,及槽的第一大小尺寸係大於第二大小尺寸。在一些例子中,取決於面板的期望傳導,槽的第一大小尺寸係比第二大小尺寸大至少2-10倍。
雖然顯示於圖1中的第一複數通孔120係圓形且圖1中的第二複數通孔128係槽形,但第一複數通孔120及第二複數通孔128可具有其他的形狀。額外的通孔132可毗鄰陶瓷氣體分配板100的徑向外部邊緣而加以配置。
在圖2中,陶瓷氣體分配板100的底部表面150係加以顯示。底部表面150包含軸向突出的環狀表面154,其係配置成毗鄰陶瓷氣體分配板100的徑向外部邊緣。該軸向突出的環狀表面154當被配置在基板處理系統中時,以向下的方向朝基板突出。
在圖3及4中,陶瓷氣體分配板100的額外細節係加以顯示。在圖3中,第一複數通孔120通過陶瓷氣體分配板100,及允許氣體通過該陶瓷氣體分配板100進入在陶瓷氣體分配板100下方的區域。同樣地,第二複數通孔120通過陶瓷氣體分配板100及允許氣體通過陶瓷氣體分配板100而返回。
在圖4中,電極160係被埋入陶瓷氣體分配板100,位在大致平行於基板且與氣體流進通孔120、128及132的方向大致垂直之平面。電極160可連接至貫孔162,該貫孔162從電極160延伸至毗鄰陶瓷氣體分配板100之徑向外部邊緣之氣體分配板100的頂部表面,以提供到電極160的電連接。
現參照圖5及6,電極160的額外細節係加以顯示。電極160包含對準通孔120及128的孔220及228。在電極160中的孔220及228係大於相對應的通孔120及128,以提供間隙及避免接觸電極160或曝露電極160。電極160中類似的孔(未顯示)可設置用於通孔132。電極160係被夾在陶瓷氣體分配板100的上及下部分234及236之間,如將在下面進一步加以描述。
在一些例子中,陶瓷氣體分配板100係使用結合金屬網版印刷製程的生坯(片)陶瓷製造製程加以製造。換言之,陶瓷氣體分配板100的下部分236係使用一或多個生坯片製成。接著,電極160係網版印刷在該下部分236的上表面。最後,陶瓷氣體分配板100的上部分234係在電極160上方加以配置。正如可理解,電極160亦可被網版印刷在該上部分234的下表面之上,且接著該下部分可在電極160上方加以配置。
此方法允許電極160準確地印刷在生坯之上並放置在一或多個陶瓷生坯片之間,以產生期望厚度的陶瓷板。
包含上部分234、下部分236、及電極160的氣體分配板組件係在燒結之前於生坯狀態下加工。此允許以加工熱壓製造部件的一小部分的時間及成本,加工均勻氣體分配所需之期望數目的通孔或槽。在生坯狀態下加工之特徵部的準確度係充分地保持,該燒結後的加工係限於陶瓷氣體分配板100之整體加工的一小部分。
現參照圖7,處理腔室300的一個例子係加以顯示。處理腔室300包含配置成毗鄰基板支座314的氣體分配裝置312。在一些例子中,處理腔室300可配置在另一處理腔室的內部。基座可用以抬升基板支座314至定位以產生微處理空間。氣體分配裝置312包含氣體分配板100。
電漿產生器342包含RF源346及匹配和分配電路348。電漿產生器342提供RF功率至氣體分配板100的電極以產生電漿。
氣體遞送系統360可加以配置以提供一或多種處理氣體、沖洗氣體等至處理腔室300。氣體遞送系統360可包含一或多個氣體源364,該(等)氣體源364係與相對應的質流控制器(MFC)366、閥370、及歧管372流體連通。歧管372係與第一孔穴356流體連通。氣體遞送系統360計量氣體混合物至歧管372的遞送,該氣體混合物包含一或多種處理氣體。該等處理氣體可在遞送至處理腔室300之前在歧管372中加以混合。
氣體分配板100可置放在隔離器332上。在一些例子中,隔離器332可由Al2 O3 或另外適合的材料製成。上部320可包含定義第一孔穴356的中央區域352。在一些例子中,中央區域352係由Al2 O3 或另外適合的材料製成。上部320亦包含在中央區域352周圍排列的徑向外部區域380。該徑向外部區域380可包含一或多個層382-1、382-2、…、及382-N(統稱為層382),其中N係大於0的整數。在圖7的例子中,徑向外部區域380包含N=3的層382,該等層382定義排氣及氣幕孔穴,雖然額外或較少的層可加以使用。
中央區域352及徑向外部區域380係相對於氣體分配板100以一間隔關係加以配置以定義第二孔穴390。
處理氣體從氣體遞送系統360流經第一孔穴356至第二孔穴390。第二孔穴390中的處理氣體流經氣體分配板100中的第一複數通孔120以在整個基板均勻地分配處理氣體,該基板係配置在基板支座314上。在一些例子中,基板支座314係加熱的。
在一些例子中,氣體分配板100包含第二複數通孔128以提供在處理氣體係曝露於基板之後將處理氣體經過氣體分配板100流回的排氣路徑。第二複數通孔128可在氣體分配板100的外周部周圍加以配置。
一或多個環狀密封部可加以設置以將第二孔穴的不同部分分開。在一些例子中,環狀密封部係鍍鎳的環狀密封部。例如:第一及第二環狀密封部404和408可分別加以提供,以定義分別在第二孔穴390的供應部410、第二孔穴390的排氣部412、及氣幕部414之間的邊界。
在此例子中,第一環狀密封部404定義在供應部410及排氣部412之間的邊界。第三環狀密封部420(與第二環狀密封部408結合)可加以設置以定義第二孔穴390的氣幕部414。在此例子中,第二環狀密封部408定義在第二孔穴390之排氣部412及氣幕部414之間的邊界。該第一、第二、及第三環狀密封部404、408、及420可分別包含環狀金屬密封部。
徑向外部區域380進一步定義排氣入口440及排氣孔穴442,該排氣入口440及排氣孔穴442從第二孔穴390的排氣部412接收排氣氣體。閥450及幫浦452可用以抽空排氣部412。徑向外部區域380亦定義氣幕孔穴460及氣幕出口462,該氣幕孔穴460及氣幕出口462供應沖洗氣體至第二孔穴390的氣幕部414。氣體源470及閥472可用以控制供應至氣幕部414的沖洗氣體。
第三環狀密封部420亦可設置一電連接,該電連接將電漿產生器342與埋入氣體分配板100的電極160連接,雖然可使用其他連接電極160的方法。
控制器480可用以使用感測器監控系統參數,及控制氣體遞送系統360、電漿產生器342、及製程的其他元件。
在氣體分配裝置中之氣體孔的特殊幾何形狀可加以選擇以用於特定製程條件。對於一給定的製程條件,維持HCD所需要的最小及最大直徑可藉由分析估計或憑經驗決定。根據本揭示內容,在氣體分配裝置之面板上的孔使用組合方法,而非(i)使氣體孔小於可維持HCD的最小直徑,或(ii)大於可維持HCD的最大直徑。
根據本揭示內容,在氣體分配裝置之面板上的一些孔係槽形且具有:第一大小尺寸(諸如寬度),該第一大小尺寸係小於將維持HCD的最小大小尺寸;及第二大小尺寸(諸如長度),該第二大小尺寸係大於將維持HCD的最小大小尺寸。換言之,大小尺寸的其中一者係用以避免HCD,而大小尺寸的其中另一者係增大以減少若使用圓形的孔額外需要之孔的數目。
在一些例子中,槽的第一大小尺寸係小於2或3個電漿鞘厚度。在一些例子中,槽的第二大小尺寸係大於第一大小尺寸。在一些例子中,槽的第二大小尺寸係比第一大小尺寸大2-10倍。僅作為例子,槽可具有0.04英寸的第一大小尺寸及0.4英寸的第二大小尺寸。
通過圓形孔的流動傳導可大致近似,其中d係圓形孔的直徑。相反地,具有層流流量(laminar flow)及相同厚度的窄槽之流動傳導可大致近似,其中a係長度及b係寬度。若第二大小尺寸係第一大小尺寸的2倍,則傳導性將為具有第一尺寸之直徑的兩個孔的傳導性的約2.4倍。如從上述可見,將一槽的第二大小尺寸倍增(相對於圓形孔)顯著地提高相對於具有相同原始寬度之兩個圓形孔的傳導性。在第二大小尺寸相對於該第一大小尺寸有較大的增加之情況下,可見到額外的增進。
根據本揭示內容,在面板中的至少一些孔之幾何形狀具有槽的形狀,使得電漿鞘由於靠近對面的壁而無法進入。槽的寬度及長度可基於將用於特殊製程的壓力、反應物物種、及電漿功率加以決定。在一些例子中,槽係加以排列,其中槽的長度方向對準面板之徑向線。在其他的例子中,槽係加以排列,其中槽的長度橫越徑向線或以其他角度相對於徑向線。
為了使面板如上所述產生一個與具有槽狀孔之面板類似的流動傳導及沒有HCD,面板將需要非常大量的圓形孔(各具有小於或等於2或3個電漿鞘厚度的直徑)。大量的孔通常需要昂貴的加工,其係價格高的。因為需要較少的槽產生與較大量圓形孔相同的結果,所以製造該面板係不那麼貴地,且亦可從更廣泛的材料製成。
以上所述在本質上僅為說明且係決非意欲限制本揭示內容、其應用、或使用。本揭示內容的廣泛教示可以多種方式執行。因此,雖然此揭示內容包含特殊的例子,但本揭示內容的真實範圍應不被如此限制,因為其他的變化將在研讀圖示、說明書及以下申請專利範圍後變為顯而易見。當在此使用時,片語「A、B、及C的其中至少一者」應理解為表示使用非排他邏輯「或」之邏輯(A或B或C),且不應理解為表示「A的其中至少一者、B的其中至少一者、及C的其中至少一者」。應理解方法中的一或多個步驟可以不同的順序(或同時)執行而不改變本揭示內容的原理。
在一些實施方式中,控制器為系統的一部分,其可為上述例子的一部分。此等系統可包括半導體處理設備,其包含一個以上處理工具、一個以上腔室、用於處理的一個以上平臺、及/或特定處理元件(晶圓基座、氣流系統等)。這些系統可與電子設備整合,該等電子設備用於在半導體晶圓或基板處理之前、期間、及之後控制這些系統的操作。電子設備可稱作為「控制器」,其可控制該一個以上系統之各種不同的元件或子部分。依據系統的處理需求及/或類型,控制器可加以編程以控制此處揭示的任何製程,包含:處理氣體的遞送、溫度設定(例如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體遞送設定、位置及操作設定、出入一工具和其他轉移工具及/或與特定系統連接或介接的裝載鎖定部之晶圓轉移。
廣義地說,控制器可定義為電子設備,其具有各種不同的積體電路、邏輯、記憶體、及/或軟體,其接收指令、發布指令、控制操作、啟用清潔操作、啟用端點量測等。積體電路可包含儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一或多個微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)的形式與控制器通訊的指令,該等設定定義對於半導體晶圓或系統執行特殊製程的操作參數。在一些實施例中,該等操作參數可為由製程工程師定義之配方的部分,以在一或多個層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒製造期間完成一或多個處理步驟。
在一些實施方式中,控制器可為電腦的一部分或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他方式網路連至系統、或其組合。例如:控制器可為在「雲端」或晶圓廠主機電腦系統的整體或部分,可允許晶圓處理的遠端存取。該電腦可允許針對系統的遠端存取以監測製造操作的當前進度,檢查過往製造操作的歷史,檢查來自複數個製造操作的趨勢或性能度量,以改變目前處理的參數,以設定目前操作之後的處理步驟,或啟動新的製程。在一些例子中,遠程電腦(例如:伺服器)可經由網路提供製程配方給系統,該網路可包含區域網路或網際網路。遠程電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠程電腦被傳遞至系統。在一些例子中,控制器接收數據形式的指令,該數據明確指定於一或多個操作期間將被執行之各個處理步驟的參數。應理解參數可專門用於將執行之製程的類型與配置控制器以介接或控制之工具的類型。因此,如上所述,控制器可為分散式的,諸如藉由包含一或多個分散的控制器,其由網路連在一起且朝共同的目的(諸如此處描述的製程及控制)作業。一個用於此等目的之分散式控制器的例子將為腔室上的一或多個積體電路,連通位於遠端(諸如在平台級或作為遠程電腦的一部分)的一或多個積體電路,其結合以控制腔室中的製程。
不受限制地,示例系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-潤洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及任何可關聯或使用於半導體晶圓的製造及/或生產中之其他的半導體處理系統。
如上所述,依據將由工具執行的一個以上製程步驟,控制器可與下述通訊:一或多個其他工具電路或模組、其他工具元件、群組工具、其他工具介面、毗鄰工具、相鄰工具、位於工廠各處的工具、主電腦、另一個控制器、或用於材料傳送的工具,該等用於材料傳送的工具將晶圓的容器攜帶進出半導體生產工廠內的工具位置及/或負載端。
100‧‧‧氣體分配板
110‧‧‧頂部表面
120‧‧‧第一複數通孔
128‧‧‧第二複數通孔
132‧‧‧通孔
150‧‧‧底部表面
154‧‧‧環狀表面
160‧‧‧電極
162‧‧‧貫孔
220‧‧‧孔
228‧‧‧孔
234‧‧‧上部分
236‧‧‧下部分
300‧‧‧處理腔室
312‧‧‧氣體分配裝置
314‧‧‧基板支座
320‧‧‧上部
332‧‧‧隔離器
342‧‧‧電漿產生器
346‧‧‧RF源
348‧‧‧匹配和分配電路
352‧‧‧中央區域
356‧‧‧第一孔穴
360‧‧‧氣體遞送系統
364‧‧‧氣體源
366‧‧‧質流控制器
370‧‧‧閥
372‧‧‧歧管
380‧‧‧徑向外部區域
382‧‧‧層
390‧‧‧第二孔穴
404‧‧‧第一環狀密封部
408‧‧‧第二環狀密封部
410‧‧‧供應部
412‧‧‧排氣部
414‧‧‧氣幕部
420‧‧‧第三環狀密封部
440‧‧‧排氣入口
442‧‧‧排氣孔穴
450‧‧‧閥
452‧‧‧幫浦
460‧‧‧氣幕孔穴
462‧‧‧氣幕出口
470‧‧‧氣體源
472‧‧‧閥
480‧‧‧控制器
本揭示內容從實施方式和隨附圖式可更完全了解,其中:
圖1係根據本揭示內容具有埋入電極之陶瓷氣體分配板之例子的頂部透視圖;
圖2係根據本揭示內容具有埋入電極之陶瓷氣體分配板之例子的底部透視圖;
圖3係根據本揭示內容具有埋入電極之陶瓷氣體分配板之例子的側橫剖面圖;
圖4係根據本揭示內容具有埋入電極之陶瓷氣體分配板之例子的放大側橫剖面圖;
圖5係根據本揭示內容具有埋入電極之陶瓷氣體分配板之例子的部分頂部透視及橫剖面圖;
圖6根據本揭示內容係埋入的電極之例子的部分頂部透視及橫剖面圖;以及
圖7根據本揭示內容係基板處理系統之例子的功能方塊圖,該基板處理系統包含具有埋入電極的陶瓷氣體分配板。
在圖示中,參考數字可被再次使用以識別相似及/或相同的元件。
100‧‧‧氣體分配板
110‧‧‧頂部表面
120‧‧‧第一複數通孔
128‧‧‧第二複數通孔
150‧‧‧底部表面
154‧‧‧環狀表面
160‧‧‧電極
162‧‧‧貫孔
220‧‧‧孔
228‧‧‧孔
234‧‧‧上部分
236‧‧‧下部分

Claims (22)

  1. 一種製造用於基板處理系統之氣體分配板的方法,包含: 產生該氣體分配板的一陶瓷下部分,其中,該陶瓷下部分包含複數陶瓷生胚片; 產生該氣體分配板的一陶瓷上部分,其中,該陶瓷上部分包含複數陶瓷生胚片; 使用金屬網版印刷製程將一電極列印在該陶瓷下部分之一上表面及該陶瓷上部分之一下表面的其中至少一者之上; 將一第一複數通孔加工通過該氣體分配板的該陶瓷下部分及該陶瓷上部分;以及 將該陶瓷上部分及該陶瓷下部分燒結以形成該氣體分配板。
  2. 如申請專利範圍第1項之製造用於基板處理系統之氣體分配板的方法,其中,該電極係加以列印具有與在該陶瓷下部分及該陶瓷上部分中之該第一複數通孔對準的孔。
  3. 如申請專利範圍第1項之製造用於基板處理系統之氣體分配板的方法,其中,該電極係由具有與該陶瓷上部分及該陶瓷下部分的熱膨脹係數匹配之熱膨脹係數的一材料製成。
  4. 如申請專利範圍第1項之製造用於基板處理系統之氣體分配板的方法,其中,該電極係由鉬製成。
  5. 如申請專利範圍第1項之製造用於基板處理系統之氣體分配板的方法,其中,該電極係由鎢製成。
  6. 如申請專利範圍第1項之製造用於基板處理系統之氣體分配板的方法,其中,該等陶瓷生胚片係以選自由氮化鋁(AlN)、氧化鋁(Al2 O3 )、氮化矽(Si3 N4 )、氧化釔(Y2 O3 )、氧化鋯(ZrO2 )及其複合物組成之群組的一材料製成。
  7. 如申請專利範圍第1項之製造用於基板處理系統之氣體分配板的方法,進一步包含在該燒結步驟之前,將一第二複數通孔加工通過該氣體分配板之該陶瓷下部分及該陶瓷上部分,其中,該第二複數通孔係在該第一複數通孔的徑向外側加以配置。
  8. 如申請專利範圍第7項之製造用於基板處理系統之氣體分配板的方法,其中,該第二複數通孔具有不同於該第一複數通孔的形狀。
  9. 如申請專利範圍第7項之製造用於基板處理系統之氣體分配板的方法,其中,該第二複數通孔係槽形且該第一複數通孔係圓形。
  10. 如申請專利範圍第9項之製造用於基板處理系統之氣體分配板的方法,進一步包含: 將氣體通過該氣體分配板的該第一複數通孔至該氣體分配板的一基板側;以及 從該氣體分配板的該基板側利用該第二複數通孔將氣體排出。
  11. 如申請專利範圍第9項之製造用於基板處理系統之氣體分配板的方法,其中該第一複數通孔具有小於3個電漿鞘厚度的直徑,且其中該第二複數通孔具有小於3個電漿鞘厚度的寬度、及該寬度2至10倍的長度。
  12. 一種用於基板處理系統的氣體分配板,包含: 該氣體分配板的一陶瓷下部分,該陶瓷下部分包含複數陶瓷生胚片; 該氣體分配板的一陶瓷上部分,該陶瓷上部分包含複數陶瓷生胚片; 一電極,該電極係使用金屬網版印刷加以列印在該陶瓷下部分之一上表面及該陶瓷上部分之一下表面的其中至少一者之上;以及 一第一複數通孔,加工通過燒結之前的該氣體分配板的該陶瓷下部分及該陶瓷上部分。
  13. 如申請專利範圍第12項之用於基板處理系統的氣體分配板,其中,該電極包含對準在該陶瓷下部分及該陶瓷上部分中的該第一複數通孔的孔。
  14. 如申請專利範圍第12項之用於基板處理系統的氣體分配板,其中,該電極係由具有與該陶瓷上部分及該陶瓷下部分的熱膨脹係數匹配之熱膨脹係數的一材料製成。
  15. 如申請專利範圍第12項之用於基板處理系統的氣體分配板,其中,該電極係由鉬製成。
  16. 如申請專利範圍第12項之用於基板處理系統的氣體分配板,其中,該電極係由鎢製成。
  17. 如申請專利範圍第12項之用於基板處理系統的氣體分配板,其中,該等陶瓷生胚片係以選自由氮化鋁(AlN)、氧化鋁(Al2 O3 )、氮化矽(Si3 N4 )、氧化釔(Y2 O3 )、氧化鋯(ZrO2 )及其複合物組成之群組的一材料製成。
  18. 如申請專利範圍第12項之用於基板處理系統的氣體分配板,進一步包含第二複數通孔,該第二複數通孔係加工通過燒結之前的該氣體分配板的該陶瓷下部分及該陶瓷上部分,其中,該第二複數通孔係在該第一複數通孔的徑向外側加以配置。
  19. 如申請專利範圍第18項之用於基板處理系統的氣體分配板,其中,該第二複數通孔具有不同於該第一複數通孔的形狀。
  20. 如申請專利範圍第18項之用於基板處理系統的氣體分配板,其中,該第二複數通孔係槽形且該第一複數通孔係圓形。
  21. 如申請專利範圍第12項之用於基板處理系統的氣體分配板,其中: 該第一複數通孔具有小於3個電漿鞘厚度的直徑;以及 該第二複數通孔具有小於3個電漿鞘厚度的寬度、及該寬度2至10倍的長度。
  22. 一種基板處理系統包含: 如申請專利範圍第12項之用於基板處理系統的氣體分配板; 一處理腔室; 一電漿產生器;以及 一基座,其中該處理腔室以高於400o C的溫度操作。
TW104143680A 2015-01-12 2015-12-25 具有埋入電極之陶瓷氣體分配板 TWI736527B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/594,423 US10217614B2 (en) 2015-01-12 2015-01-12 Ceramic gas distribution plate with embedded electrode
US14/594,423 2015-01-12

Publications (2)

Publication Number Publication Date
TW201637837A true TW201637837A (zh) 2016-11-01
TWI736527B TWI736527B (zh) 2021-08-21

Family

ID=56368019

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104143680A TWI736527B (zh) 2015-01-12 2015-12-25 具有埋入電極之陶瓷氣體分配板

Country Status (4)

Country Link
US (1) US10217614B2 (zh)
JP (1) JP6739940B2 (zh)
KR (2) KR102468008B1 (zh)
TW (1) TWI736527B (zh)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US10077497B2 (en) * 2014-05-30 2018-09-18 Lam Research Corporation Hollow cathode discharge (HCD) suppressing capacitively coupled plasma electrode and gas distribution faceplate
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10497542B2 (en) * 2016-01-04 2019-12-03 Daniel T. Mudd Flow control showerhead with integrated flow restrictors for improved gas delivery to a semiconductor process
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN109643630A (zh) * 2016-08-26 2019-04-16 应用材料公司 用于等离子体处理腔室的等离子体屏
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US10920319B2 (en) * 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US5800618A (en) * 1992-11-12 1998-09-01 Ngk Insulators, Ltd. Plasma-generating electrode device, an electrode-embedded article, and a method of manufacturing thereof
JP3132214B2 (ja) * 1993-01-14 2001-02-05 株式会社村田製作所 セラミック多層回路部品の製造方法およびセラミックグリーンシートの取扱装置
JP3602908B2 (ja) * 1996-03-29 2004-12-15 京セラ株式会社 ウェハ保持部材
JP3535309B2 (ja) * 1996-04-10 2004-06-07 東京エレクトロン株式会社 減圧処理装置
JP2005175508A (ja) * 2000-01-20 2005-06-30 Sumitomo Electric Ind Ltd 半導体製造装置用ガスシャワー体
WO2004114728A1 (ja) * 2003-06-20 2004-12-29 Ngk Insulators, Ltd. プラズマ発生電極及びプラズマ発生装置、並びに排気ガス浄化装置
JP2008294017A (ja) * 2007-05-22 2008-12-04 Sumitomo Electric Ind Ltd シャワーヘッド及びそれを搭載した半導体製造装置
JP4586831B2 (ja) 2007-08-08 2010-11-24 Tdk株式会社 セラミックグリーンシート構造、及び、積層セラミック電子部品の製造方法
US8702867B2 (en) * 2008-07-08 2014-04-22 Jusung Engineering Co., Ltd. Gas distribution plate and substrate treating apparatus including the same
JP2013098236A (ja) * 2011-10-28 2013-05-20 Kyocera Corp 配線基板ならびにその配線基板を備えた電子装置および電子モジュール装置
US9449795B2 (en) 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor

Also Published As

Publication number Publication date
JP2016188424A (ja) 2016-11-04
JP6739940B2 (ja) 2020-08-12
KR102468008B1 (ko) 2022-11-16
TWI736527B (zh) 2021-08-21
KR20220147552A (ko) 2022-11-03
KR20160086770A (ko) 2016-07-20
US20160203952A1 (en) 2016-07-14
US10217614B2 (en) 2019-02-26
KR102626481B1 (ko) 2024-01-17

Similar Documents

Publication Publication Date Title
KR102626481B1 (ko) 임베딩된 전극을 갖는 세라믹 가스 분배 플레이트
JP7453149B2 (ja) セラミックベースプレートを備えるマルチプレート静電チャック
JP7062383B2 (ja) アーク放電および点火を防ぎプロセスの均一性を向上させるための特徴を有する静電チャック
TWI810138B (zh) 可運動的邊緣環設計
JP2020025100A (ja) 寄生プラズマを抑制してウエハ内での不均一性を低減するための基板処理システム
US20160343595A1 (en) Corrosion resistant gas distribution manifold with thermally controlled faceplate
CN110337714B (zh) 一种衬底支撑件和衬底处理***
US20190122871A1 (en) Purge and pumping structures arranged beneath substrate plane to reduce defects
US20170016115A1 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
JP2017036493A (ja) 原子層堆積中における化学物質の制御された分離および送出により低欠陥処理を可能にするシステムおよび方法
JP7018703B2 (ja) 静電チャック用の凸形の内面を有する環状エッジシール
WO2020041091A1 (en) Ceramic baseplate with channels having non-square corners
TWI823977B (zh) 預防半導體基板處理中基座上的沉積
JP7470101B2 (ja) 寿命が延長された閉じ込めリング
TW201907507A (zh) 用於基板處理系統之溫度調整基板支座
US20230073259A1 (en) High temperature substrate support with heat spreader
TW202140840A (zh) 用於溝槽輪廓最佳化的多區氣體分配板
TW202343525A (zh) 蝕刻腔室中的部件之間的改良熱及電介面
CN114830318A (zh) 用于管理不均匀性的晶片平面下方的非对称清扫块
TW201945587A (zh) 具有低壓應力、高膜穩定性及低收縮率之高沉積率厚四乙基正矽酸鹽膜的沉積方法