TW201538272A - Abrasive material having a structured surface - Google Patents

Abrasive material having a structured surface Download PDF

Info

Publication number
TW201538272A
TW201538272A TW104102413A TW104102413A TW201538272A TW 201538272 A TW201538272 A TW 201538272A TW 104102413 A TW104102413 A TW 104102413A TW 104102413 A TW104102413 A TW 104102413A TW 201538272 A TW201538272 A TW 201538272A
Authority
TW
Taiwan
Prior art keywords
abrasive
treatment
structured surface
less
abrasive material
Prior art date
Application number
TW104102413A
Other languages
Chinese (zh)
Inventor
Hideki Minami
Shoichi Masuda
Toshihiko Watase
Jiro Hattori
Yoko Nakamura
Moses Mekala David
Original Assignee
3M Innovative Properties Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 3M Innovative Properties Co filed Critical 3M Innovative Properties Co
Publication of TW201538272A publication Critical patent/TW201538272A/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • B24B37/26Lapping pads for working plane surfaces characterised by the shape of the lapping pad surface, e.g. grooved

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Polishing Bodies And Polishing Tools (AREA)
  • Inorganic Chemistry (AREA)

Abstract

To provide an abrasive material having a structured surface that is excellent in preventing adhesion and accumulation of foreign objects, and a manufacturing method thereof. The abrasive material of an embodiment of the present disclosure is an abrasive material having an abrasive layer with a structured surface with a plurality of three-dimensional elements arranged thereon, a surface treatment selected from the group consisting of fluoride treatment and silicon treatment being performed on at least a portion of the structured surface, and the fluoride treatment being selected from the group consisting of plasma treatment, chemical vapor deposition, physical vapor deposition, and fluorine gas treatment.

Description

具有結構化表面之研磨材料 Abrasive material with structured surface

本揭露關於具有結構化表面之研磨材料。特定而言,本揭露關於包括研磨層之研磨材料,其研磨層具有經表面處理之結構化表面。 The present disclosure relates to abrasive materials having a structured surface. In particular, the present disclosure relates to an abrasive material comprising an abrasive layer having an abrasive layer having a surface treated structured surface.

研磨材料廣泛使用在各式表面諸如半導體晶圓、磁性記錄媒體、玻璃板、透鏡、稜鏡、汽車烤漆表面、纖光連接器末端表面、與類似者之粗拋光、去角、終拋光與類似者。 Abrasive materials are widely used in various types of surfaces such as semiconductor wafers, magnetic recording media, glass plates, lenses, enamels, automotive paint finishes, fiber optic connector end surfaces, rough polishing, chamfering, finish polishing and the like. By.

舉例而言,在半導體晶圓的化學機械研磨(CMP)程序中,包括研磨層之研磨材料(亦稱為調整件(conditioner)或修整碟(dresser disk))會用於拋光墊之粗拋光用途(亦稱為修整(dressing)或調整(conditioning)),該研磨層具有結構化表面,該結構化表面系統性設置複數個三維元件,諸如具有四角錐體形狀、半球體形狀、或類似者之三維元件。CMP程序包括藉由在拋光墊與半導體晶圓之間提供含有研磨粒子的漿液來執行CMP。調整件包括塗佈有單石(monolithic)鑽石層作為研磨層之碳化矽層,並且例如附接至支撐盤或環。研磨材料會粗化拋光墊表面,並且消除拋光墊表面的堵塞。CMP程序以此方式而穩定化。此類包括具有結構化表面 之研磨層的調整件,相較於其他具有研磨粒子(諸如以鍍鎳、銲接、燒結、或類似者黏著於基底材料的結塊鑽石粒子)之習用調整件,其有利之處在於移位之研磨粒子所造成之大刮痕不會出現在半導體晶圓表面。 For example, in a chemical mechanical polishing (CMP) process of a semiconductor wafer, an abrasive material (also referred to as a conditioner or a dresser disk) including an abrasive layer is used for the rough polishing of the polishing pad. (also known as dressing or conditioning), the abrasive layer has a structured surface that systematically provides a plurality of three-dimensional elements, such as having a quadrangular pyramid shape, a hemispherical shape, or the like. Three-dimensional components. The CMP process includes performing CMP by providing a slurry containing abrasive particles between the polishing pad and the semiconductor wafer. The adjustment member includes a layer of tantalum carbide coated with a monolithic diamond layer as an abrasive layer, and is attached, for example, to a support disk or ring. The abrasive material coarsens the surface of the polishing pad and eliminates clogging of the polishing pad surface. The CMP program is stabilized in this way. This class includes a structured surface The adjustment member of the abrasive layer is advantageous in that it is a shifting member compared to other conventional adjustment members having abrasive particles such as agglomerated diamond particles adhered to the base material by nickel plating, welding, sintering, or the like. Large scratches caused by abrasive particles do not appear on the surface of the semiconductor wafer.

具有結構化表面之研磨材料亦用於表面拋光大型玻璃板(使用在液晶顯示器製造與類似者)、粗拋光及終拋光光纖連接器末端表面、汽車烤漆表面、與類似者。舉例而言,所用之研磨材料其中研磨層包括研磨粒子(諸如結塊鑽石粒子、氧化鋁、碳化矽、氧化鈰、與類似者)、以及黏結劑(諸如固化胺甲酸酯丙烯酸酯、環氧樹脂、與類似者)。研磨層接觸待拋光物件之部分在粗拋光或終拋光期間會磨耗(取決於待拋光物件之硬度),而新的研磨粒子會在結構化表面上露出。如果所拋光的待拋光物件具有例如玻璃板或類似者之硬度,研磨層在拋光期間通常會磨耗。另一方面,若所拋光的表面具有低硬度(諸如在最外層使用丙烯酸系樹脂、胺甲酸酯樹脂、或類似者的汽車烤漆表面),則研磨層可能不會明顯磨耗。 Abrasive materials having a structured surface are also used for surface polishing large glass sheets (used in liquid crystal display fabrication and the like), rough and final polished fiber connector end surfaces, automotive painted surfaces, and the like. For example, abrasive materials used wherein the abrasive layer comprises abrasive particles (such as agglomerated diamond particles, alumina, tantalum carbide, tantalum oxide, and the like), and binders (such as cured urethane acrylate, epoxy) Resin, and the like). The portion of the abrasive layer that contacts the object to be polished will wear during rough polishing or final polishing (depending on the hardness of the article to be polished), and new abrasive particles will be exposed on the structured surface. If the object to be polished to be polished has a hardness such as a glass plate or the like, the abrasive layer is usually worn during polishing. On the other hand, if the surface to be polished has a low hardness (such as an automobile paint surface using an acrylic resin, a urethane resin, or the like on the outermost layer), the abrasive layer may not be significantly worn.

專利文獻1(國際公開案WO 2005-012592)描述:(a)具有表面之基底材料,該表面包括(1)含有至少一種陶瓷材料之第一相、與(2)包括至少一種碳化物形成材料之第二相;以及(b)CVD鑽石塗層複合材料,其包括設置在該基底材料之至少一部分表面上的化學氣相沉積鑽石塗層。 Patent Document 1 (International Publication WO 2005-012592) describes: (a) a substrate material having a surface comprising (1) a first phase containing at least one ceramic material, and (2) comprising at least one carbide forming material. a second phase; and (b) a CVD diamond coated composite comprising a chemical vapor deposited diamond coating disposed on at least a portion of the surface of the substrate material.

專利文獻2(已公開PCT申請案第2002-542057號之日文譯本)描述「非常適合用於拋光玻璃或玻璃陶瓷工件之研磨物品,其包括背襯材料與至少一個黏結於該背襯材料之表面上的三維研磨塗層,其中該研磨塗層包括黏結劑(形成自分散有複數個鑽石珠研磨粒子之固化黏結劑前驅物)以及填充劑(經組態為該研磨塗層的約40至約60wt%)。」 Patent Document 2 (Japanese translation of PCT Application No. 2002-542057) describes "an abrasive article which is very suitable for polishing a glass or glass ceramic workpiece, comprising a backing material and at least one surface bonded to the backing material The three-dimensional abrasive coating, wherein the abrasive coating comprises a binder (forming a cured binder precursor self-dispersing a plurality of diamond bead abrasive particles) and a filler (configured to be about 40 to about the abrasive coating) 60wt%)."

專利文獻3(日本未審查專利申請公開案第2001-179640號)描述「用於將光纖連接器末端表面拋光成預定形狀之研磨材料,該研磨材料包括:基底材料與提供於該基底材料上之研磨層,其中該研磨層具有包括研磨粒子與黏結劑作為成分之研磨複合物,並且其中該研磨層具有由複數個系統性設置之預定形狀固體元件所組態而來的空間結構。」 Patent Document 3 (Japanese Unexamined Patent Application Publication No. Publication No. No. No. No. No. No. No. No. No. No. No. No. No. No. No. No. No. No. No. No. No. No. No. No. No. No. No. No. No. No. No. 2001-179640 An abrasive layer, wherein the abrasive layer has an abrasive composite comprising abrasive particles and a binder as a component, and wherein the abrasive layer has a spatial structure configured by a plurality of systemically disposed predetermined shaped solid elements.

參考文獻 references

專利文獻1:國際公開案WO 2005/012592 Patent Document 1: International Publication WO 2005/012592

專利文獻2:已公開PCT申請案第2002-542057號之日文譯本 Patent Document 2: Japanese translation of PCT Application No. 2002-542057

專利文獻3:日本未審查專利申請公開案第2001-179640號 Patent Document 3: Japanese Unexamined Patent Application Publication No. 2001-179640

發明概要 Summary of invention

雖然原因未明,但是在CMP程序期間,當使用包括具有結構化表面之研磨層的研磨材料來執行胺甲酸酯泡沫墊調整時,半導體晶圓表面之缺陷密度可能隨著調整循環的增加而提高。此外,異物(諸如CMP漿液中所包括之研磨粒子、從胺甲酸酯泡 沫墊所刮下的聚胺甲酸酯粒子、與類似者)累積可在研磨層之結構化表面的谷部(凹部)中觀察到。異物累積被認為會干擾CMP漿液在研磨材料與胺甲酸酯泡沫墊之間的平滑流動。 Although the cause is not known, during the CMP procedure, when the urethane foam pad adjustment is performed using an abrasive material including an abrasive layer having a structured surface, the defect density of the semiconductor wafer surface may increase as the adjustment cycle increases. . In addition, foreign matter (such as abrasive particles included in the CMP slurry, from the urethane bubbles) Accumulation of the polyurethane particles scraped off by the foam pad, and the like, can be observed in the valleys (concave portions) of the structured surface of the polishing layer. Foreign matter accumulation is believed to interfere with the smooth flow of the CMP slurry between the abrasive material and the urethane foam pad.

較佳為防止或抑制由表面拋光玻璃板所刮下之玻璃粉末(拋光粉末)累積在結構化表面谷部,以及在粗拋光與終拋光汽車烤漆表面時諸如丙烯酸系樹脂、胺甲酸酯樹脂、或類似者黏著至結構化表面(在此情況下,研磨層不會明顯磨耗,並且黏著會出現在結構化表面之凸部或尖端),因為生產效率可能會降低,因而影響產品品質。 It is preferred to prevent or suppress accumulation of glass powder (polishing powder) scraped off by the surface-polished glass sheet on the structured surface valley, and such as acrylic resin, urethane resin in rough polishing and final polishing of the automobile paint surface. , or the like, adheres to the structured surface (in which case the abrasive layer does not become significantly worn and the adhesive will appear on the convex or tip of the structured surface), as production efficiency may be reduced, thereby affecting product quality.

本揭露之目的在於提供具有結構化表面之研磨材料,並且其在防止異物黏著與累積方面具有極佳功效,以及提供該研磨材料之製造方法。 The purpose of the present disclosure is to provide an abrasive material having a structured surface, and which is excellent in preventing adhesion and accumulation of foreign matter, and a method of manufacturing the same.

本揭露之實施例提供一種具有研磨層之研磨材料,該研磨層具有結構化表面,該結構化表面帶有複數個排列於其上之三維元件,選自由氟化物處理及矽處理所組成之群組的表面處理係執行於該結構化表面之至少一部分上,並且該氟化物處理係選自由電漿處理、化學氣相沉積、物理氣相沉積、及氟氣處理所組成之群組。 Embodiments of the present disclosure provide an abrasive material having an abrasive layer having a structured surface having a plurality of three-dimensional elements arranged thereon, selected from the group consisting of fluoride treatment and hydrazine treatment The surface treatment of the set is performed on at least a portion of the structured surface, and the fluoride treatment is selected from the group consisting of plasma treatment, chemical vapor deposition, physical vapor deposition, and fluorine gas treatment.

本揭露之另一實施例提供一種製造研磨材料之方法,其包括:提供包括研磨層之研磨材料,該研磨層具有結構化表面,該結構化表面帶有複數個排列於其上之三維元件;以及執行選 自由氟化物處理及矽處理所組成之群組的表面處理於該研磨材料之結構化表面的至少一部分上;該氟化物處理係選自由電漿處理、化學氣相沉積、物理氣相沉積、及氟氣處理所組成之群組。 Another embodiment of the present disclosure provides a method of making an abrasive material, comprising: providing an abrasive material comprising an abrasive layer, the abrasive layer having a structured surface having a plurality of three-dimensional elements arranged thereon; And execution Surface treatment of the group consisting of free fluoride treatment and hydrazine treatment on at least a portion of the structured surface of the abrasive material; the fluoride treatment is selected from the group consisting of plasma treatment, chemical vapor deposition, physical vapor deposition, and A group consisting of fluorine gas treatment.

本揭露之又一實施例提供一種具有研磨層之研磨材料,該研磨層帶有結構化表面,該結構化表面組態有複數個排列於其上之三維元件,該結構化表面之至少一部分包括:(a)包括選自由稠密化氟碳化物、碳氧化矽(silicon oxycarbide)、及氧化矽所組成之群組的材料之膜;(b)氟封端表面、或(c)上述者之組合。 Yet another embodiment of the present disclosure provides an abrasive material having an abrasive layer with a structured surface configured with a plurality of three-dimensional elements disposed thereon, at least a portion of the structured surface including (a) comprising a film selected from the group consisting of densified fluorocarbons, silicon oxycarbide, and cerium oxide; (b) a fluorine-terminated surface, or (c) a combination of the above .

發明功效 Inventive efficacy

依據本揭露,可提供能夠排出而不會黏著或累積異物在結構化表面中的研磨材料,尤其是在結構化表面之谷部(凹部)。 In accordance with the present disclosure, abrasive materials can be provided that can be discharged without adhering or accumulating foreign matter in the structured surface, particularly in the valleys (concave portions) of the structured surface.

請留意不應將以上描述視為本發明之所有實施例或關於本發明之利益的完整揭露。 It is to be understood that the above description is not to be construed as a

10‧‧‧研磨材料 10‧‧‧Abrasive materials

11‧‧‧研磨層 11‧‧‧Abrasive layer

12‧‧‧三維元件 12‧‧‧3D components

13‧‧‧主體層 13‧‧‧ body layer

14‧‧‧表面塗層 14‧‧‧Surface coating

15‧‧‧背襯 15‧‧‧Backing

16‧‧‧研磨粒子 16‧‧‧Abrasive particles

17‧‧‧黏結劑 17‧‧‧Adhesive

18‧‧‧研磨層上部 18‧‧‧Upper layer of abrasive layer

19‧‧‧研磨層下部 19‧‧‧The lower part of the abrasive layer

121‧‧‧第一三角錐體 121‧‧‧First triangular cone

122‧‧‧第二三角錐體 122‧‧‧Second triangular cone

123‧‧‧六角錐體 123‧‧‧hexagonal cone

124‧‧‧四坡頂體形狀 124‧‧‧ Four-slope acrosoid shape

h‧‧‧高度 H‧‧‧height

l‧‧‧長度 L‧‧‧ Length

o‧‧‧長度 O‧‧‧ Length

p‧‧‧距離 Distance p‧‧‧

r‧‧‧半徑 R‧‧‧ Radius

s‧‧‧高度 S‧‧‧ Height

u‧‧‧距離 U‧‧‧distance

w‧‧‧寬度 w‧‧‧Width

x‧‧‧距離 Distance from x‧‧‧

y‧‧‧長度 Y‧‧‧ Length

α‧‧‧垂直角度 ‧‧‧‧Vertical angle

圖1為本揭露實施例之研磨材料的剖面圖。 Figure 1 is a cross-sectional view of an abrasive material in accordance with an embodiment of the present disclosure.

圖2為本揭露另一實施例之研磨材料的剖面圖。 2 is a cross-sectional view of an abrasive material according to another embodiment of the present disclosure.

圖3A為結構化表面之上表面示意圖,其中設置複數個具有三角錐體形狀之三維元件。 Figure 3A is a schematic illustration of the surface above the structured surface in which a plurality of three-dimensional elements having a triangular pyramid shape are disposed.

圖3B為結構化表面之上表面示意圖,其中設置複數個具有四角錐體形狀之三維元件。 Figure 3B is a schematic illustration of the surface above the structured surface in which a plurality of three-dimensional elements having a quadrangular pyramid shape are disposed.

圖3C為結構化表面之上表面示意圖,其中設置複數個具有截角錐體形狀之三維元件。 Figure 3C is a schematic illustration of the surface above the structured surface in which a plurality of three-dimensional elements having the shape of a truncated pyramid are disposed.

圖3D為結構化表面之上表面示意圖,其中設置複數個具有半球體形狀之三維元件。 Figure 3D is a schematic illustration of the surface above the structured surface in which a plurality of three-dimensional elements having a hemispherical shape are disposed.

圖3E為結構化表面之剖面圖,其中三維元件為側向定向且排列之三角稜柱體。 3E is a cross-sectional view of a structured surface in which the three-dimensional elements are laterally oriented and aligned triangular prisms.

圖3F為結構化表面之上表面示意圖,其中設置複數個具有四坡頂體(hipped roof)形狀之三維元件。 Figure 3F is a schematic illustration of the surface above the structured surface in which a plurality of three-dimensional elements having a quadruped roof shape are disposed.

圖3G為結構化表面之上表面示意圖,其中設置複數個具有各式形狀之三維元件。 Figure 3G is a schematic illustration of the surface above the structured surface in which a plurality of three-dimensional elements having various shapes are disposed.

圖4A至圖4D分別為實例1與實例2以及比較例1與比較例2之研磨材料在執行CMP修整測試之後,其結構化表面之光學顯微圖。 4A to 4D are optical micrographs of the structured surfaces of the abrasive materials of Examples 1 and 2 and Comparative Example 1 and Comparative Example 2, respectively, after performing a CMP dressing test.

圖5A為實例3至實例5以及比較例3在執行汽車塗層拋光測試之後,研磨材料A至研磨材料C之全景圖。 5A is a panoramic view of the abrasive material A to the abrasive material C after performing the automotive coating polishing test of Examples 3 to 5 and Comparative Example 3.

圖5B為實例3至實例5以及比較例3在執行汽車塗層拋光測試之後,研磨材料A至研磨材料C之結構化表面的光學顯微圖。 5B is an optical micrograph of the structured surface of the abrasive material A to the abrasive material C after performing the automotive coating polishing test of Examples 3 to 5 and Comparative Example 3.

圖5C為實例3至實例5以及比較例3在執行汽車塗層拋光測試接著用水清洗之後,研磨材料A至研磨材料C之結構化表面的光學顯微圖。 5C is an optical micrograph of the structured surface of the abrasive material A to the abrasive material C after performing the automotive coating polishing test followed by the water cleaning of Examples 3 to 5 and Comparative Example 3.

為了說明本發明之代表性實施例的目的而於後文中給出詳細釋明,但不應將這些實施例解讀為用來限制本發明。 The detailed description of the present invention is intended to be illustrative, and is not to be construed as limiting.

本揭露中之「研磨表面」係指與待拋光物件接觸之接觸表面,換言之,當研磨材料接觸平坦之待拋光物件時,即指平行於待拋光物件之水平表面。 The term "abrasive surface" as used in the present disclosure means a contact surface that is in contact with an object to be polished, in other words, when the abrasive material contacts a flat object to be polished, that is, a horizontal surface parallel to the object to be polished.

本揭露中之三維元件「高度」係指三維元件底部表面與三維元件頂點或頂部表面沿著研磨表面之垂線的距離。 The "height" of the three-dimensional element in the present disclosure refers to the distance between the bottom surface of the three-dimensional element and the apex or top surface of the three-dimensional element along the perpendicular of the abrasive surface.

本揭露實施例之研磨材料包括具有結構化表面之研磨層,並且複數個三維元件係設置在該結構化表面上。選自由氟化物處理或矽處理所組成之群組的表面處理係在該結構化表面上之至少一部分上執行。本揭露中之「氟化物處理」係指使用含氟材料之表面處理,而「矽處理」係指使用含矽材料之表面處理。除了氟與矽以外之其他原子(諸如氫、氧、碳、氮、與類似者)可有助於表面處理,並且這些其他原子可衍生自含氟材料或含矽材料,或者可衍生自另外來源。 The abrasive material of the disclosed embodiments includes an abrasive layer having a structured surface, and a plurality of three-dimensional elements are disposed on the structured surface. A surface treatment selected from the group consisting of fluoride treatment or hydrazine treatment is performed on at least a portion of the structured surface. In the present disclosure, "fluoride treatment" refers to the surface treatment using a fluorine-containing material, and "sputum treatment" refers to the surface treatment using a rhodium-containing material. Other atoms than fluorine and helium (such as hydrogen, oxygen, carbon, nitrogen, and the like) may contribute to surface treatment, and these other atoms may be derived from fluorine-containing materials or germanium-containing materials, or may be derived from another source. .

研磨層可使用各式材料來形成。圖1繪示本揭露實施例之研磨材料的剖面圖。圖1中所繪示之研磨材料10包括研磨層11,並且研磨層11包括主體層13與設置在主體層13之至少一部分上的表面塗層14。表面塗層14係施加至設置有複數個三維元件12之結構化表面。在圖1中所繪示之實施例的情況下,主體層13不只會決定三維元件12之形狀,亦會作用為基底材料以用於將 研磨材料10附接至另一工具或類似者。另一基底材料可在對置於結構化表面之側邊上附接至主體層13之表面。 The abrasive layer can be formed using a variety of materials. 1 is a cross-sectional view of an abrasive material in accordance with an embodiment of the present disclosure. The abrasive material 10 illustrated in FIG. 1 includes an abrasive layer 11 and the abrasive layer 11 includes a body layer 13 and a surface coating 14 disposed on at least a portion of the body layer 13. The surface coating 14 is applied to a structured surface provided with a plurality of three-dimensional elements 12. In the case of the embodiment illustrated in Figure 1, the body layer 13 not only determines the shape of the three-dimensional element 12, but also acts as a substrate material for The abrasive material 10 is attached to another tool or the like. Another substrate material can be attached to the surface of the body layer 13 on the side opposite the structured surface.

主體層會決定三維元件之形狀。主體層可由各種硬質材料來形成,諸如無機材料例如燒結陶瓷,視待拋光物件之材料性質及硬度與類似者而定。燒結陶瓷例如可包括碳化矽、氮化矽、氧化鋁、氧化鋯、碳化鎢、與類似者。其中,就強度、硬度、耐磨性、與類似者而言,使用碳化矽與氮化矽(尤其是碳化矽)可為有利者。 The body layer determines the shape of the three-dimensional component. The body layer can be formed from a variety of hard materials, such as inorganic materials such as sintered ceramics, depending on the material properties and hardness of the article to be polished. The sintered ceramic may include, for example, tantalum carbide, tantalum nitride, aluminum oxide, zirconium oxide, tungsten carbide, and the like. Among them, in terms of strength, hardness, wear resistance, and the like, it may be advantageous to use tantalum carbide and tantalum nitride (especially tantalum carbide).

主體層可藉由下列方式來形成:混合陶瓷粒子(諸如碳化矽或類似者)、黏結劑、與視需要其他材料、加壓射出至具有結構化表面之負圖案的金屬模中、然後燒結。 The bulk layer can be formed by mixing ceramic particles (such as tantalum carbide or the like), a binder, and other materials as needed, pressurizing into a metal mold having a negative pattern of the structured surface, and then sintering.

表面塗層通常由較主體層為硬之材料所形成,並且藉由在拋光期間接觸待拋光物件而有助於拋光該待拋光物件。可使用的表面塗層例子包括類鑽碳(diamond-like carbon,縮寫為DLC)、以及其他鑽石材料、碳化鎢(WC)、氮化鈦(TiN)、碳化鈦(TiC)、與類似者。表面塗層之厚度通常為約0.5μm或更大或約1μm或更大,以及約30μm或更小或約20μm或更小。藉由將表面塗層之厚度設定為約1μm或更大,在拋光期間只有表面塗層會接觸待拋光物件,並因而能夠保護待拋光物件免於與主體層接觸。另一方面,如果表面塗層與主體層之黏著性低,較佳為使表面塗層之厚度相對薄。 The surface coating is typically formed from a material that is harder than the bulk layer and helps to polish the article to be polished by contacting the article to be polished during polishing. Examples of surface coatings that may be used include diamond-like carbon (abbreviated as DLC), as well as other diamond materials, tungsten carbide (WC), titanium nitride (TiN), titanium carbide (TiC), and the like. The thickness of the surface coating is usually about 0.5 μm or more or about 1 μm or more, and about 30 μm or less or about 20 μm or less. By setting the thickness of the surface coating to about 1 μm or more, only the surface coating contacts the object to be polished during polishing, and thus the article to be polished can be protected from contact with the body layer. On the other hand, if the adhesion of the surface coating to the body layer is low, it is preferred to make the thickness of the surface coating relatively thin.

使用含鑽石材料之膜作為表面塗層可為有利者。該膜例如可包括類鑽碳。類鑽碳為非晶質,並且包括大量由氫穩定化的sp3(例如,碳原子為約40原子%或更高或約50原子%或更高,並且為約99原子%或更低或約98原子%或更低)。鑽石膜可藉由習用技術沉積在主體層上,諸如電漿增強化學氣相沉積(PECVD)法、熱線化學氣相沉積(HWCVD)法、離子束、雷射剝蝕、RF電漿、超音波、電弧放電、陰極電弧電漿沉積、與類似者,並且使用氣體碳源(諸如甲烷或類似者)或固體碳源(諸如石墨或類似者),而且視需要使用氫。在一些實施例中,可穩定化並生產具有高結晶度之膜,因而使用HWCVD法來沉積厚鑽石膜可為有利者。 It may be advantageous to use a film of a diamond-containing material as a surface coating. The film may, for example, comprise diamond-like carbon. The diamond-like carbon is amorphous and includes a large amount of sp 3 stabilized by hydrogen (for example, the carbon atom is about 40 atom% or higher or about 50 atom% or higher, and is about 99 atom% or lower or About 98 atom% or less). Diamond films can be deposited on the bulk layer by conventional techniques such as plasma enhanced chemical vapor deposition (PECVD), hot line chemical vapor deposition (HWCVD), ion beam, laser ablation, RF plasma, ultrasonic, Arc discharge, cathodic arc plasma deposition, and the like, and use a gaseous carbon source (such as methane or the like) or a solid carbon source (such as graphite or the like), and use hydrogen as needed. In some embodiments, a film having high crystallinity can be stabilized and produced, and thus it can be advantageous to deposit a thick diamond film using the HWCVD method.

圖2繪示本揭露另一實施例之研磨材料的剖面圖。圖2中所繪示之研磨材料10包括研磨層11,其包括研磨粒子16與黏結劑17在背襯材料15上,並且研磨層11具有設置有複數個三維元件12之結構化表面。背襯材料15作為研磨材料10之基底材料。研磨粒子16係均勻或非均勻分佈在整個黏結劑17中。在此實施例的情況下,當使用研磨材料10來拋光待拋光物件之表面時,取決於待拋光物件之硬度,接觸待拋光物件之部分會逐漸毀失,從而使未使用之研磨粒子16露出。 2 is a cross-sectional view of an abrasive material according to another embodiment of the present disclosure. The abrasive material 10 illustrated in FIG. 2 includes an abrasive layer 11 comprising abrasive particles 16 and a binder 17 on a backing material 15, and the abrasive layer 11 has a structured surface provided with a plurality of three-dimensional elements 12. The backing material 15 serves as a base material of the abrasive material 10. The abrasive particles 16 are uniformly or non-uniformly distributed throughout the binder 17. In the case of this embodiment, when the abrasive material 10 is used to polish the surface of the article to be polished, depending on the hardness of the article to be polished, the portion contacting the object to be polished is gradually destroyed, thereby exposing the unused abrasive particles 16 .

在此實施例的情況下,可將可固化組成物(包括研磨粒子、黏結劑前驅物、與引發劑)填入具有結構化表面之負圖案 的金屬模中,使用熱或輻射來固化該組成物,因而可形成包括研磨粒子與黏結劑之研磨層。 In the case of this embodiment, the curable composition (including abrasive particles, binder precursor, and initiator) can be filled into a negative pattern having a structured surface. In the metal mold, heat or radiation is used to cure the composition, so that an abrasive layer including abrasive particles and a binder can be formed.

可使用的研磨粒子例子包括鑽石、立方氮化硼、氧化鈰、熔合氧化鋁、經熱處理之氧化鋁、以溶膠-凝膠程序製備而成之氧化鋁、碳化矽、氧化鉻、氧化矽、氧化鋯、氧化鋁氧化鋯、氧化鐵、石榴石、及其混合物。研磨粒子之莫氏硬度較佳為8或更大或者9或更大。研磨粒子的種類可基於預期拋光方式來選擇,並且以下為有利者:將鑽石、立方氮化硼、氧化鋁、與碳化矽使用於粗拋光(諸如去毛邊或類似者)、與去角(諸如彎曲表面形成或類似者),以及將氧化矽與氧化鋁有利地使用於終拋光。 Examples of abrasive particles that may be used include diamond, cubic boron nitride, cerium oxide, fused alumina, heat treated alumina, alumina prepared by a sol-gel procedure, cerium carbide, chromium oxide, cerium oxide, oxidation Zirconium, alumina zirconia, iron oxide, garnet, and mixtures thereof. The Mohs hardness of the abrasive particles is preferably 8 or more or 9 or more. The type of abrasive particles can be selected based on the intended polishing method, and is advantageous in that diamond, cubic boron nitride, alumina, and tantalum carbide are used for rough polishing (such as deburring or the like), and chamfering (such as The curved surface is formed or the like, and the cerium oxide and aluminum oxide are advantageously used for final polishing.

研磨粒子之平均粒徑可在不同範圍內,視研磨粒子種類、研磨材料應用、與類似者而定,並且通常為約10nm或更大、約1μm或更大、或約5μm或更大,以及約500μm或更小、約200μm或更小、或約80μm或更小。例如,將平均粒徑為約0.5μm或更大與約20μm或更小、或約10μm或更小之研磨粒子使用於粗拋光(諸如去毛邊或類似者)、以及使用於去角(諸如彎曲形狀形成或類似者)可為有利者,而將平均粒徑為約10nm或更大與約1μm或更小、約0.5μm或更小、或約0.1μm或更小之研磨粒子使用於終拋光可為有利者。 The average particle size of the abrasive particles can be in various ranges, depending on the type of abrasive particles, the abrasive material application, and the like, and is typically about 10 nm or greater, about 1 μιη or greater, or about 5 μιη or greater, and It is about 500 μm or less, about 200 μm or less, or about 80 μm or less. For example, abrasive particles having an average particle diameter of about 0.5 μm or more and about 20 μm or less, or about 10 μm or less are used for rough polishing (such as deburring or the like), and for use in dehorning (such as bending). Shape formation or the like may be advantageous, and abrasive particles having an average particle diameter of about 10 nm or more and about 1 μm or less, about 0.5 μm or less, or about 0.1 μm or less may be used for final polishing. Can be beneficial.

可使用將粒徑為約1μm至約100μm之鑽石粒子分散於基質(諸如玻璃、陶瓷、金屬、金屬氧化物、有機樹脂、與類似者)中的結塊鑽石。包括粒徑大於15μm鑽石粒子之結塊鑽石 的平均粒徑通常為約100μm或更大或約250μm或更大,以及約1000μm或更小或約400μm或更小。包括粒徑15μm或更小鑽石粒子之結塊鑽石的平均粒徑通常為約20μm或更大、約40μm或更大、或約70μm或更大,以及約450μm或更小、約400μm或更小、或約300μm或更小。 Agglomerated diamonds in which diamond particles having a particle diameter of from about 1 μm to about 100 μm are dispersed in a matrix such as glass, ceramic, metal, metal oxide, organic resin, and the like can be used. Includes agglomerated diamonds with diamond particles larger than 15μm The average particle diameter is usually about 100 μm or more or about 250 μm or more, and about 1000 μm or less or about 400 μm or less. The average particle diameter of agglomerated diamond including diamond particles having a particle diameter of 15 μm or less is usually about 20 μm or more, about 40 μm or more, or about 70 μm or more, and about 450 μm or less, about 400 μm or less. Or about 300 μm or less.

藉由熱或輻射來固化之可固化樹脂可用作為黏結劑前驅物。可固化樹脂通常藉由自由基聚合或陽離子聚合來固化。黏結劑前驅物例子包括酚系樹脂、可溶酚醛樹脂(resol)-酚樹脂、胺基塑料樹脂、胺甲酸酯樹脂、環氧樹脂、丙烯酸系樹脂、聚酯樹脂、乙烯系樹脂、三聚氰胺樹脂、三聚異氰酸酯丙烯酸酯樹脂、脲-甲醛樹脂、三聚異氰酸酯樹脂、胺甲酸酯丙烯酸酯樹脂、環氧丙烯酸酯樹脂、及其混合物。用於黏結劑前驅物之用語「丙烯酸酯」包括丙烯酸酯與甲基丙烯酸酯。 A curable resin that is cured by heat or radiation can be used as a binder precursor. The curable resin is usually cured by radical polymerization or cationic polymerization. Examples of the binder precursor include a phenol resin, a resol-phenol resin, an amine-based plastic resin, a urethane resin, an epoxy resin, an acrylic resin, a polyester resin, a vinyl resin, and a melamine resin. , a trimeric isocyanate acrylate resin, a urea-formaldehyde resin, a trimeric isocyanate resin, a urethane acrylate resin, an epoxy acrylate resin, and mixtures thereof. The term "acrylate" as used in the binder precursor includes acrylate and methacrylate.

習用熱引發劑或光引發劑可用作為引發劑。引發劑例子包括有機過氧化物、偶氮化合物、醌、二苯甲酮、硝氧基(nitroxo)化合物、鹵化丙烯酸類、腙、巰基化合物、哌喃陽離子(pyrylium)化合物、三丙烯醯基咪唑(triacrylimidazole)、雙咪唑、氯烷基三(chloroalkyl triazine)、安息香醚、苄基縮酮、硫代酮(thioxanthone)、苯乙酮、錪鹽、鋶鹽、及其衍生物。 Conventional thermal initiators or photoinitiators can be used as initiators. Examples of the initiator include organic peroxides, azo compounds, hydrazine, benzophenone, nitroxo compounds, halogenated acrylics, hydrazine, mercapto compounds, pyrylium compounds, tripropylene decyl imidazole (triacrylimidazole), diimidazole, chloroalkyl three (chloroalkyl triazine), benzoin ether, benzyl ketal, thio Ketone (thioxanthone), acetophenone, sulfonium salt, phosphonium salt, and derivatives thereof.

可固化組成物中所包括之研磨粒子量通常為約150質量份或更多或約200質量份或更多,以及約1000質量份或更少或約700質量份或更少,此係相對於100質量份的黏結劑前驅物。 可固化組成物所包括之引發劑量通常為約0.1質量份或更多或約0.5質量份或更多,以及約10質量份或更少或約2質量份或更少,此係相對於100質量份的黏結劑前驅物。 The amount of the abrasive particles included in the curable composition is usually about 150 parts by mass or more or about 200 parts by mass or more, and about 1000 parts by mass or less or about 700 parts by mass or less, which is relative to 100 parts by mass of binder precursor. The initiating dose included in the curable composition is usually about 0.1 parts by mass or more or about 0.5 parts by mass or more, and about 10 parts by mass or less or about 2 parts by mass or less, which is relative to 100 masses. Part of the binder precursor.

可固化組成物可進一步包括選擇性之成分,諸如偶合劑、填充劑、潤濕劑、染料、顏料、塑化劑、填料、離型劑、拋光助劑、與類似者。 The curable composition may further comprise optional ingredients such as couplers, fillers, wetting agents, dyes, pigments, plasticizers, fillers, release agents, polishing aids, and the like.

背襯材料可為聚合物膜,諸如聚酯、聚醯亞胺、聚醯胺、與類似者;紙類;硫化纖維;模製或鑄製彈性體、加工非織布料或梭織布料;與類似者。背襯材料可使用黏著劑層來黏著至研磨層。 The backing material can be a polymeric film such as polyester, polyimine, polyamine, and the like; paper; vulcanized fiber; molded or cast elastomer, processed nonwoven or woven fabric ; and similar. The backing material can be adhered to the abrasive layer using an adhesive layer.

研磨層與背襯材料可使用熱塑性樹脂或熱固性樹脂來整體形成。熱塑性樹脂或熱固性樹脂的例子包括酚系樹脂、胺基塑料樹脂、胺甲酸酯樹脂、環氧樹脂、乙烯系不飽和樹脂、三聚異氰酸酯丙烯酸酯樹脂、脲-甲醛樹脂、三聚異氰酸酯樹脂、胺甲酸酯丙烯酸酯樹脂、環氧丙烯酸酯樹脂、二順丁烯二醯亞胺(bimaleimide)樹脂、及其混合物。其中,使用聚醯胺樹脂、聚酯樹脂、與聚胺甲酸酯樹脂(包括聚胺甲酸酯-脲樹脂)可為有利者。 The abrasive layer and the backing material may be integrally formed using a thermoplastic resin or a thermosetting resin. Examples of the thermoplastic resin or the thermosetting resin include a phenol resin, an amine based plastic resin, a urethane resin, an epoxy resin, a vinyl unsaturated resin, a trimeric isocyanate acrylate resin, a urea-formaldehyde resin, a trimeric isocyanate resin, A urethane acrylate resin, an epoxy acrylate resin, a bimaleimide resin, and mixtures thereof. Among them, it is advantageous to use a polyamide resin, a polyester resin, and a polyurethane resin (including a polyurethane-urea resin).

背襯材料之厚度通常可設定為約1mm或更大或約0.5cm或更大,以及約2cm或更小或約1cm或更小。在背襯材料為彈性材料的情況下,亦可將形狀追蹤性質賦予背襯材料。可藉由預成型背襯材料來將預定曲度賦予背襯材料。 The thickness of the backing material can generally be set to about 1 mm or more or about 0.5 cm or more, and about 2 cm or less or about 1 cm or less. Where the backing material is an elastic material, shape tracking properties can also be imparted to the backing material. The predetermined curvature can be imparted to the backing material by preforming the backing material.

研磨材料之三維元件的拋光功能係展現於其頂部。在研磨材料具有包括研磨粒子與黏結劑之研磨層的情況下,三維元件在拋光期間會從頂部分解,並且露出未使用的研磨粒子。因此,藉由增加研磨粒子存在於三維元件頂部中之濃度,可提升研磨材料之切削性質與研磨性質,因而使用該研磨材料可為有利者。三維元件之底部(換言之,即黏著至基底材料或與基底材料整體形成之研磨層下部)正常不需要拋光功能,因而可僅由黏結劑形成而無須包括研磨粒子。 The polishing function of the three-dimensional element of the abrasive material is exhibited on top of it. In the case where the abrasive material has an abrasive layer comprising abrasive particles and a binder, the three-dimensional element will decompose from the top during polishing and expose unused abrasive particles. Therefore, by increasing the concentration of the abrasive particles present in the top of the three-dimensional element, the cutting properties and the abrasive properties of the abrasive material can be improved, and thus it is advantageous to use the abrasive material. The bottom of the three-dimensional element (in other words, the lower portion of the abrasive layer adhered to or formed integrally with the base material) does not normally require a polishing function and thus may be formed only of a binder without the need to include abrasive particles.

研磨層之結構化表面可包括各式形狀之三維元件。三維元件形狀的例子包括圓柱體、橢圓柱體、稜柱體、半球體、半橢球體、圓錐體、角錐體、截圓錐體、截角錐體、四坡頂體、與類似者。結構化表面亦可包括具有各式形狀之複數個三維元件的組合。舉例而言,結構化表面可為複數個圓柱體與複數個角錐體之組合。三維元件底部之截面形狀可不同於頂部之截面形狀。舉例而言,底部截面可為正方形而頂部截面可為圓形。三維元件底部之截面積正常會大於頂部之截面積。三維元件底部可互相或交替接觸,並且相鄰三維元件之底部可彼此分開一段預定距離。 The structured surface of the abrasive layer can include various shapes of three-dimensional elements. Examples of the shape of the three-dimensional element include a cylinder, an elliptical cylinder, a prism, a hemisphere, a semi-ellipsoid, a cone, a pyramid, a truncated cone, a truncated cone, a tetrapod, and the like. The structured surface can also include a combination of a plurality of three-dimensional elements having various shapes. For example, the structured surface can be a combination of a plurality of cylinders and a plurality of pyramids. The cross-sectional shape of the bottom of the three-dimensional element may be different from the cross-sectional shape of the top. For example, the bottom section can be square and the top section can be circular. The cross-sectional area at the bottom of the 3D element will be greater than the cross-sectional area of the top. The bottoms of the three-dimensional elements may be in mutual or alternating contact, and the bottoms of adjacent three-dimensional elements may be separated from each other by a predetermined distance.

在數個實施例的情況下,複數個三維元件係系統性設置在結構化表面上。在本揭露的情況下,與三維元件位置關聯使用之「系統性」意指相同形狀或類似形狀之三維元件係重複設置在結構化表面上,並且沿著平行於研磨表面之水平表面上的一個或複數個方向設置。平行於研磨表面之水平表面上的一個或複數個方向 可為直線方向、同心方向、螺旋(蝸旋)方向、或其組合。在複數個三維元件係系統性設置在結構化表面上之實施例的情況下,存在於三維元件之間的空間(諸如例如溝槽)可用有利於漿液、研磨粉末、與類似者流動與排出之圖案設置在結構化表面的整體上。複數個三維元件例如可藉由以表面處理、雷射處理進行之多晶形鑽石沉積法、或以鑽石輪、切削輪進行之CVD、或射出成型(即一種將黏結劑前驅物填充於具有結構化表面負圖案之金屬三維元件中,接著使用熱或輻射來固化的方法)、與類似者來形成。 In the case of several embodiments, a plurality of three-dimensional elements are systematically disposed on the structured surface. In the context of the present disclosure, "systematic" as used in connection with the position of a three-dimensional element means that a three-dimensional element of the same shape or the like is repeatedly disposed on the structured surface and along a horizontal surface parallel to the abrasive surface. Or multiple directions. Parallel to one or more directions on the horizontal surface of the abrasive surface It may be a linear direction, a concentric direction, a spiral (screw) direction, or a combination thereof. In the case of embodiments in which a plurality of three-dimensional elements are systematically disposed on a structured surface, spaces existing between the three-dimensional elements, such as, for example, grooves, may be used to facilitate slurry, abrasive powder, and similar flow and discharge. The pattern is placed on the entirety of the structured surface. The plurality of three-dimensional elements can be formed, for example, by a polycrystalline diamond deposition method by surface treatment or laser treatment, or by diamond wheel, CVD, or injection molding (ie, filling a binder precursor with a structuring) The metal three-dimensional element having a negative surface pattern is then formed by heat or radiation to be cured, and the like.

可用於本揭露之研磨材料中的結構化表面係使用範例來描述,請同時參照圖3A至圖3G。圖3A為結構化表面之上表面示意圖,其上設置複數個具有三角錐體形狀之三維元件。在圖3A中,符號o代表三維元件12底邊之長度,而符號p代表三維元件12頂部之間的距離。三角錐體底邊之長度可為彼此相同或不同,並且側邊之長度可為彼此相同或不同。舉例而言,o可設定為約5μm或更大或約10μm或更大,以及約1000μm或更小或約500μm或更小。p可設定為約5μm或更大或約10μm或更大,以及約1000μm或更小或約500μm或更小。雖然圖3A中未繪示,三維元件12之高度h可設定為約2μm或更大或約4μm或更大,以及約600μm或更小或約300μm或更小。h之變異較佳為三維元件12高度的約20%或更小,而更佳為約10%或更小。 The structured surface that can be used in the abrasive materials of the present disclosure is described using examples, with reference to Figures 3A-3G. Figure 3A is a schematic illustration of the surface above the structured surface on which a plurality of three-dimensional elements having a triangular pyramid shape are disposed. In Fig. 3A, the symbol o represents the length of the bottom edge of the three-dimensional element 12, and the symbol p represents the distance between the tops of the three-dimensional element 12. The lengths of the bottom edges of the triangular pyramids may be the same or different from each other, and the lengths of the side edges may be the same or different from each other. For example, o can be set to about 5 μm or more or about 10 μm or more, and about 1000 μm or less or about 500 μm or less. p may be set to be about 5 μm or more or about 10 μm or more, and about 1000 μm or less or about 500 μm or less. Although not shown in FIG. 3A, the height h of the three-dimensional element 12 may be set to be about 2 μm or more or about 4 μm or more, and about 600 μm or less or about 300 μm or less. The variation of h is preferably about 20% or less of the height of the three-dimensional element 12, and more preferably about 10% or less.

圖3B為結構化表面之上表面示意圖,其上設置複數個具有四角錐體形狀之三維元件。在圖3B中,符號o代表三維元 件12底邊之長度,而符號p代表三維元件12頂部之間的距離。四角錐體底邊之長度可為彼此相同或不同,並且側邊之長度可為彼此相同或不同。舉例而言,o可設定為約5μm或更大或約10μm或更大,以及約1000μm或更小或約500μm或更小。p可設定為約5μm或更大或約10μm或更大,以及約1000μm或更小或約500μm或更小。雖然圖3B中未繪示,三維元件12之高度h可設定為約2μm或更大或約4μm或更大,以及約600μm或更小或約300μm或更小。h的變異較佳為三維元件12高度的約20%或更小,而更佳為約10%或更小。 Fig. 3B is a schematic view of the upper surface of the structured surface on which a plurality of three-dimensional elements having a quadrangular pyramid shape are disposed. In Figure 3B, the symbol o represents a three-dimensional element The length of the bottom edge of the piece 12, and the symbol p represents the distance between the tops of the three-dimensional element 12. The lengths of the bases of the quadrangular pyramids may be the same or different from each other, and the lengths of the side edges may be the same or different from each other. For example, o can be set to about 5 μm or more or about 10 μm or more, and about 1000 μm or less or about 500 μm or less. p may be set to be about 5 μm or more or about 10 μm or more, and about 1000 μm or less or about 500 μm or less. Although not shown in FIG. 3B, the height h of the three-dimensional element 12 may be set to about 2 μm or more or about 4 μm or more, and about 600 μm or less or about 300 μm or less. The variation of h is preferably about 20% or less of the height of the three-dimensional element 12, and more preferably about 10% or less.

在本揭露之其他實施例的情況下,三維元件可為截三角錐體或截四角錐體。這些實施例之三維元件的頂部表面通常係組態為平行於研磨表面之三角或四角水平表面。較佳的是,所有頂部表面實質上皆存在於平行於研磨層之水平表面上。 In the case of other embodiments of the present disclosure, the three-dimensional element can be a truncated triangular pyramid or a truncated pyramid. The top surface of the three-dimensional element of these embodiments is typically configured to be parallel to the triangular or four-corner horizontal surface of the abrasive surface. Preferably, all of the top surfaces are substantially present on a level surface parallel to the abrasive layer.

圖3C為結構化表面之上表面示意圖,其上設置複數個具有截四角錐體之三維元件。在切除頂部之前的四角錐體形狀係繪示於左上方。在圖3C中,符號o代表三維元件12底邊之長度,符號u代表三維元件12底邊之間的距離,而符號y代表頂部表面側邊之長度。截四角錐體底邊之長度可為彼此相同或不同,側邊之長度可為彼此相同或不同,且頂部表面側邊之長度可為彼此相同或不同。舉例而言,o可設定為約5μm或更大或約10μm或更大,以及約6000μm或更小或約3000μm或更小。u可設定為0μm或更大或約2μm或更大,以及約10,000μm或更小或約5000 μm或更小。y可設定為約0.5μm或更大或約1μm或更大,以及約6000μm或更小或約3000μm或更小。雖然圖3C中未繪示,三維元件12之高度h可設定為約5μm或更大或約10μm或更大,以及約10,000μm或更小或約5000μm或更小。h的變異較佳為三維元件12高度的約20%或更小,而更佳為約10%或更小。 Figure 3C is a schematic view of the upper surface of the structured surface on which a plurality of three-dimensional elements having truncated pyramids are disposed. The shape of the quadrangular pyramid before cutting off the top is shown on the upper left. In Fig. 3C, the symbol o represents the length of the bottom side of the three-dimensional element 12, the symbol u represents the distance between the bottom edges of the three-dimensional element 12, and the symbol y represents the length of the side of the top surface. The lengths of the bases of the truncated pyramids may be the same or different from each other, the lengths of the side edges may be the same or different from each other, and the lengths of the sides of the top surface may be the same or different from each other. For example, o can be set to about 5 μm or more or about 10 μm or more, and about 6000 μm or less or about 3000 μm or less. u can be set to 0 μm or more or about 2 μm or more, and about 10,000 μm or less or about 5000 Mm or less. y may be set to be about 0.5 μm or more or about 1 μm or more, and about 6000 μm or less or about 3000 μm or less. Although not shown in FIG. 3C, the height h of the three-dimensional element 12 may be set to about 5 μm or more or about 10 μm or more, and about 10,000 μm or less or about 5000 μm or less. The variation of h is preferably about 20% or less of the height of the three-dimensional element 12, and more preferably about 10% or less.

圖3D為結構化表面之上表面示意圖,其上設置複數個具有半球體形狀之三維元件。在圖3D中,符號r代表三維元件12之半徑,而符號p代表三維元件12中點之間的距離。舉例而言,r可設定為約5μm或更大或約10μm或更大,以及約1000μm或更小或約500μm或更小。p可設定為約5μm或更大或約10μm或更大,以及約1000μm或更小或約500μm或更小。雖然圖3D中未繪示,具有半球體形狀三維元件之高度h正常為相同於半徑r。h的變異較佳為三維元件12高度的約20%或更小,而更佳為約10%或更小。 Figure 3D is a schematic illustration of the surface above the structured surface on which a plurality of three-dimensional elements having a hemispherical shape are disposed. In FIG. 3D, the symbol r represents the radius of the three-dimensional element 12, and the symbol p represents the distance between the points in the three-dimensional element 12. For example, r can be set to about 5 μm or more or about 10 μm or more, and about 1000 μm or less or about 500 μm or less. p may be set to be about 5 μm or more or about 10 μm or more, and about 1000 μm or less or about 500 μm or less. Although not shown in FIG. 3D, the height h of the three-dimensional element having a hemispherical shape is normally the same as the radius r. The variation of h is preferably about 20% or less of the height of the three-dimensional element 12, and more preferably about 10% or less.

圖3E為本揭露之另一實施例的剖面示意圖,並且複數個三維元件12為側向定向之三角稜柱體,並且具有凸脊。三維元件12係設置在基底材料15上,並且係繪示為研磨層上部18(包括研磨粒子與黏結劑)、以及研磨層下部19(包括黏結劑但不包括研磨粒子)之雙層結構。凸脊較佳為在平行於研磨層(實質上橫跨整體研磨材料)的水平表面上。在一些實施例中,實質上所有凸脊皆存在於平行於研磨層之相同水平表面上。在圖3E中,符號α代表三維元件12之垂直角度;符號w代表三維元件12底部 之寬度;符號p代表三維元件12頂部之間的距離;符號u代表三維元件12長底邊之間的距離;符號h代表三維元件12從基底材料15表面起算之高度;而符號s代表研磨層上部18之高度。舉例而言,α可設定為約30度或更大或約45度或更大,以及約150度或更小或約140度或更小。w可設定為約2μm或更大或約4μm或更大,以及約2000μm或更小或約1000μm或更小。p可設定為約2μm或更大或約4μm或更大,以及約4000μm或更小或約2000μm或更小。u可設定為0μm或更大或約2μm或更大,以及約2000μm或更小或約1000μm或更小。h可設定為約2μm或更大或約4μm或更大,以及約600μm或更小或約300μm或更小。s可設定為三維元件12高度h的約5%或更大或約10%或更大,以及約95%或更小或約90%或更小。h之變異較佳為三維元件12高度的約20%或更小,而更佳為約10%或更小。 3E is a schematic cross-sectional view of another embodiment of the present disclosure, and the plurality of three-dimensional elements 12 are laterally oriented triangular prisms and have ridges. The three-dimensional element 12 is disposed on the base material 15 and is illustrated as a two-layer structure of the upper portion 18 of the abrasive layer (including abrasive particles and binder) and the lower portion 19 of the abrasive layer (including the binder but not the abrasive particles). The ridges are preferably on a horizontal surface that is parallel to the abrasive layer (substantially across the overall abrasive material). In some embodiments, substantially all of the ridges are present on the same horizontal surface parallel to the abrasive layer. In Fig. 3E, the symbol α represents the vertical angle of the three-dimensional element 12; the symbol w represents the bottom of the three-dimensional element 12. Width; symbol p represents the distance between the tops of the three-dimensional elements 12; symbol u represents the distance between the long bases of the three-dimensional elements 12; symbol h represents the height of the three-dimensional elements 12 from the surface of the substrate material 15, and symbol s represents the abrasive layer The height of the upper part 18. For example, α can be set to be about 30 degrees or more or about 45 degrees or more, and about 150 degrees or less or about 140 degrees or less. w may be set to be about 2 μm or more or about 4 μm or more, and about 2000 μm or less or about 1000 μm or less. p may be set to be about 2 μm or more or about 4 μm or more, and about 4000 μm or less or about 2000 μm or less. u may be set to 0 μm or more or about 2 μm or more, and about 2000 μm or less or about 1000 μm or less. h may be set to be about 2 μm or more or about 4 μm or more, and about 600 μm or less or about 300 μm or less. s may be set to about 5% or more or about 10% or more of the height h of the three-dimensional element 12, and about 95% or less or about 90% or less. The variation of h is preferably about 20% or less of the height of the three-dimensional element 12, and more preferably about 10% or less.

圖3E中所繪示之個別三維元件12可橫跨研磨材料的整個表面延伸。在此情況下,三維元件12在長底邊方向的兩個端部皆在研磨材料之端部附近,並且複數個三維元件12係設置為帶狀。 The individual three-dimensional elements 12 depicted in Figure 3E can extend across the entire surface of the abrasive material. In this case, both ends of the three-dimensional element 12 in the long base direction are near the end of the abrasive material, and a plurality of three-dimensional elements 12 are provided in a strip shape.

在本揭露之另一實施例的情況下,三維元件可具有四坡頂體形狀。本揭露中之「四坡頂體」形狀係指一種三維形狀,其側表面組態為兩個對應之三角形狀以及兩個對應之四角形狀,其中相鄰之三角側表面與四角側表面共用一區域,並且由對應兩個四角側表面所共用的區域為凸脊。凸脊較佳為在平行於研磨層(實質 上橫跨整體研磨材料)的水平表面上。在一些實施例中,實質上所有凸脊皆存在於平行於研磨層之相同水平表面上。兩個三角側表面與兩個四角側表面可彼此具有相同形狀或不同形狀。因此,四坡頂體形狀之底部表面可為矩形、梯形、或類似者,並且四個側邊之長度可為彼此不同之正方形狀。 In the case of another embodiment of the present disclosure, the three-dimensional element may have a quadrangular atrium shape. The "four-slope acrosome" shape in the present disclosure refers to a three-dimensional shape, the side surfaces of which are configured as two corresponding triangular shapes and two corresponding four-corner shapes, wherein adjacent triangular side surfaces share a quadrilateral side surface The area, and the area shared by the corresponding two square side surfaces is a ridge. The ridge is preferably parallel to the abrasive layer (essential On a horizontal surface across the entire abrasive material). In some embodiments, substantially all of the ridges are present on the same horizontal surface parallel to the abrasive layer. The two triangular side surfaces and the two square side surfaces may have the same shape or different shapes from each other. Therefore, the bottom surface of the quadrangular acrobatic shape may be rectangular, trapezoidal, or the like, and the lengths of the four sides may be squares different from each other.

圖3F為結構化表面之上表面示意圖,其上設置複數個具有四坡頂體形狀之三維元件。圖3F繪示具有矩形底部表面之四坡頂體形狀。在圖3F中,符號1代表三維元件12長底邊之長度,而符號x代表相鄰三維元件12短底邊之間的距離。舉例而言,1可設定為約5μm或更大或約10μm或更大,以及約10mm或更小或約5mm或更小。x可設定為約0μm或更大或約2μm或更大,以及約2000μm或更小或約1000μm或更小。符號w、p與u、以及符號h、s、α、與類似者(雖然圖3F中未示)之定義與例示性數值範圍皆如同圖3E中所述者。 Figure 3F is a schematic view of the upper surface of the structured surface on which a plurality of three-dimensional elements having a quadrangular atrium shape are disposed. Figure 3F illustrates the quadrangular atrium shape with a rectangular bottom surface. In Fig. 3F, the symbol 1 represents the length of the long base of the three-dimensional element 12, and the symbol x represents the distance between the short bases of the adjacent three-dimensional elements 12. For example, 1 can be set to be about 5 μm or more or about 10 μm or more, and about 10 mm or less or about 5 mm or less. x may be set to be about 0 μm or more or about 2 μm or more, and about 2000 μm or less or about 1000 μm or less. The definitions and exemplary numerical ranges of the symbols w, p and u, and the symbols h, s, a, and the like (although not shown in Figure 3F) are as described in Figure 3E.

在另一實施例的情況下,結構化表面包括複數個具有不同形狀之三維元件的組合。圖3G繪示此一實施例的範例。圖3G中所繪示之結構化表面包括下列者之組合:第一三角錐體121、第二三角錐體122、六角錐體123、與四坡頂體124。各三維元件之底邊長度可設定為約5μm或更大或10μm或更大,以及約1000μm或更小或約500μm或更小,並且高度各可設定為約2μm或更大或約4μm或更大,以及約600μm或更小或約300μm或更小。相鄰三維元件底邊之間的距離可設定為0μm或更大或約2μm 或更大,以及約10,000μm或更小或約5000μm或更小。四坡頂體124之凸脊長度可設定為約0.5μm或更大或約1μm或更大,以及約1000μm或更小或約500μm或更小。 In the case of another embodiment, the structured surface comprises a plurality of combinations of three-dimensional elements having different shapes. FIG. 3G illustrates an example of this embodiment. The structured surface depicted in Figure 3G includes a combination of a first triangular pyramid 121, a second triangular pyramid 122, a hexagonal cone 123, and a quadrilateral atrium 124. The length of the bottom side of each of the three-dimensional elements may be set to about 5 μm or more or 10 μm or more, and about 1000 μm or less or about 500 μm or less, and the height may each be set to about 2 μm or more or about 4 μm or more. Large, and about 600 μm or less or about 300 μm or less. The distance between the bottom edges of adjacent three-dimensional elements can be set to 0 μm or more or about 2 μm. Or larger, and about 10,000 μm or less or about 5000 μm or less. The ridge length of the quadrangular acrosome 124 can be set to about 0.5 μm or more or about 1 μm or more, and about 1000 μm or less or about 500 μm or less.

在數個實施例的情況下,研磨材料之三維元件密度(換言之,即每1cm2研磨材料之三維元件數目)為約0.5元件/cm2或更大或1.0元件/cm2或更大,以及約1×107元件/cm2或更小或約4×106元件/cm2或更小。在複數個三維元件係系統性設置在結構化表面上之實施例的情況下,每1cm2研磨材料之三維元件數目可設定為約0.05元件/cm2或更大或約0.10元件/cm2或更大,以及約1×106元件/cm2或更小或約4×105元件/cm2或更小。在此實施例的情況下,雖然高拋光效率可藉由將三維元件以高密度排列在結構化表面上來達成,漿液、研磨粉末、與類似者可藉由使用存在於三維元件之間的具有預定圖案空間(諸如例如溝槽)來有效率排出,並且結合在結構化表面上執行表面處理。 In the case of several embodiments, the three-dimensional element density of the abrasive material (in other words, the number of three-dimensional elements per 1 cm 2 of abrasive material) is about 0.5 elements/cm 2 or more or 1.0 elements/cm 2 or more, and About 1 × 10 7 elements / cm 2 or less or about 4 × 10 6 elements / cm 2 or less. In the case of embodiments in which a plurality of three-dimensional elements are systematically disposed on a structured surface, the number of three-dimensional elements per 1 cm 2 of abrasive material may be set to about 0.05 elements/cm 2 or more or about 0.10 elements/cm 2 or It is larger, and about 1 × 10 6 elements / cm 2 or less or about 4 × 10 5 elements / cm 2 or less. In the case of this embodiment, although high polishing efficiency can be achieved by arranging three-dimensional elements on the structured surface at a high density, the slurry, the abrasive powder, and the like can be predetermined by using between the three-dimensional elements. A pattern space, such as, for example, a trench, is expelled efficiently and combined with performing a surface treatment on the structured surface.

針對本揭露之研磨材料,氟化物處理或矽處理係在結構化表面之至少一部分上執行。在不受任何理論所拘限下,相較於具有研磨粒子黏著於基底材料上(藉由導電Ni電鍍或類似者)之習用研磨材料,結構化表面由表面塗層(諸如類鑽碳或類似者)所覆蓋的研磨材料,以及研磨層包括研磨粒子與樹脂黏結劑的研磨材料,皆被認為會造成結構化表面上的電荷增加(charge-up)或結構化表面的表面能,因而異物容易由於靜電或其他交互作用而附著至結構化表面。依據本揭露,即使結構化表面含有相對高密度之三維 元件,結構化表面之表面能可藉由表面處理這些三維元件而獲得降低,並且可避免或抑制異物黏著至結構化表面上(諸如研磨漿液中之研磨粒子、有機化合物與類似者、產生自聚胺甲酸酯泡沫墊之聚胺甲酸酯粒子、與類似者的黏著或累積)。 For the abrasive materials of the present disclosure, fluoride treatment or hydrazine treatment is performed on at least a portion of the structured surface. Without being bound by any theory, the structured surface is coated with a surface coating (such as diamond-like carbon or similar) compared to conventional abrasive materials having abrasive particles adhered to the substrate material (by electroconductive Ni plating or the like). The abrasive material covered, and the abrasive layer comprising the abrasive particles and the resin binder, are believed to cause charge-up on the structured surface or surface energy of the structured surface, thus making the foreign matter easy Attached to the structured surface due to static electricity or other interactions. According to the disclosure, even a structured surface contains a relatively high density of three dimensions The surface energy of the component, the structured surface can be reduced by surface treatment of these three-dimensional elements, and can prevent or inhibit foreign matter from adhering to the structured surface (such as abrasive particles, organic compounds and the like in the abrasive slurry, resulting in self-polymerization) Polyurethane particles of a urethane foam pad, adhesion or accumulation with similar ones).

在本揭露中,以電漿處理、化學氣相沉積(CVD)法、物理氣相沉積(PVD)法、或氟氣處理來執行氟化物處理可為有利者。 In the present disclosure, it may be advantageous to perform a fluoride treatment by plasma treatment, chemical vapor deposition (CVD), physical vapor deposition (PVD), or fluorine gas treatment.

依據本揭露之「電漿處理」係指一種使用由電漿所活化之原料氣體來改變待處理物件表面之化學組成的處理,並且反應產物(包括衍生自待處理物件之材料)會包括在經電漿處理之表面上。另一方面,在化學氣相沉積與物理氣相沉積的情況下,包括衍生自氣體、液體或固體原料之成分的膜係藉由沉積在待處理物件之表面上而形成。化學氣相沉積法包括例如熱CVD法、直接電漿增強CVD法、遠程電漿CVD法、熱線CVD法、與類似者。物理氣相沉積法包括濺鍍、真空沉積、電弧噴敷、電漿噴敷、氣溶膠沉積法、與類似者。 The term "plasma treatment" as used in the present disclosure refers to a process of changing the chemical composition of the surface of an object to be treated by using a raw material gas activated by plasma, and the reaction product (including a material derived from the object to be treated) is included in the On the surface of the plasma treatment. On the other hand, in the case of chemical vapor deposition and physical vapor deposition, a film including a component derived from a gas, a liquid or a solid raw material is formed by being deposited on the surface of an object to be treated. The chemical vapor deposition method includes, for example, a thermal CVD method, a direct plasma enhanced CVD method, a remote plasma CVD method, a hot wire CVD method, and the like. Physical vapor deposition methods include sputtering, vacuum deposition, arc spraying, plasma spraying, aerosol deposition, and the like.

在不受任何理論所拘限下,氟化物處理被認為會產生諸如下列現象:氟會摻雜在表面塗層(諸如類鑽碳或研磨粒子)之表面周圍,材料表面會因為黏結劑中所包括之聚合物內產生C-F鍵而形成氟封端、包括稠密化氟碳化物(含有許多C-C鍵)之塗層會形成在結構化表面上、與類似者。 Without being bound by any theory, fluoride treatment is believed to produce phenomena such as the fact that fluorine is doped around the surface of a surface coating such as diamond-like carbon or abrasive particles, and the surface of the material is due to the binder. Coatings comprising CF bonds in the polymer to form fluorine capping, including densified fluorocarbons (containing many CC bonds), are formed on the structured surface, and the like.

在數個實施例的情況下,以電漿處理或化學氣相沉積法進行氟化物處理可使用具有可減壓腔室之低壓電漿裝置或常壓電漿裝置來執行。使用電漿裝置之化學氣相沉積法通常稱為電漿增強CVD法。如果使用常壓電漿裝置,除了含氟氣體外,尚會使用氮氣或周期表的18族原子(具體而言,氦、氖、氬、氪、氙、氡、與類似者)作為放電氣體。其中,使用氮、氦、與氬可為有利者,而就成本而言氮尤為有利者。低壓電漿裝置通常用於批式處理。如果需要連續處理長織帶(webbing)或類似者,就生產力而言使用常壓電漿裝置可能為有利者。習用方法如電暈放電、介電障壁放電(諸如使用13.56MHz高頻率電源之單或雙RF放電)、2.45GHz微波放電、電弧放電、或類似者可用作為產生電漿之方法。在這些產生方法中,使用單RF放電(使用13.56MHz高頻率電源)可為有利者。 In the case of several embodiments, the fluoride treatment by plasma treatment or chemical vapor deposition may be performed using a low pressure plasma device or a normal piezoelectric slurry device having a decompressible chamber. The chemical vapor deposition method using a plasma device is generally called a plasma enhanced CVD method. If a normal piezoelectric slurry device is used, in addition to the fluorine-containing gas, nitrogen or a Group 18 atom of the periodic table (specifically, helium, neon, argon, neon, xenon, krypton, and the like) is used as the discharge gas. Among them, the use of nitrogen, helium, and argon may be advantageous, and nitrogen is particularly advantageous in terms of cost. Low-pressure slurry devices are commonly used for batch processing. If it is desired to continuously process long webbing or the like, it may be advantageous to use a normal piezoelectric slurry device in terms of productivity. Conventional methods such as corona discharge, dielectric barrier discharge (such as single or dual RF discharge using a 13.56 MHz high frequency power supply), 2.45 GHz microwave discharge, arc discharge, or the like can be used as a method of generating plasma. Among these production methods, it is advantageous to use a single RF discharge (using a 13.56 MHz high frequency power supply).

氟碳化物如CF4、C4F8、C5F6、C4F6、CHF3、CH2F2、CH3F、C2F6、C3F8、C4F10、C6F14、三氟化氮(NF3)、SF6、與類似者可用作為電漿處理或化學氣相沉積法中所用之含氟氣體。就安全性、反應性與類似者而言,使用C3F8、C6F14、與CF4可為有利者。含氟氣體之流率可設定為約20sccm或更高或約50sccm或更高,以及約1000sccm或更低或約500sccm或更低。可進一步將流率為約50sccm或更高以及約5000sccm或更低之載體氣體(諸如氮、氦、或氬)包括在供應給裝置的氣流中。 Fluorocarbons such as CF 4 , C 4 F 8 , C 5 F 6 , C 4 F 6 , CHF 3 , CH 2 F 2 , CH 3 F, C 2 F 6 , C 3 F 8 , C 4 F 10 , C 6 F 14 , nitrogen trifluoride (NF 3 ), SF 6 , and the like can be used as the fluorine-containing gas used in the plasma treatment or chemical vapor deposition. For safety, reactivity and the like, it may be advantageous to use C 3 F 8 , C 6 F 14 , and CF 4 . The flow rate of the fluorine-containing gas may be set to about 20 sccm or more or about 50 sccm or more, and about 1000 sccm or less or about 500 sccm or less. A carrier gas (such as nitrogen, helium, or argon) having a flow rate of about 50 sccm or more and about 5000 sccm or less may be further included in the gas stream supplied to the apparatus.

在一些實施例中,藉由將原料氣體C/F比例設定為約3或更小而可能沉積有利之膜為習知者,並且在此情況下,C/F比例可藉由加入非氟系氣體如乙炔、丙酮、與類似者來調整。在原料氣體之C/F比例為約2或更大以及約3或更小之實施例的情況下,取決於偏電壓,因電漿處理而來之表面修飾可優先發生,或者因化學氣相沉積法而來之膜沉積可優先發生。藉由調整此一實施例中之偏電壓,氟化物處理可為電漿處理或化學氣相沉積、或其組合。偏電壓之範圍會基於裝置大小或設計或類似者而變化,但通常可設定為約100V或更低、約0V或更低至約-1000V或更高、或約-100,000V或更高。 In some embodiments, it may be possible to deposit an advantageous film by setting the raw material gas C/F ratio to about 3 or less, and in this case, the C/F ratio may be added by adding a non-fluorine system. Gases such as acetylene, acetone, and the like are adjusted. In the case of an embodiment in which the C/F ratio of the material gas is about 2 or more and about 3 or less, depending on the bias voltage, surface modification due to plasma treatment may occur preferentially, or due to chemical vapor phase Film deposition from deposition can occur preferentially. By adjusting the bias voltage in this embodiment, the fluoride treatment can be plasma treatment or chemical vapor deposition, or a combination thereof. The range of bias voltages may vary based on device size or design or the like, but may generally be set to about 100 V or less, about 0 V or less to about -1000 V or higher, or about -100,000 V or higher.

電漿產生所需之外加功率可基於待處理研磨材料之尺寸而定,而放電空間中之功率密度通常可選擇為約0.00003W/cm2或更高或約0.0002W/cm2或更高,以及約10W/cm2或更低或約1W/cm2或更低。舉例而言,如果待氟化物處理之研磨材料的尺寸為10cm(長度)×10cm(寬度)或更小,則外加功率可設定為約200W或更高或約500W或更高,以及約4kW或更低或約2.5kW或更低。 The additional power required for plasma generation may be based on the size of the abrasive material to be treated, and the power density in the discharge space may generally be selected to be about 0.00003 W/cm 2 or higher or about 0.0002 W/cm 2 or higher. And about 10 W/cm 2 or lower or about 1 W/cm 2 or lower. For example, if the size of the abrasive material to be fluoride treated is 10 cm (length) x 10 cm (width) or less, the applied power can be set to about 200 W or higher or about 500 W or higher, and about 4 kW or Lower or about 2.5 kW or lower.

電漿處理或化學氣相沉積法之溫度較佳為不會減損待處理材料之特性及性能與類似者之溫度,並且待處理研磨材料之表面溫度可設定為約-15℃或更高、約0℃或更高、或約15℃或更高,以及約400℃或更低、約200℃或更低、或約100℃或更低。 研磨材料之表面溫度可藉由熱電偶、輻射溫度計、或接觸研磨材料之類似者來測量。 The temperature of the plasma treatment or the chemical vapor deposition method is preferably such that the characteristics and properties of the material to be treated are not impaired, and the surface temperature of the material to be treated can be set to about -15 ° C or higher. 0 ° C or higher, or about 15 ° C or higher, and about 400 ° C or lower, about 200 ° C or lower, or about 100 ° C or lower. The surface temperature of the abrasive material can be measured by a thermocouple, a radiation thermometer, or the like that contacts the abrasive material.

在使用低壓電漿裝置來執行電漿處理或化學氣相沉積法時的處理壓力可設定為約10毫托(mTorr)或更高或約20毫托或更高,以及約1500毫托或更低或約1000毫托或更低。 The treatment pressure when performing the plasma treatment or the chemical vapor deposition method using the low pressure plasma device can be set to about 10 mTorr or more or about 20 mTorr or higher, and about 1500 mTorr or Lower or about 1000 mTorr or less.

電漿處理或化學氣相沉積法之處理時間可設定為約2秒或更長、約5秒或更長、或約10秒或更長,以及約300秒或更短、約180秒或更短、或約120秒或更短。 The treatment time of the plasma treatment or chemical vapor deposition method can be set to about 2 seconds or longer, about 5 seconds or longer, or about 10 seconds or longer, and about 300 seconds or shorter, about 180 seconds or more. Short, or about 120 seconds or less.

在另一實施例的情況下,遠程電漿裝置可用作為以電漿處理或化學氣相沉積法進行之氟化物處理。使用遠程電漿裝置之化學氣相沉積法通常稱為遠程電漿CVD法。在遠程電漿裝置的情況下,電漿會在不同於處理室之電漿激發腔室中產生,激發活化物種係藉由將原料氣體引入電漿激發腔室中來產生,所產生之激發活化物種會與載體氣體(諸如氮、氦、氖、氬、或類似者)一起流進處理腔室中,因而執行研磨材料之結構化表面的氟化物處理。 In the case of another embodiment, the remote plasma device can be used as a fluoride treatment by plasma treatment or chemical vapor deposition. Chemical vapor deposition using a remote plasma device is commonly referred to as remote plasma CVD. In the case of a remote plasma device, the plasma is generated in a plasma excitation chamber different from the processing chamber, and the excited activation species are generated by introducing a material gas into the plasma excitation chamber, resulting in excitation activation. The species will flow into the processing chamber along with a carrier gas such as nitrogen, helium, neon, argon, or the like, thereby performing a fluoride treatment of the structured surface of the abrasive material.

具有減壓處理腔室之低壓遠程電漿裝置或常壓遠程電漿裝置可用作為遠程電漿裝置。可使用之放電氣體與有利之放電氣體係如以上針對低壓電漿裝置與常壓電漿裝置所描述者。高頻率(13.56MHz)RF放電、2.45GHz微波放電、2.45GHz微波放電/電子迴旋共振(ECR)、與類似者通常用作為電漿產生方式,並且使用2.45GHz微波放電與2.45GHz微波放電/電子迴旋共振(ECR)為有利者,因為可在遠程電漿中達到理想的高電漿密度。 A low pressure remote plasma device or a atmospheric pressure remote plasma device having a reduced pressure processing chamber can be used as the remote plasma device. Discharge gases and advantageous discharge gas systems that can be used are as described above for low pressure plasma devices and normal piezoelectric slurry devices. High frequency (13.56MHz) RF discharge, 2.45GHz microwave discharge, 2.45GHz microwave discharge/electron cyclotron resonance (ECR), and the like are commonly used as plasma generation methods, and use 2.45GHz microwave discharge and 2.45GHz microwave discharge/electron Cyclotron resonance (ECR) is advantageous because the desired high plasma density can be achieved in remote plasma.

氟碳化物如CF4、C4F8、C5F6、C4F6、CHF3、CH2F2、CH3F、C2F6、C3F8、C4F10、C6F14、與類似者、三氟化氮(NF3)、SF6、與類似者可用作為使用遠程電漿裝置之電漿處理或化學氣相沉積法中的含氟氣體。激發活化物種之壽命會更長,而且安全性高,因而使用NF3、與SF6可為有利者。含氟氣體之流率可設定為約20sccm或更高或約50sccm或更高,以及約1000sccm或更低或約500sccm或更低。載體氣體之流率可設定為約100sccm或更高或約200sccm或更高,以及約5000sccm或更低或約200sccm或更低。 Fluorocarbons such as CF 4 , C 4 F 8 , C 5 F 6 , C 4 F 6 , CHF 3 , CH 2 F 2 , CH 3 F, C 2 F 6 , C 3 F 8 , C 4 F 10 , C 6 F 14 , and the like, nitrogen trifluoride (NF 3 ), SF 6 , and the like can be used as a fluorine-containing gas in a plasma treatment or a chemical vapor deposition method using a remote plasma device. The lifespan of activated species will be longer and safer, so the use of NF 3 and SF 6 may be advantageous. The flow rate of the fluorine-containing gas may be set to about 20 sccm or more or about 50 sccm or more, and about 1000 sccm or less or about 500 sccm or less. The flow rate of the carrier gas can be set to about 100 sccm or more or about 200 sccm or more, and about 5000 sccm or less or about 200 sccm or less.

在一些實施例中,藉由將原料氣體C/F比例設定為約3或更小而可能沉積有利之膜為習知者,並且在此情況下,C/F比例可藉由加入非氟系氣體如乙炔、丙酮、與類似者來調整。在原料氣體之C/F比例為約2或更大以及約3或更小之實施例的情況下,取決於偏電壓,因電漿處理而來之表面修飾可優先發生,或者因化學氣相沉積法而來之膜沉積可優先發生。藉由調整此一實施例中之偏電壓,氟化物處理可為電漿處理或化學氣相沉積、或其組合。偏電壓之範圍會基於裝置大小或設計或類似者而變化,但通常可設定為約100V或更低、約0V或更低至約-1000V或更高、或約-100,000V或更高。 In some embodiments, it may be possible to deposit an advantageous film by setting the raw material gas C/F ratio to about 3 or less, and in this case, the C/F ratio may be added by adding a non-fluorine system. Gases such as acetylene, acetone, and the like are adjusted. In the case of an embodiment in which the C/F ratio of the material gas is about 2 or more and about 3 or less, depending on the bias voltage, surface modification due to plasma treatment may occur preferentially, or due to chemical vapor phase Film deposition from deposition can occur preferentially. By adjusting the bias voltage in this embodiment, the fluoride treatment can be plasma treatment or chemical vapor deposition, or a combination thereof. The range of bias voltages may vary based on device size or design or the like, but may generally be set to about 100 V or less, about 0 V or less to about -1000 V or higher, or about -100,000 V or higher.

電漿產生所需之外加功率可設定為約1W或更高或約10W或更高,以及約300kW或更低或約30kW或更低。 The additional power required for plasma generation can be set to about 1 W or higher or about 10 W or higher, and about 300 kW or lower or about 30 kW or lower.

在遠程電漿裝置的情況下,可執行氟化物處理同時將待處理研磨材料維持在低溫。舉例而言,待處理研磨材料之表面溫度可設定為約-15℃或更高、約0℃或更高、或約15℃或更高,以及約200℃或更低、約100℃或更低、或約50℃或更低。研磨材料之表面溫度可藉由熱電偶、輻射溫度計、或接觸研磨材料之類似者來測量。 In the case of a remote plasma device, fluoride treatment can be performed while maintaining the abrasive material to be treated at a low temperature. For example, the surface temperature of the abrasive material to be treated can be set to about -15 ° C or higher, about 0 ° C or higher, or about 15 ° C or higher, and about 200 ° C or lower, about 100 ° C or more. Low, or about 50 ° C or lower. The surface temperature of the abrasive material can be measured by a thermocouple, a radiation thermometer, or the like that contacts the abrasive material.

在使用低壓遠程電漿裝置來執行電漿處理或化學氣相沉積法時的處理壓力可設定為約1毫托(mTorr)或更高或約10毫托或更高,以及約1500毫托或更低或約1000毫托或更低。 The processing pressure when performing a plasma treatment or a chemical vapor deposition method using a low pressure remote plasma device can be set to about 1 mTorr or more or about 10 mTorr or higher, and about 1500 mTorr or Lower or about 1000 mTorr or less.

電漿處理或化學氣相沉積法之處理時間可設定為約2秒或更長、約5秒或更長、或約10秒或更長,以及約300秒或更短、約180秒或更短、或約120秒或更短。 The treatment time of the plasma treatment or chemical vapor deposition method can be set to about 2 seconds or longer, about 5 seconds or longer, or about 10 seconds or longer, and about 300 seconds or shorter, about 180 seconds or more. Short, or about 120 seconds or less.

在另一實施例中,濺鍍可用作為以物理氣相沉積法進行之氟化物處理。濺鍍可使用典型濺鍍裝置來進行,諸如離子濺鍍裝置、DC磁控濺鍍裝置、RF磁控濺鍍裝置、或類似者。 In another embodiment, sputtering can be used as a fluoride treatment by physical vapor deposition. Sputtering can be performed using a typical sputtering apparatus, such as an ion sputtering apparatus, a DC magnetron sputtering apparatus, an RF magnetron sputtering apparatus, or the like.

氟聚合物如聚四氟乙烯(PTFE)、聚偏二氟乙烯(PVDF)、與類似者可用作為氟化物處理之濺鍍靶材。反應性濺鍍可藉由在處理腔室中提供諸如下列者之氟碳化物來執行:CF4、C4F8、C5F6、C4F6、CHF3、CH2F2、CH3F、C2F6、C3F8、C4F10、C6F14、與類似者、氟化氮(NF3)、SF6、與類似者。 Fluoropolymers such as polytetrafluoroethylene (PTFE), polyvinylidene fluoride (PVDF), and the like can be used as sputter targets for fluoride treatment. Reactive sputtering can be performed by providing a fluorocarbon such as the following in a processing chamber: CF 4 , C 4 F 8 , C 5 F 6 , C 4 F 6 , CHF 3 , CH 2 F 2 , CH 3 F, C 2 F 6 , C 3 F 8 , C 4 F 10 , C 6 F 14 , and the like, nitrogen fluoride (NF 3 ), SF 6 , and the like.

濺鍍溫度可設定為約-193℃或更高或約25℃或更高,以及約600℃或更低或約1300℃或更低。 The sputtering temperature can be set to about -193 ° C or higher or about 25 ° C or higher, and about 600 ° C or lower or about 1300 ° C or lower.

濺鍍之處理壓力可設定為約1×10-5托(Torr)或更高或約1×10-3托或更高,以及約10毫托或更低或約100毫托或更低。 The processing pressure for sputtering can be set to about 1 x 10 -5 Torr or higher or about 1 x 10 -3 Torr or higher, and about 10 mTorr or lower or about 100 mTorr or lower.

濺鍍之處理時間可設定為約1秒或更長、約5秒或更長、或約10秒或更長,以及約30秒或更短、約60秒或更短、或約180秒或更短。 The processing time of the sputtering can be set to about 1 second or longer, about 5 seconds or longer, or about 10 seconds or longer, and about 30 seconds or shorter, about 60 seconds or shorter, or about 180 seconds or Shorter.

在另一實施例中,真空沉積可用作為以物理氣相沉積法進行之氟化物處理。真空沉積可使用典型沉積裝置來執行,諸如電阻式加熱沉積裝置、電子束沉積裝置、離子鍍裝置、或類似者。 In another embodiment, vacuum deposition can be used as a fluoride treatment by physical vapor deposition. Vacuum deposition can be performed using a typical deposition apparatus such as a resistive heat deposition apparatus, an electron beam deposition apparatus, an ion plating apparatus, or the like.

聚四氟乙烯(PTFE)、聚偏二氟乙烯(PVDF)、與其他氟聚合物、氟化鈣(CaF2)以及其他含氟有機化合物、與類似者可用作為沉積源。 Polytetrafluoroethylene (PTFE), polyvinylidene fluoride (PVDF), and other fluoropolymers, calcium fluoride (CaF 2 ), and other fluorine-containing organic compounds, and the like can be used as a deposition source.

沉積溫度可設定為約-193℃或更高或約25℃或更高,以及約600℃或更低或約1000℃或更低。 The deposition temperature can be set to about -193 ° C or higher or about 25 ° C or higher, and about 600 ° C or lower or about 1000 ° C or lower.

沉積之處理壓力可設定為約1×10-6托或更高或約1×10-5托或更高,以及約1×10-3托或更低或約1×10-2托或更低。 The processing pressure for deposition can be set to about 1 x 10 -6 Torr or higher or about 1 x 10 -5 Torr or higher, and about 1 x 10 -3 Torr or lower or about 1 x 10 -2 Torr or more. low.

沉積之處理時間可設定為約5秒或更長、約10秒或更長、或約30秒或更長,以及約120秒或更短、約600秒或更短、或約1200秒或更短。 The processing time for deposition can be set to about 5 seconds or longer, about 10 seconds or longer, or about 30 seconds or longer, and about 120 seconds or shorter, about 600 seconds or shorter, or about 1200 seconds or more. short.

在另一實施例的情況下,氟氣(F2)處理係用作為氟化物處理。氟氣可用惰性氣體(諸如氮、氦、氬、二氧化碳、與類似者)稀釋,並且無須稀釋亦可使用。氟氣處理通常在常壓下執行。 In the case of another embodiment, the fluorine gas (F 2 ) treatment is treated as a fluoride. The fluorine gas may be diluted with an inert gas such as nitrogen, helium, argon, carbon dioxide, or the like, and may be used without dilution. Fluorine gas treatment is usually carried out under normal pressure.

氟氣與研磨材料之結構化表面接觸時的溫度可設定為室溫或更高、約50℃或更高、或約100℃或更高、以及約250℃或更低、約220℃或更低、或約200℃或更低。 The temperature at which the fluorine gas is in contact with the structured surface of the abrasive material can be set to room temperature or higher, about 50 ° C or higher, or about 100 ° C or higher, and about 250 ° C or lower, about 220 ° C or more. Low, or about 200 ° C or lower.

氟氣處理之處理時間可設定為約1分鐘或更長或約1小時或更長,以及約1週或更短或約50小時或更短。 The treatment time of the fluorine gas treatment may be set to about 1 minute or longer or about 1 hour or longer, and about 1 week or less or about 50 hours or less.

在本揭露的情況下,以電漿處理、化學氣相沉積法、物理氣相沉積法、或原子層沉積法來執行矽處理可為有利者。在不受任何理論所拘限下,矽處理被認為會產生下列現象,即結構化表面藉由在黏結劑中所包括之聚合物內或者在研磨粒子或表面塗層(諸如類鑽碳或類似者)之表面上形成Si-O-Si鍵、Si-C-Si鍵、Si-O-C鍵、與類似者而獲得改良;包括碳氧化矽或氧化矽且具有相對緻密網絡結構之塗層會形成在結構化表面上,此網絡結構係透過Si-O-Si鍵、Si-C-Si鍵、Si-O-C鍵、或類似者而形成;或類似者。 In the case of the present disclosure, it may be advantageous to carry out the hydrazine treatment by plasma treatment, chemical vapor deposition, physical vapor deposition, or atomic layer deposition. Without being bound by any theory, hydrazine treatment is believed to produce the phenomenon that the structured surface is contained within the polymer included in the binder or in abrasive particles or surface coatings (such as diamond-like carbon or similar) On the surface of the substrate, Si-O-Si bonds, Si-C-Si bonds, Si-OC bonds, and the like are improved; and a coating comprising a carbon monoxide or yttrium oxide and having a relatively dense network structure is formed. On a structured surface, the network structure is formed by Si-O-Si bonds, Si-C-Si bonds, Si-OC bonds, or the like; or the like.

以電漿處理或化學氣相沉積法來進行矽處理可使用低壓電漿裝置、常壓電漿裝置、低壓遠程電漿裝置、常壓遠程電漿裝置、與類似者來執行,這些裝置係與用於先前所述之氟化物處理者相同。放電氣體與電漿產生方法係如同針對氟化物處理所描述者。 The hydrazine treatment by plasma treatment or chemical vapor deposition may be performed using a low pressure plasma device, a normal piezoelectric slurry device, a low pressure remote plasma device, a atmospheric pressure remote plasma device, and the like. Same as used for the fluoride treatment previously described. The discharge gas and plasma generation methods are as described for fluoride treatment.

矽烷(SiH4)、四甲基矽烷(TMS)、六甲基二矽氧烷(HMDSO)、六甲基二矽氮烷(HMDS)、四乙氧基矽烷(TEOS)、與類似者可用作為電漿處理或化學氣相沉積法中所用之含氟氣體。其中,使用單矽烷或四甲基矽烷可為有利者,因為反應性高而且擴散係數大。如果使用常壓電漿裝置,則使用低沸點且不可燃之四甲基矽烷。含矽氣體之流率可設定為約20sccm或更高或約50sccm或更高,以及約1000sccm或更低或約500sccm或更低。可進一步將流率為約50sccm或更高以及約5000sccm或更低之載體氣體(諸如氮、氦、或氬)包括在供應給裝置的氣流中。 矽 (SiH 4 ), tetramethyl decane (TMS), hexamethyldioxane (HMDSO), hexamethyldioxane (HMDS), tetraethoxy decane (TEOS), and the like can be used as Fluorine-containing gas used in plasma treatment or chemical vapor deposition. Among them, the use of monodecane or tetramethylnonane is advantageous because of high reactivity and a large diffusion coefficient. If a normal piezoelectric slurry device is used, a low boiling point and non-flammable tetramethyl decane is used. The flow rate of the helium-containing gas may be set to about 20 sccm or more or about 50 sccm or more, and about 1000 sccm or less or about 500 sccm or less. A carrier gas (such as nitrogen, helium, or argon) having a flow rate of about 50 sccm or more and about 5000 sccm or less may be further included in the gas stream supplied to the apparatus.

如果氧原子未包括在含矽氣體中,氧氣會添加至供應給電漿裝置之氣流中。氧氣可透過與含矽氣體分開的線路供應至電漿裝置的腔室中,或者可透過設置在腔室中的氣體噴灑頭而與含矽氣體供應為混合氣體。氧氣之流率可設定為約5sccm或更高或約10sccm或更高,以及約500sccm或更低或約300sccm或更低。在含矽氣體之流率設定為1的情況下,氧氣與含矽氣體之流率比例可設定為約0.1:1或更高、約0.2:1或更高、或約0.3:1或更高,以及約5:1或更低、約4:1或更低、或約3:1或更低。在停止供應含矽氣體後,可藉由僅供應氧氣來執行後處理,氧氣流率例如為約5sccm或更高或約10sccm或更高,以及約500sccm或更低或約300sccm或更低。 If the oxygen atoms are not included in the helium-containing gas, oxygen is added to the gas stream supplied to the plasma device. Oxygen may be supplied to the chamber of the plasma device through a line separate from the helium containing gas, or may be supplied as a mixed gas with the helium containing gas through a gas shower head disposed in the chamber. The oxygen flow rate can be set to about 5 sccm or more or about 10 sccm or more, and about 500 sccm or less or about 300 sccm or less. In the case where the flow rate of the helium-containing gas is set to 1, the flow rate ratio of oxygen to helium-containing gas may be set to be about 0.1:1 or higher, about 0.2:1 or higher, or about 0.3:1 or higher. And about 5:1 or lower, about 4:1 or lower, or about 3:1 or lower. After the supply of the helium containing gas is stopped, the post treatment may be performed by supplying only oxygen, for example, about 5 sccm or more or about 10 sccm or more, and about 500 sccm or less or about 300 sccm or less.

電漿產生所需之外加功率可基於待處理研磨材料之尺寸而定,而放電空間中之功率密度通常可選擇為約0.00003W/ cm2或更高或約0.0002W/cm2或更高,以及約10W/cm2或更低或約1W/cm2或更低。舉例而言,如果待矽處理之研磨材料的尺寸為10cm(長度)×10cm(寬度)或更小,則外加功率可設定為約1W或更高或約10W或更高,以及約300kW或更低或約30kW或更低。 The additional power required for plasma generation may be based on the size of the abrasive material to be treated, and the power density in the discharge space may generally be selected to be about 0.00003 W/cm 2 or higher or about 0.0002 W/cm 2 or higher. And about 10 W/cm 2 or lower or about 1 W/cm 2 or lower. For example, if the size of the abrasive material to be treated is 10 cm (length) x 10 cm (width) or less, the applied power can be set to about 1 W or higher or about 10 W or higher, and about 300 kW or more. Low or about 30 kW or lower.

電漿處理或化學氣相沉積法之溫度較佳為不會減損待處理材料之特性及性能與類似者之溫度,並且待處理研磨材料之表面溫度可設定為約-15℃或更高、約0℃或更高、或約15℃或更高,以及約400℃或更低、約200℃或更低、或約100℃或更低。研磨材料之表面溫度可藉由熱電偶、輻射溫度計、或接觸研磨材料之類似者來測量。 The temperature of the plasma treatment or the chemical vapor deposition method is preferably such that the characteristics and properties of the material to be treated are not impaired, and the surface temperature of the material to be treated can be set to about -15 ° C or higher. 0 ° C or higher, or about 15 ° C or higher, and about 400 ° C or lower, about 200 ° C or lower, or about 100 ° C or lower. The surface temperature of the abrasive material can be measured by a thermocouple, a radiation thermometer, or the like that contacts the abrasive material.

在使用低壓電漿裝置來執行電漿處理或化學氣相沉積法時的處理壓力可設定為約10毫托(mTorr)或更高或約20毫托或更高,以及約1500毫托或更低或約1000毫托或更低。 The treatment pressure when performing the plasma treatment or the chemical vapor deposition method using the low pressure plasma device can be set to about 10 mTorr or more or about 20 mTorr or higher, and about 1500 mTorr or Lower or about 1000 mTorr or less.

電漿處理或化學氣相沉積法之處理時間可設定為約2秒或更長、約5秒或更長、或約10秒或更長,以及約300秒或更短、約180秒或更短、或約120秒或更短。 The treatment time of the plasma treatment or chemical vapor deposition method can be set to about 2 seconds or longer, about 5 seconds or longer, or about 10 seconds or longer, and about 300 seconds or shorter, about 180 seconds or more. Short, or about 120 seconds or less.

在另一實施例中,濺鍍或真空沉積可用作為以物理氣相沉積法進行之氟化物處理。使用物理氣相沉積法之矽處理可使用標準濺鍍設備(諸如與針對氟化物處理所描述者相同之離子濺鍍設備、DC磁控濺鍍設備、RF磁控濺鍍設備、與類似者)、或標準 氣相沉積設備(諸如電阻加熱氣相沉積設備、電子束氣相沉積設備、離子鍍設備與類似者)。 In another embodiment, sputtering or vacuum deposition can be used as the fluoride treatment by physical vapor deposition. The bismuth treatment using physical vapor deposition can use standard sputtering equipment (such as the same ion sputtering equipment, DC magnetron sputtering equipment, RF magnetron sputtering equipment, and the like) as described for fluoride processing. Or standard A vapor deposition apparatus (such as a resistance heating vapor deposition apparatus, an electron beam vapor deposition apparatus, an ion plating apparatus, and the like).

矽處理之濺鍍靶材可為二氧化矽(SiO2)。當使用矽(Si)作為濺鍍靶材時,反應性濺鍍可藉由將氧氣供應至處理腔室中來執行。 The sputtering target of the ruthenium treatment may be cerium oxide (SiO 2 ). When bismuth (Si) is used as the sputtering target, reactive sputtering can be performed by supplying oxygen into the processing chamber.

濺鍍溫度可設定為約-193℃或更高或約25℃或更高,以及約600℃或更低或約1300℃或更低。 The sputtering temperature can be set to about -193 ° C or higher or about 25 ° C or higher, and about 600 ° C or lower or about 1300 ° C or lower.

濺鍍之處理壓力可設定為約1×10-5托(Torr)或更高或約1×10-3托或更高,以及約10毫托或更低或約100毫托或更低。 The processing pressure for sputtering can be set to about 1 x 10 -5 Torr or higher or about 1 x 10 -3 Torr or higher, and about 10 mTorr or lower or about 100 mTorr or lower.

濺鍍之處理時間可設定為約1秒或更長、約5秒或更長、或約10秒或更長,以及約30秒或更短、約60秒或更短、或約180秒或更短。 The processing time of the sputtering can be set to about 1 second or longer, about 5 seconds or longer, or about 10 seconds or longer, and about 30 seconds or shorter, about 60 seconds or shorter, or about 180 seconds or Shorter.

二氧化矽(SiO2)可用作為真空氣相沉積之氣相沉積源。使用電子束氣相沉積並搭配二氧化矽氣相沉積可為有利者。矽處理可藉由下列方式來執行:使用一氧化矽(SiO)作為氣相沉積源來進行氣相沉積,接著在氧化氤中執行退火氧化,然後氣相沉積一氧化矽同時將氧電漿引入氣相沉積腔室中。 Cerium oxide (SiO 2 ) can be used as a vapor deposition source for vacuum vapor deposition. It is advantageous to use electron beam vapor deposition and vapor deposition with cerium oxide. The ruthenium treatment can be carried out by using ruthenium oxide (SiO) as a vapor deposition source for vapor deposition, followed by annealing oxidation in ruthenium oxide, followed by vapor deposition of ruthenium oxide while introducing oxygen plasma. In a vapor deposition chamber.

沉積溫度可設定為約-193℃或更高或約25℃或更高,以及約600℃或更低或約1000℃或更低。 The deposition temperature can be set to about -193 ° C or higher or about 25 ° C or higher, and about 600 ° C or lower or about 1000 ° C or lower.

沉積之處理壓力可設定為約1×10-6托或更高或約1×10-5托或更高,以及約1×10-3托或更低或約1×10-2托或更低。 The processing pressure for deposition can be set to about 1 x 10 -6 Torr or higher or about 1 x 10 -5 Torr or higher, and about 1 x 10 -3 Torr or lower or about 1 x 10 -2 Torr or more. low.

沉積之處理時間可設定為約5秒或更長、約10秒或更長、或約30秒或更長,以及約120秒或更短、約600秒或更短、或約1200秒或更短。 The processing time for deposition can be set to about 5 seconds or longer, about 10 seconds or longer, or about 30 seconds or longer, and about 120 seconds or shorter, about 600 seconds or shorter, or about 1200 seconds or more. short.

在另一實施例中,原子層沉積法(ALD)可用作為矽處理。原子層沉積法包括交替提供至少兩種前驅物氣體至反應腔室中、每次沉積單層的這些前驅物氣體在結構化表面上、然後使這些前驅物氣體在結構化表面上反應。 In another embodiment, atomic layer deposition (ALD) can be used as a ruthenium treatment. The atomic layer deposition process involves alternately providing at least two precursor gases into the reaction chamber, depositing a single layer of these precursor gases on the structured surface, and then reacting the precursor gases on the structured surface.

可使用之前驅物氣體A例子包括四乙氧基矽烷、雙(三級丁氧基)(異丙氧基)矽醇、雙(異丙氧基)(三級丁氧基)矽醇、雙(三級戊氧基)(異丙氧基)矽醇、雙(異丙氧基)(三級戊氧基)矽醇、雙(三級戊氧基)(三級丁氧基)矽醇、雙(三級丁氧基)(三級戊氧基)矽醇、參(三級戊氧基)矽醇與類似者。前驅物氣體B例子包括水(H2O)、氧(O2)、臭氧(O3)、與類似者。 Examples of precursor gas that can be used include tetraethoxydecane, bis(tertiary butoxy)(isopropoxy)nonanol, bis(isopropoxy)(tertiary butoxy)nonanol, double (tris-pentyloxy)(isopropoxy)nonanol, bis(isopropoxy)(tris-pentyloxy)nonanol, bis(tertiary pentyloxy)(tertiary butoxy)sterol , bis (tertiary butoxy) (tertiary pentyloxy) decyl alcohol, ginseng (tertiary pentyloxy) decyl alcohol and the like. Examples of the precursor gas B include water (H 2 O), oxygen (O 2 ), ozone (O 3 ), and the like.

前驅物氣體A之流率可設定為約0.1sccm或更高或約1sccm或更高,以及約100sccm或更低或約1000sccm或更低。將前驅物氣體A引入反應腔室之時間可為約0.01秒或更長、或約0.1秒或更長,以及約10秒或更短、或約100秒或更短。 The flow rate of the precursor gas A can be set to about 0.1 sccm or more or about 1 sccm or more, and about 100 sccm or less or about 1000 sccm or less. The time during which precursor gas A is introduced into the reaction chamber can be about 0.01 seconds or longer, or about 0.1 seconds or longer, and about 10 seconds or less, or about 100 seconds or less.

前驅物氣體B之流率可設定為約0.1sccm或更高或約1sccm或更高,以及約100sccm或更低或約1000sccm或更低。將前驅物氣體B引入反應腔室之時間可為約0.01秒或更長、或約0.1秒或更長,以及約10秒或更短、或約100秒或更短。 The flow rate of the precursor gas B can be set to about 0.1 sccm or more or about 1 sccm or more, and about 100 sccm or less or about 1000 sccm or less. The time during which precursor gas B is introduced into the reaction chamber can be about 0.01 seconds or longer, or about 0.1 seconds or longer, and about 10 seconds or less, or about 100 seconds or less.

未反應前驅物氣體及/或反應副產物可藉由在引入前驅物氣體A與引入前驅物氣體B之間將沖洗氣體引入反應腔室來從反應腔室中沖洗出來。沖洗氣體是不會與前驅物氣體反應之惰性氣體。可使用之沖洗氣體例子包括氮氣、氦、氖、氬、及其混合物。沖洗氣體之流率例如可為約10sccm或更高、或約50sccm或更高,以及約500sccm或更低或約1000sccm或更低,並且沖洗氣體之引入時間可為約1秒或更長、或約10秒或更長以及約30秒或更短、或約60秒或更短。 The unreacted precursor gas and/or reaction by-product can be flushed out of the reaction chamber by introducing a flushing gas into the reaction chamber between the introduction of the precursor gas A and the introduction of the precursor gas B. The flushing gas is an inert gas that does not react with the precursor gas. Examples of flushing gases that can be used include nitrogen, helium, neon, argon, and mixtures thereof. The flow rate of the flushing gas may be, for example, about 10 sccm or more, or about 50 sccm or more, and about 500 sccm or less or about 1000 sccm or less, and the introduction time of the flushing gas may be about 1 second or longer, or About 10 seconds or longer and about 30 seconds or less, or about 60 seconds or less.

包括預定厚度之碳氧化矽或氧化矽的膜可藉由變化下列者來形成在結構化表面:引入前驅物氣體A與B之次數、以及前驅物氣體A與B之流率與引入時間。在引入前驅物氣體A及/或B後,前驅物氣體A與B之間的反應可藉由使用下列者來促成:熱、電漿、脈衝電漿、螺旋電漿、高密度電漿、電感耦合電漿、X射線、電子束、光子、遠程電漿、與類似者。 A film comprising a predetermined thickness of cerium oxide or cerium oxide can be formed on the structured surface by varying the number of times the precursor gases A and B are introduced, and the flow rate and introduction time of the precursor gases A and B. After the introduction of precursor gases A and/or B, the reaction between precursor gases A and B can be facilitated by the use of: heat, plasma, pulsed plasma, spiral plasma, high density plasma, inductance Coupled plasma, X-ray, electron beam, photon, remote plasma, and the like.

以此方式表面處理之結構化表面的物理性質例如可藉由接觸角、硬度、與類似者來評估。 The physical properties of the structured surface surface treated in this manner can be evaluated, for example, by contact angle, hardness, and the like.

在數個實施例中,例如在結構化表面係經氟化物處理之實施例中,經表面處理之結構化表面的水接觸角為約70°或更高、或約90°或更高,以及約120°或更低或約150°或更低。水接觸角可藉由液滴法、膨脹/收縮法、Wilhelmy法、或類似者來測定。 In several embodiments, such as in embodiments where the structured surface is fluoride treated, the surface treated structured surface has a water contact angle of about 70° or higher, or about 90° or higher, and It is about 120° or lower or about 150° or lower. The water contact angle can be measured by a droplet method, an expansion/contraction method, a Wilhelmy method, or the like.

在數個其他實施例中,例如在結構化表面係經矽處理以提供親水性表面之實施例中,經表面處理之結構化表面的水接 觸角為約0°或更高、或約10°或更高,以及約30°或更低或約45°或更低。水接觸角可藉由液滴法、膨脹/收縮法、Wilhelmy法、或類似者來測定。 In several other embodiments, such as in the embodiment where the structured surface is treated with a hydrazine to provide a hydrophilic surface, the surface treated structured surface is hydrated The antennae is about 0° or higher, or about 10° or higher, and about 30° or lower or about 45° or lower. The water contact angle can be measured by a droplet method, an expansion/contraction method, a Wilhelmy method, or the like.

在另一實施例中,經表面處理之結構化表面的硬度在換算為蕭耳硬度時為約40或更高、或約50或更高,以及約87或更低、或約97或更低。經表面處理之結構化表面的硬度例如可藉由奈米壓痕(nano indentation)法來測定。如果經表面處理之結構化表面的硬度為約50或更高(在以蕭耳硬度來計算時),則可避免相對軟之異物(諸如聚胺甲酸酯之聚合物粒子或類似者)黏著至結構化表面。 In another embodiment, the hardness of the surface treated structured surface is about 40 or higher, or about 50 or higher, and about 87 or lower, or about 97 or lower, when converted to a durness hardness. . The hardness of the surface treated structured surface can be determined, for example, by a nano indentation method. If the surface treated structured surface has a hardness of about 50 or higher (as calculated in terms of hardness), adhesion of relatively soft foreign matter (such as polyurethane polymer particles or the like) can be avoided. To the structured surface.

沉積於結構化表面之膜的組成或者已經氟化物處理或矽處理之結構化表面的改質狀態可使用X射線光電子光譜法(XPS)、或飛行時間式二次離子質譜法(TOF-SIMS)、與類似者來定性或定量評估。XPS光譜例如可使用Kratos Axis Ultra光譜儀來獲得,並且使用在相對於表面90°之電子發射極角的單色Al K α光子源。TOF-SIMS例如可使用脈衝25keV Ga+一次離子束,其已由400×400微米區域(束直徑為約1μm)來柵格化。 The composition of the film deposited on the structured surface or the modified state of the structured surface that has been fluoride treated or treated may be X-ray photoelectron spectroscopy (XPS) or time-of-flight secondary ion mass spectrometry (TOF-SIMS). Qualitative or quantitative assessment with similar people. XPS spectra can be obtained, for example, using a Kratos Axis Ultra spectrometer, and a monochromatic Al K alpha photon source at an electron emitter angle of 90° relative to the surface is used. TOF-SIMS, for example, may use a pulsed 25 keV Ga+ primary ion beam that has been rasterized from a 400 x 400 micron region (beam diameter of about 1 [mu]m).

本揭露之又一實施例提供一種包括研磨層之研磨材料,該研磨層具有結構化表面,該結構化表面組態有複數個排列於其上之三維元件,該結構化表面之至少一部分包括:(a)包括選自由稠密化氟碳化物、碳氧化矽、及氧化矽所組成之群組的材料之膜;(b)氟封端表面、或(c)其組合。 Yet another embodiment of the present disclosure provides an abrasive material comprising an abrasive layer having a structured surface configured with a plurality of three-dimensional elements disposed thereon, at least a portion of the structured surface comprising: (a) a film comprising a material selected from the group consisting of densified fluorocarbons, cerium oxide, and cerium oxide; (b) a fluorine-terminated surface, or (c) a combination thereof.

在本揭露中,「稠密化氟碳化物」係指包括用C-C鍵形成之稠密三維網絡結構的氟碳化物材料,該等C-C鍵係由於包括相對大量的四級碳原子而來。相較於交聯或非交聯標準氟聚合物,稠密化氟碳化物具有高硬度、以及極佳的耐磨性與抗異物黏著性。 In the present disclosure, "densified fluorocarbon" refers to a fluorocarbon material comprising a dense three-dimensional network structure formed by a C-C bond, which includes a relatively large number of quaternary carbon atoms. The densified fluorocarbon has high hardness, excellent wear resistance and resistance to foreign matter adhesion compared to the crosslinked or non-crosslinked standard fluoropolymer.

稠密化氟碳化物除了碳與氟外尚可包括其他原子,諸如氫、氧、氮、與類似者。在數個實施例中,稠密化氟碳化物包括約20原子%或更多、或約25原子%或更多,以及約65原子%或更少、或約60原子%或更少的碳原子,此係基於非為氫之元素的總量。在其他實施例中,稠密化氟碳化物包括約30原子%或更多、或約35原子%或更多,以及約75原子%或更少、或約70原子%或更少的碳原子,此係基於非為氫之元素的總量。此外,在數個其他實施例中,稠密化氟碳化物包括約25原子%或更多、或約30原子%或更多,以及約80原子%或更少、或約70原子%或更少的四級碳原子(鍵結至4個相鄰碳原子),此係基於非為氫之元素的總量。稠密化氟碳化物之碳原子與氟原子的原子百分比例如可藉由使用XPS來測定,而四級碳原子的原子百分比例如可藉由使用13C-NMR或類似者來測定。 The densified fluorocarbon may include other atoms in addition to carbon and fluorine, such as hydrogen, oxygen, nitrogen, and the like. In several embodiments, the densified fluorocarbon comprises about 20 atomic percent or more, or about 25 atomic percent or more, and about 65 atomic percent or less, or about 60 atomic percent or less of carbon atoms. This is based on the total amount of elements other than hydrogen. In other embodiments, the densified fluorocarbon comprises about 30 atomic percent or more, or about 35 atomic percent or more, and about 75 atomic percent or less, or about 70 atomic percent or less of carbon atoms, This is based on the total amount of elements that are not hydrogen. Moreover, in several other embodiments, the densified fluorocarbons comprise about 25 atomic percent or more, or about 30 atomic percent or more, and about 80 atomic percent or less, or about 70 atomic percent or less. A quaternary carbon atom (bonded to 4 adjacent carbon atoms) based on the total amount of elements other than hydrogen. The atomic percentage of the carbon atom to the fluorine atom of the dense fluorocarbon can be determined, for example, by using XPS, and the atomic percentage of the quaternary carbon atom can be determined, for example, by using 13C-NMR or the like.

碳氧化矽是一種包括矽、氧、與碳之化合物,但可能不包括三維元件其他原子如氫、氮、與類似者。碳氧化矽堅硬而且具有極佳耐磨性、抗異物黏著性、與類似者,並且可藉由改變組成而使其具有親水性或疏水性。在數個實施例中,碳氧化矽含有約 10原子%或更多、或約15原子%或更多,以及約90原子%或更少、或約80原子%或更少的矽原子,此係基於非為氫之元素的總量。在數個其他實施例中,碳氧化矽含有約5原子%或更多、或約10原子%或更多,以及約80原子%或更少、或約70原子%或更少的氧原子,此係基於非為氫之元素的總量。此外,在數個其他實施例中,碳氧化矽含有約1原子%或更多、或約5原子%或更多,以及約90原子%或更少、或約80原子%或更少的碳原子,此係基於非為氫之元素的總量。碳氧化矽中之矽原子、氧原子、與碳原子的原子百分比可使用XPS、TOF-SIOMS、與類似者來測定。 Cerium ruthenate is a compound including ruthenium, oxygen, and carbon, but may not include three-dimensional elements such as hydrogen, nitrogen, and the like. Carbonium oxide is hard and has excellent wear resistance, resistance to foreign matter adhesion, and the like, and can be made hydrophilic or hydrophobic by changing the composition. In several embodiments, the cerium oxycarbide contains about 10 atom% or more, or about 15 atom% or more, and about 90 atom% or less, or about 80 atom% or less of a germanium atom, based on the total amount of elements other than hydrogen. In several other embodiments, the cerium oxycarbide contains about 5 atomic % or more, or about 10 atomic % or more, and about 80 atomic % or less, or about 70 atomic % or less of oxygen atoms, This is based on the total amount of elements that are not hydrogen. Moreover, in several other embodiments, the cerium oxycarbide contains about 1 atomic percent or more, or about 5 atomic percent or more, and about 90 atomic percent or less, or about 80 atomic percent or less of carbon. Atom, which is based on the total amount of elements that are not hydrogen. The atomic percentage of the ruthenium atom, the oxygen atom, and the carbon atom in the ruthenium carbon oxide can be measured using XPS, TOF-SIOMS, and the like.

氧化矽是一種包括矽與氧之化合物,但可能不包括其他原子如氫、氮、與類似者(不包括碳)。氧化矽(尤其是在一端上具有Si-O-H鍵之氧化矽)通常具有親水性,並且可有效防止疏水性材料黏著至結構化表面。在數個實施例中,氧化矽含有約30原子%或更多、或約33原子%或更多,以及約55原子%或更少、或約50原子%或更少的矽原子,此係基於非為氫之元素的總量。在數個其他實施例中,碳氧化矽含有約45原子%或更多、或約50原子%或更多,以及約70原子%或更少、或約67原子%或更少的氧原子,此係基於非為氫之元素的總量。氧化矽中之矽原子與氧原子的原子百分比可使用XPS、TOF-SIOMS、與類似者來測定。 Cerium oxide is a compound that includes helium and oxygen, but may not include other atoms such as hydrogen, nitrogen, and the like (excluding carbon). Cerium oxide (especially cerium oxide having a Si-O-H bond at one end) is generally hydrophilic and is effective in preventing the hydrophobic material from adhering to the structured surface. In several embodiments, the cerium oxide contains about 30 atomic % or more, or about 33 atomic % or more, and about 55 atomic % or less, or about 50 atomic % or less of germanium atoms. Based on the total amount of elements that are not hydrogen. In several other embodiments, the cerium oxycarbide contains about 45 atomic % or more, or about 50 atomic % or more, and about 70 atomic % or less, or about 67 atomic % or less of oxygen atoms, This is based on the total amount of elements that are not hydrogen. The atomic percentage of the ruthenium atom and the oxygen atom in the ruthenium oxide can be determined using XPS, TOF-SIOMS, and the like.

包括稠密化氟碳化物、碳氧化矽、與氧化矽之膜的厚度通常為約0.05nm或更大、或約0.5nm或更大、以及約200 μm或更小、或約150μm或更小。膜厚度可使用XPS、TOF-SIOMS、與類似者來測定。 The thickness of the film including the dense fluorocarbon, cerium oxycarbide, and cerium oxide is usually about 0.05 nm or more, or about 0.5 nm or more, and about 200. Mm or less, or about 150 μm or less. The film thickness can be measured using XPS, TOF-SIOMS, and the like.

氟封端之結構化表面的氟原子密度通常為約1×1013cm-2或更高、或約5×1013cm-2或更高以及約5×1015cm-2或更低、或約3×1015cm-2或更低。結構化表面之氟原子密度可使用XPS、TOF-SIOMS、與類似者來測定。 The fluorine-terminated structured surface typically has a fluorine atom density of about 1 x 10 13 cm -2 or higher, or about 5 x 10 13 cm -2 or higher, and about 5 x 10 15 cm -2 or lower. Or about 3 × 10 15 cm -2 or lower. The fluorine atom density of the structured surface can be determined using XPS, TOF-SIOMS, and the like.

本揭露之研磨材料可用於各式應用,諸如粗拋光、去角、與精拋光各式表面(諸如半導體晶圓、磁性記錄媒體、玻璃板、透鏡、稜鏡、汽車烤漆、光纖連接器末端表面、與類似者),以及用於其他拋光工具之修整與類似者。將本揭露之研磨材料用於使用研磨漿液之應用亦可為有利者。 The abrasive materials disclosed herein can be used in a variety of applications, such as rough polishing, chamfering, and fine polishing of various surfaces (such as semiconductor wafers, magnetic recording media, glass plates, lenses, enamels, automotive paints, fiber optic connector end surfaces). And similar, as well as trimming and similar for other polishing tools. It may also be advantageous to use the abrasive materials disclosed herein for applications using abrasive slurries.

實例Instance

在下列實例中,本揭露之具體實施例會加以例示,但本發明不受這些實施例所侷限。除非另有指明,所有「份數」與「百分比」皆基於重量。 In the following examples, the specific embodiments of the present disclosure are exemplified, but the present invention is not limited by these examples. All "parts" and "percents" are based on weight unless otherwise indicated.

1. CMP修整測試 1. CMP dressing test

在實例1與實例2以及比較例1與比較例2中,五個碟形研磨材料(直徑為11mm且厚度為3mm)係以等間隔黏著在不鏽鋼碟形基底材料(直徑為110mm且厚度為5mm)的周緣上,並且距中心的距離為43mm,接著用作為CMP修整。碟形研 磨材料具有帶有結構化表面之碳化矽主體層,其結構化表面具有周期排列之正方形錐體(角錐體),正方形錐體之底邊長度為360μm而高度為160μm,並且正方形錐體之底部係互相接觸。鑽石層係塗覆在碳化矽主體層上。 In Examples 1 and 2 and Comparative Examples 1 and 2, five dish-shaped abrasive materials (11 mm in diameter and 3 mm in thickness) were adhered to the stainless steel dish-shaped base material at equal intervals (diameter 110 mm and thickness 5 mm). On the circumference of the circumference, and the distance from the center is 43 mm, and then used as a CMP trim. Dish The abrasive material has a ruthenium carbide body layer with a structured surface, the structured surface having a periodically arranged square cone (corner cone) having a base side length of 360 μm and a height of 160 μm and a bottom of the square cone They are in contact with each other. The diamond layer is coated on the body layer of the tantalum carbide.

研磨材料之結構化表面係使用批式電容耦合式電漿裝置WB 7000(Plasma Therm Industrial Products,Inc.)來進行氟化物處理(實例1)或矽處理(實例2)。比較例1之結構化表面係用氟聚合物塗覆膜來形成(藉由將塗覆溶液施用至結構化表面上),塗覆溶液係藉由將氟聚合物3M(註冊商標)Novec(註冊商標)EGC 1720(由3M所生產)用溶劑Novec(註冊商標)7100(由3M所生產)溶解使得固體分率為0.1質量%而製成。比較例2係未經處理(對照測試)。實例1與實例2之詳細處理條件係呈現於表1中。 The structured surface of the abrasive material was subjected to fluoride treatment (Example 1) or hydrazine treatment (Example 2) using a batch capacitive coupled plasma apparatus WB 7000 (Plasma Therm Industrial Products, Inc.). The structured surface of Comparative Example 1 was formed by coating a fluoropolymer coating film (by applying a coating solution onto a structured surface) by coating a fluoropolymer 3M (registered trademark) Novec (registered trademark) The trademark) EGC 1720 (manufactured by 3M) was prepared by dissolving a solvent Novec (registered trademark) 7100 (manufactured by 3M) so that the solid fraction was 0.1% by mass. Comparative Example 2 was untreated (control test). The detailed processing conditions of Examples 1 and 2 are presented in Table 1.

將實例1與實例2以及比較例1與比較例2之研磨材料貼附至一碟盤上然後擺放於Buehler(註冊商標)EcoMet(註冊商標)4000(由Buehler所生產)中。將水供應至拋光系統以取代CMP漿液。CMP修整測試係使用胺甲酸酯泡沫墊ICE 1000墊(Dow之產品)執行1小時,並且向下力為5kgf(每研磨材料1kgf)且旋轉速度為150RPM(disk)/10rpm(胺甲酸酯墊),接著使碟盤在水浴中浸沒5分鐘以模擬標準複合處理,使研磨材料之結構化表面面向下方並自然乾燥,接著使用光學顯微鏡(放大300倍)觀察結構化表面以檢查是否有外來材料(胺甲酸酯粒子)累積 (圖4)。在實例1與實例2的情況下,幾乎沒有胺甲酸酯粒子累積,並且觀察到顯著改善(相較於比較例2)。比較例1即使比起比較例2仍具有大量的聚胺甲酸酯粒子累積。 The abrasive materials of Examples 1 and 2 and Comparative Example 1 and Comparative Example 2 were attached to a disk and placed in a Buehler (registered trademark) EcoMet (registered trademark) 4000 (manufactured by Buehler). Water is supplied to the polishing system to replace the CMP slurry. The CMP dressing test was performed using a urethane foam pad ICE 1000 pad (Dow product) for 1 hour, and a downward force of 5 kgf (1 kgf per abrasive) and a rotational speed of 150 RPM (disk)/10 rpm (urethane) Pad), then immersing the dish in a water bath for 5 minutes to simulate a standard compounding process, with the structured surface of the abrasive material facing down and drying naturally, then observing the structured surface using an optical microscope (magnification 300 times) to check for foreign objects Material (aminoformate particles) accumulation (Figure 4). In the case of Example 1 and Example 2, almost no urethane particles were accumulated, and a significant improvement was observed (compared to Comparative Example 2). Comparative Example 1 had a large amount of polyurethane particle accumulation even compared to Comparative Example 2.

接下來,使用水超音波清洗研磨材料,然後使用光學顯微鏡(放大1500倍)仔細觀察實例1與2之結構化表面。實例1中並未觀察到表面損傷,但實例2中矽膜有部分剝落。 Next, the abrasive material was washed with water ultrasonic waves, and then the structured surfaces of Examples 1 and 2 were carefully observed using an optical microscope (magnification 1500 times). No surface damage was observed in Example 1, but the enamel film was partially peeled off in Example 2.

2.汽車烤漆拋光測試 2. Car paint polishing test

在實例3至實例5以及比較例3中,下列研磨材料A至研磨材料C係用作為拋光墊以用於去除汽車烤漆表面上的微觀凸起。 In Examples 3 to 5 and Comparative Example 3, the following abrasive materials A to A were used as polishing pads for removing microscopic projections on the surface of the automobile paint.

研磨材料A:Trizact(註冊商標)膜碟捲466 LA-A5(由3M所生產,相當於粒度大小#3000) Abrasive material A: Trizact (registered trademark) film disc roll 466 LA-A5 (produced by 3M, equivalent to particle size #3000)

研磨材料B:Trizact(註冊商標)膜碟捲466 LA-A3(由3M所生產,相當於粒度大小#4000) Abrasive material B: Trizact (registered trademark) film disc roll 466 LA-A3 (produced by 3M, equivalent to particle size #4000)

研磨材料C:Trizact(註冊商標)鑽石碟662 XA(由Sumitomo 3M所生產) Abrasive material C: Trizact (registered trademark) Diamond Disc 662 XA (manufactured by Sumitomo 3M)

研磨材料A至研磨材料C之結構化表面係使用批式電容耦合式電漿裝置WB 7000(Plasma Therm Industrial Products,Inc.)來進行氟化物處理(實例3)或矽處理(實例4與5)。比較例3係未經處理(對照測試)。實例3至實例5之詳細處理條件係呈現於表1中。 The structured surface of the abrasive material A to the abrasive material C was subjected to fluoride treatment (Example 3) or hydrazine treatment (Examples 4 and 5) using a batch capacitive coupling plasma apparatus WB 7000 (Plasma Therm Industrial Products, Inc.). . Comparative Example 3 was untreated (control test). The detailed processing conditions of Examples 3 through 5 are presented in Table 1.

將黏著劑片施用至經表面處理或未經處理之研磨材料A至研磨材料C的背面,然後壓合出直徑為32mm之碟盤。將烤漆板(將黑色漆與透明漆(由Nippon Paint所生產之LX Clear)塗覆至防蝕鋼板上而成)附接至能夠在一個水平方向操作砂磨機的裝置,並且將研磨材料A至研磨材料C之一貼附至具備3mm軌道式移動的3M(註冊商標)拋光砂磨機3125(由3M所生產)的拋光表面,施加1kgf的負載同時以約5000rpm旋轉,然後將烤漆板表面以1m/分鐘之速度來回拋光20cm的距離5次。在拋光後,目視觀察已黏著至研磨材料A至研磨材料C之表面的研磨粉末量,並且其結果係以圖5A中的全景圖、以及圖5B中的光學顯微圖(放大300倍)來顯示。黏著至已經矽處理研磨材料A至研磨材料C之結構化表面的研磨粉末,其最小量係出現在實例4。 The adhesive sheet was applied to the surface of the surface-treated or untreated abrasive material A to the abrasive material C, and then pressed to a disc having a diameter of 32 mm. Applying a baking sheet (applied to a black lacquer and a clear lacquer (LX Clear manufactured by Nippon Paint) to an anti-corrosive steel sheet) attached to a device capable of operating the sander in one horizontal direction, and grinding the material A to One of the abrasive materials C was attached to a polished surface of a 3M (registered trademark) polishing sander 3125 (manufactured by 3M) having a 3 mm orbital movement, and a load of 1 kgf was applied while rotating at about 5000 rpm, and then the surface of the baking varnish was The distance of 1 m/min was polished back and forth by a distance of 20 cm 5 times. After polishing, the amount of the abrasive powder adhered to the surface of the abrasive material A to the abrasive material C was visually observed, and the result was obtained by the panoramic view in Fig. 5A and the optical micrograph in Fig. 5B (magnification 300 times). display. The minimum amount of abrasive powder adhered to the structured surface of the abrasive material A to the abrasive material C has been applied to Example 4.

接下來,用水清洗研磨材料A至研磨材料C然後藉由光學顯微鏡觀察其結構化表面(放大300倍)(圖5C)。相較於比較例3,實例3至實例5全都展現出有利的清潔性質,而經矽處理之實例4與實例5甚至展現出更有利之清潔性質。對於汽車烤漆拋光應用而言,研磨材料之表面通常在拋光數次後即會用水清洗,因此具有有利清洗性質之研磨材料對於此應用極為有利。 Next, the abrasive A was washed with water to the abrasive C and its structured surface was observed by an optical microscope (magnification 300 times) (Fig. 5C). Both Examples 3 through 5 exhibited advantageous cleaning properties compared to Comparative Example 3, while Examples 4 and 5 of the ruthenium treatment exhibited even more favorable cleaning properties. For automotive paint polishing applications, the surface of the abrasive material is typically washed with water after several times of polishing, so abrasive materials with advantageous cleaning properties are highly advantageous for this application.

3.玻璃板表面拋光測試 3. Glass plate surface polishing test

在實例6與實例7以及比較例4中,Trizact(註冊商標)鑽石板墊9μm(由3M所生產)係用作為拋光墊以用於拋光玻璃板表面。 In Example 6 and Example 7 and Comparative Example 4, a Trizact (registered trademark) diamond plate pad of 9 μm (manufactured by 3M) was used as a polishing pad for polishing the surface of the glass plate.

拋光墊之結構化表面係使用批式電容耦合式電漿裝置WB 7000(Plasma Therm Industrial Products,Inc.)來進行氟化物處理(實例6)或矽處理(實例8)。比較例4係未經處理(對照測試)。實例6與實例7之詳細處理條件係呈現於表1中。 The structured surface of the polishing pad was subjected to fluoride treatment (Example 6) or hydrazine treatment (Example 8) using a batch capacitive coupled plasma apparatus WB 7000 (Plasma Therm Industrial Products, Inc.). Comparative Example 4 was untreated (control test). The detailed processing conditions of Example 6 and Example 7 are presented in Table 1.

將實例6與實例7以及比較例4之研磨墊貼附至一碟盤上然後擺放於Buehler(註冊商標)EcoMet(註冊商標)4000(由Buehler所生產)中。將LA-20 5%水溶液(由Neos所生產)施加至拋光系統中以作為拋光溶液。將Aoita Glass(由Asahi Glass所生產)在下列條件下拋光150分鐘:負載為80N,上板旋轉速度為60rpm,而下板旋轉速度為450rpm。拋光期間並未執行拋光墊之結構化表面的清潔。 The polishing pads of Example 6 and Example 7 and Comparative Example 4 were attached to a dish and placed in Buehler (registered trademark) EcoMet (registered trademark) 4000 (manufactured by Buehler). A LA-20 5% aqueous solution (manufactured by Neos) was applied to the polishing system as a polishing solution. Aoita Glass (manufactured by Asahi Glass) was polished for 150 minutes under the following conditions: a load of 80 N, an upper plate rotation speed of 60 rpm, and a lower plate rotation speed of 450 rpm. Cleaning of the structured surface of the polishing pad was not performed during polishing.

在拋光後,將拋光墊置於在60℃下之烘箱中以蒸發拋光溶液。測量拋光墊在乾燥後之重量(W1)。接下來,將拋光墊用水清洗、置於在60℃下之烘箱中、然後乾燥。測量拋光墊在乾燥後之重量(W2)。以下列公式計算黏著的研磨粉末量:W2-W1,並且實例6之值為210mg,而實例7為110mg,但比較例4為250mg。相較於比較例4,實例6與實例7皆展現出有利的清潔性質,而經矽處理之實例7甚至展現出更有利之清潔性質。 After polishing, the polishing pad was placed in an oven at 60 ° C to evaporate the polishing solution. The weight (W 1 ) of the polishing pad after drying was measured. Next, the polishing pad was washed with water, placed in an oven at 60 ° C, and then dried. The weight (W 2 ) of the polishing pad after drying was measured. The amount of the adhered abrasive powder was calculated by the following formula: W 2 - W 1 , and the value of Example 6 was 210 mg, and Example 7 was 110 mg, but Comparative Example 4 was 250 mg. Both Example 6 and Example 7 exhibited advantageous cleaning properties compared to Comparative Example 4, while Example 7 of the ruthenium treatment exhibited even more favorable cleaning properties.

10‧‧‧研磨材料 10‧‧‧Abrasive materials

11‧‧‧研磨層 11‧‧‧Abrasive layer

12‧‧‧三維元件 12‧‧‧3D components

13‧‧‧主體層 13‧‧‧ body layer

14‧‧‧表面塗層 14‧‧‧Surface coating

Claims (9)

一種包含研磨層之研磨材料,該研磨層具有結構化表面,該結構化表面帶有複數個排列於其上之三維元件,選自由氟化物處理及矽處理所組成之群組的表面處理係執行於該結構化表面之至少一部分上,並且該氟化物處理係選自由電漿處理、化學氣相沉積、物理氣相沉積、及氟氣處理所組成之群組。 An abrasive material comprising an abrasive layer having a structured surface having a plurality of three-dimensional elements arranged thereon, the surface treatment system selected from the group consisting of fluoride treatment and hydrazine treatment On at least a portion of the structured surface, and the fluoride treatment is selected from the group consisting of plasma treatment, chemical vapor deposition, physical vapor deposition, and fluorine gas treatment. 如請求項1之研磨材料,其中該複數個三維元件係周期排列於該結構化表面上。 The abrasive material of claim 1, wherein the plurality of three-dimensional elements are periodically arranged on the structured surface. 如請求項1或2之研磨材料,其中該矽處理係選自由電漿處理、化學氣相沉積、物理氣相沉積、及原子層沉積所組成之群組。 The abrasive material of claim 1 or 2, wherein the ruthenium treatment is selected from the group consisting of plasma treatment, chemical vapor deposition, physical vapor deposition, and atomic layer deposition. 如請求項1至3中任一項之研磨材料,其中該研磨層包括包含碳化矽之主體層與包含類鑽石碳且提供在該主體層之至少一部分上的表面塗層。 The abrasive material of any one of claims 1 to 3, wherein the abrasive layer comprises a body layer comprising tantalum carbide and a surface coating comprising diamond-like carbon and provided on at least a portion of the body layer. 如請求項1至3中任一項之研磨材料,其中該研磨層包含研磨粒子與黏結劑。 The abrasive material of any one of claims 1 to 3, wherein the abrasive layer comprises abrasive particles and a binder. 如請求項1至5中任一項之研磨材料,其中該複數個三維元件具有選自由下列者所組成之群組的形狀:圓柱體、橢圓柱體、稜柱體、半球體、半橢球體、圓錐體、角錐體、截圓錐體、截角錐體、四坡頂體(hipped roof)形狀、及其組合。 The abrasive material of any one of claims 1 to 5, wherein the plurality of three-dimensional elements have a shape selected from the group consisting of: a cylinder, an elliptical cylinder, a prism, a hemisphere, a semi-ellipsoid, Cones, pyramids, truncated cones, truncated cones, hipped roof shapes, and combinations thereof. 一種製造研磨材料之方法,其包含:提供包含研磨層之研磨材料,該研磨層具有結構化表面,該結構化表面經結構設計有複數個排列於其上之三維元件;以及於該研磨材料之該結構化表面的至少一部分上執行選自由氟化物處理及矽處理所組成之群組的表面處理;該氟化物處理係選自由電漿處理、化學氣相沉積、物理氣相 沉積、及氟氣處理所組成之群組。 A method of making an abrasive material, comprising: providing an abrasive material comprising an abrasive layer, the abrasive layer having a structured surface, the structured surface being structurally designed with a plurality of three-dimensional elements disposed thereon; and the abrasive material Performing a surface treatment selected from the group consisting of fluoride treatment and hydrazine treatment on at least a portion of the structured surface; the fluoride treatment is selected from the group consisting of plasma treatment, chemical vapor deposition, and physical vapor phase A group consisting of sedimentation and fluorine gas treatment. 如請求項7之方法,其中該矽處理係選自由電漿處理、化學氣相沉積、物理氣相沉積、及原子層沉積所組成之群組。 The method of claim 7, wherein the hydrazine treatment is selected from the group consisting of plasma treatment, chemical vapor deposition, physical vapor deposition, and atomic layer deposition. 一種具有研磨層之研磨材料,該研磨層帶有結構化表面,該結構化表面經結構設計有複數個排列於其上之三維元件,該結構化表面之至少一部分包含:(a)包含選自由稠密化氟碳化物、碳氧化矽、及氧化矽所組成之群組的材料之膜;(b)氟封端表面、或(c)其組合。 An abrasive material having an abrasive layer, the abrasive layer having a structured surface, the structured surface being structurally designed with a plurality of three-dimensional elements disposed thereon, at least a portion of the structured surface comprising: (a) comprising selected from a film of a material of a group consisting of densified fluorocarbons, cerium oxide, and cerium oxide; (b) a fluorine-terminated surface, or (c) a combination thereof.
TW104102413A 2014-01-24 2015-01-23 Abrasive material having a structured surface TW201538272A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201461931136P 2014-01-24 2014-01-24

Publications (1)

Publication Number Publication Date
TW201538272A true TW201538272A (en) 2015-10-16

Family

ID=53681879

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104102413A TW201538272A (en) 2014-01-24 2015-01-23 Abrasive material having a structured surface

Country Status (6)

Country Link
US (1) US20170008143A1 (en)
JP (1) JP2017503670A (en)
KR (1) KR20160114627A (en)
CN (1) CN106413986A (en)
TW (1) TW201538272A (en)
WO (1) WO2015112540A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI649775B (en) * 2018-01-02 2019-02-01 台灣積體電路製造股份有限公司 Ion implanter and method of manufacturing chamber of ion implanter
TWI791028B (en) * 2017-07-11 2023-02-01 美商3M新設資產公司 Abrasive articles including conformable coatings and polishing system therefrom
TWI803498B (en) * 2017-07-11 2023-06-01 美商3M新設資產公司 Abrasive articles including conformable coatings and polishing system therefrom
US12043785B2 (en) 2018-07-05 2024-07-23 3M Innovative Properties Company Abrasive articles including conformable coatings and polishing system therefrom

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5921790B1 (en) * 2014-07-07 2016-05-24 バンドー化学株式会社 Polishing film
CN109415584B (en) * 2016-06-30 2022-06-03 3M创新有限公司 Fluorocarbon release coatings
JP6925699B2 (en) * 2016-10-04 2021-08-25 株式会社ディスコ Surface grinding wheel
JP7300441B2 (en) * 2017-07-11 2023-06-29 スリーエム イノベイティブ プロパティズ カンパニー Abrasive articles containing conformable coatings and polishing systems therefrom
CN110065011A (en) * 2018-01-23 2019-07-30 项刚 Skive and preparation method thereof
US11331767B2 (en) 2019-02-01 2022-05-17 Micron Technology, Inc. Pads for chemical mechanical planarization tools, chemical mechanical planarization tools, and related methods
CN110530313B (en) * 2019-07-26 2021-05-28 西安交通大学 Cross-magnitude multi-scale line width standard and preparation method thereof
US20210040608A1 (en) * 2019-08-05 2021-02-11 GM Global Technology Operations LLC Method for bonding a polymeric material to a substrate
TWI761921B (en) 2019-10-30 2022-04-21 南韓商Skc索密思股份有限公司 Polishing pad, process for preparing the same, and process for preparing a semiconductor device using the same
KR102298114B1 (en) * 2019-11-05 2021-09-03 에스케이씨솔믹스 주식회사 Polishing pad, preparation method thereof, and preparation method of semiconductor device using same
KR102287923B1 (en) * 2019-10-30 2021-08-09 에스케이씨솔믹스 주식회사 Polishing pad, preparation method thereof, and preparation method of semiconductor device using same
US20220178017A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Cfx layer to protect aluminum surface from over-oxidation
TWI779728B (en) * 2021-07-20 2022-10-01 大陸商廈門佳品金剛石工業有限公司 Diamond dressing disc and manufacturing method thereof
CN116652825B (en) * 2023-07-24 2023-11-10 北京寰宇晶科科技有限公司 Diamond CMP polishing pad trimmer and preparation method thereof

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6015597A (en) * 1997-11-26 2000-01-18 3M Innovative Properties Company Method for coating diamond-like networks onto particles
US6458018B1 (en) 1999-04-23 2002-10-01 3M Innovative Properties Company Abrasive article suitable for abrading glass and glass ceramic workpieces
JP4519970B2 (en) 1999-12-21 2010-08-04 スリーエム イノベイティブ プロパティズ カンパニー Polishing material in which the polishing layer has a three-dimensional structure
US6821189B1 (en) * 2000-10-13 2004-11-23 3M Innovative Properties Company Abrasive article comprising a structured diamond-like carbon coating and method of using same to mechanically treat a substrate
US20050025973A1 (en) 2003-07-25 2005-02-03 Slutz David E. CVD diamond-coated composite substrate containing a carbide-forming material and ceramic phases and method for making same
US8080073B2 (en) * 2007-12-20 2011-12-20 3M Innovative Properties Company Abrasive article having a plurality of precisely-shaped abrasive composites
CN101925441B (en) * 2007-12-31 2013-08-14 3M创新有限公司 Plasma treated abrasive article and method of making same

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI791028B (en) * 2017-07-11 2023-02-01 美商3M新設資產公司 Abrasive articles including conformable coatings and polishing system therefrom
TWI803498B (en) * 2017-07-11 2023-06-01 美商3M新設資產公司 Abrasive articles including conformable coatings and polishing system therefrom
TWI649775B (en) * 2018-01-02 2019-02-01 台灣積體電路製造股份有限公司 Ion implanter and method of manufacturing chamber of ion implanter
US12043785B2 (en) 2018-07-05 2024-07-23 3M Innovative Properties Company Abrasive articles including conformable coatings and polishing system therefrom

Also Published As

Publication number Publication date
WO2015112540A1 (en) 2015-07-30
US20170008143A1 (en) 2017-01-12
CN106413986A (en) 2017-02-15
JP2017503670A (en) 2017-02-02
KR20160114627A (en) 2016-10-05

Similar Documents

Publication Publication Date Title
TW201538272A (en) Abrasive material having a structured surface
US5921856A (en) CVD diamond coated substrate for polishing pad conditioning head and method for making same
US6054183A (en) Method for making CVD diamond coated substrate for polishing pad conditioning head
US20130225052A1 (en) "cmp pad conditioner and method for manufacturing the same"
TW200948533A (en) Non-planar CVD diamond-coated CMP pad conditioner and method for manufacturing
US20050276979A1 (en) CVD diamond-coated composite substrate containing a carbide-forming material and ceramic phases and method for making same
US20110081848A1 (en) Grinding tool and method of manufacturing the grinding tool
KR101430580B1 (en) CMP Pad conditioner
TW200848207A (en) Lapping carrier and method
CN111279455A (en) Method for producing plasma-resistant coating film and plasma-resistant member formed thereby
JP2008073825A (en) Cmp conditioner and its manufacturing method
Kim et al. Novel CVD diamond-coated conditioner for improved performance in CMP processes
JP5626395B2 (en) Water droplet holding sheet
TWI791028B (en) Abrasive articles including conformable coatings and polishing system therefrom
WO2001034346A1 (en) Chemical mechanical polishing apparatus components with enhanced corrosion resistance
US20170226640A1 (en) Substrate with amorphous, covalently-bonded layer and method of making the same
KR101211138B1 (en) Conditioner for soft pad and method for producing the same
JP5839162B2 (en) Chemical mechanical polishing pad and chemical mechanical polishing method
KR101233239B1 (en) Recycling method of CMP pad conditioner having end of life and recycled CMP pad conditioner treated thereby
JP5082116B2 (en) Method for manufacturing non-metallic carrier for holding object to be polished
KR101182187B1 (en) Cmp pad conditioner and method of manufacturing the same
US20140251952A1 (en) Surface modified polishing pad
KR101284047B1 (en) Cmp pad conditioner and method of manufacturing the same