CN106413986A - Abrasive material having a structured surface - Google Patents

Abrasive material having a structured surface Download PDF

Info

Publication number
CN106413986A
CN106413986A CN201580005532.7A CN201580005532A CN106413986A CN 106413986 A CN106413986 A CN 106413986A CN 201580005532 A CN201580005532 A CN 201580005532A CN 106413986 A CN106413986 A CN 106413986A
Authority
CN
China
Prior art keywords
abrasive material
less
bigger
patterned surface
elements
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201580005532.7A
Other languages
Chinese (zh)
Inventor
南秀树
渡濑稔彦
中村阳子
增田祥
增田祥一
服部二郎
M·M·戴维
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
3M Innovative Properties Co
Original Assignee
3M Innovative Properties Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 3M Innovative Properties Co filed Critical 3M Innovative Properties Co
Publication of CN106413986A publication Critical patent/CN106413986A/en
Pending legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • B24B37/26Lapping pads for working plane surfaces characterised by the shape of the lapping pad surface, e.g. grooved

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Polishing Bodies And Polishing Tools (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)

Abstract

To provide an abrasive material having a structured surface that is excellent in preventing adhesion and accumulation of foreign objects, and a manufacturing method thereof. The abrasive material of an embodiment of the present disclosure is an abrasive material having an abrasive layer with a structured surface with a plurality of three-dimensional elements arranged thereon, a surface treatment selected from the group consisting of fluoride treatment and silicon treatment being performed on at least a portion of the structured surface, and the fluoride treatment being selected from the group consisting of plasma treatment, chemical vapor deposition, physical vapor deposition, and fluorine gas treatment.

Description

There is the abrasive material of patterned surface
Technical field
It relates to having the abrasive material of patterned surface.Specifically, it relates to including abrasive material The abrasive material of layer, abrasive material has the patterned surface of surface treatment.
Background technology
Abrasive material is widely used in the rough polishing on various surfaces, chamfering, finally polishing etc., and surface is such as Semiconductor wafer, magnetic recording medium, glass plate, lens, prism, automotive paint surface, optical fiber Connector end surface etc..
For example, in chemically mechanical polishing (CMP) method of semiconductor wafer, using inclusion abrasive material Abrasive material (also referred to as conditioner or reshaper disk), this abrasive material has and is systematically provided with multiple three The patterned surface of dimension element, such as has the three-D elements of pyramid shape, hemispherical etc., thus Achieve the purpose of the rough polishing (also referred to as repair or nurse one's health) of polishing pad.CMP method includes passing through The slurry including abrasive grain is provided to carry out CMP between polishing pad and semiconductor wafer.Conditioner Including being coated with the silicon carbide layer as abrasive material for the monoblock type diamond layer, and it is attached to and for example props up Tray or ring.Abrasive material makes the surface of polishing pad roughening, and eliminates the slagging scorification of pad interface. CMP method is so stabilized.This inclusion has the excellent of the conditioner of the abrasive material of patterned surface Point is, compared to other routine conditioners, semiconductor wafer surface does not occur by the abrasive material coming off The big cut that particle causes, conventional conditioner has the abrasive grain of the diamond particles of such as cohesion, These particles pass through nickel plating, welding, sintering etc. and adhere on matrix material.
Have patterned surface abrasive material be additionally operable to surface polishing liquid crystal display manufacture etc. in used Big glass plate, the rough polishing for end surface of optical fiber connector, automotive paint surface etc. and final throwing Light.For example, using a kind of abrasive material, wherein abrasive material includes the diamond particles of such as cohesion, oxidation The carbamic acid acrylate of the abrasive grain of aluminium, carborundum, cerium oxide etc. and such as solidification, ring The binding agent of oxygen tree fat etc..Abrasive material contacts the part of polished object in rough polishing or final polishing Period depends on the hardness of polished object and is worn, and new abrasive grain is exposed to structuring On surface.For example, if be polished to the polished object of the hardness with glass plate etc., that Abrasive material is generally worn during polishing.On the other hand, if all to the surface with soft As carried out using the automotive paint surface of acrylic resin, carbamic acid resin etc. in outermost layer Polishing, then abrasive material may not be by noticeable wear.
Patent file 1 (international publication WO 2005-012592) describes:A () matrix material, has Including following surface (1) first phase, the ceramic material containing at least one type, and (2) second phases, Carbide including at least one type forms material;And (b) cvd diamond coating composite material, Including the chemical gas-phase deposition of diamond coating at least a portion being arranged on substrate material surface.
Patent file 2 Japan translation of PCT application 2002-542057 of announcement () describes " a kind of preferable abrasive product for polished glass or glass ceramic workpieces, including back lining materials with extremely A few three-dimensional abrasive coating being bonded on back lining materials surface, wherein abrasive coating include by disperseing Binding agent that the binder precursor of the solidification of multiple diamond bead abrasive grains is formed and account for abrasive coating The filler of about 40 to about 60 weight %.”
Patent file 3 (Japanese Unexamined Patent Application announces 2001-179640) describes " one Plant the abrasive material for Analysis of Optical Fiber Connector End-face in Polishing being become predetermined shape, this abrasive material includes:Matrix material With the abrasive material being arranged on matrix material, wherein abrasive material has and makees including abrasive grain and binding agent For the abrasive composites of component, and wherein abrasive material has by multiple systematicness settings of predetermined shape Solid-state components construction space structure.”
Reference documents
Patent file 1:International publication WO 2005/012592
Patent file 2:The Japanese Translator of PCT application 2002-542057 of announcement
Patent file 3:Japanese Unexamined Patent Application announces 2001-179640
Summary of the invention
Reason is not known, but works as the mill using inclusion during CMP method with patterned surface When the abrasive material of the bed of material carries out urethane foam pad conditioner, the defect concentration of semiconductor wafer surface can Increase in conjunction with the increase of conditioning circulation.Additionally, the valley in the patterned surface of abrasive material is (recessed Portion) in foreign body such as CMP slurry included abrasive grain can be observed, from carbamic acid The accumulation of the polyurethane particles that ester foam pad scrapes etc..The accumulation of foreign body is considered dry Disturb smooth flow between abrasive material and urethane foam pad for the CMP slurry.
It is preferably prevented from or suppresses the glass powder (polishing powder scraped by the surface polishing of glass plate End) gather in the valley of patterned surface, and when rough polishing and final polishing automotive paint table During face such as acrylate, carbamate resins etc. to the adhesion of patterned surface ( In this case, at the non-noticeable wear of abrasive material, and the jut in patterned surface or tip Adhere to) because production efficiency is likely to reduced, thus affecting product quality.
It is excellent that the purpose of the disclosure has in terms of the adhesion preventing foreign body and accumulation for offer Patterned surface abrasive material, and the manufacture method of abrasive material.
Content of the invention
The embodiment of the disclosure provides a kind of abrasive material with abrasive material, and abrasive material has structuring table Face, patterned surface has multiple three-D elements disposed above, processes and silicon selected from fluoride The surface treatment processing the group constituting executes at least a portion of patterned surface, and fluoride Process the group selected from following composition:Corona treatment, chemical vapor deposition, physical vapour deposition (PVD) Process with fluorine gas.
The disclosure further embodiment provides a kind of manufacture abrasive material method, including:Bag is provided Include the abrasive material of abrasive material, abrasive material has patterned surface, patterned surface has disposed above many Individual three-D elements;And carry out processing selected from fluoride at least a portion of the abrasive material of abrasive material Process the surface treatment of the group constituting with silicon;Fluoride processes the group selected from following composition:Plasma Body process, chemical vapor deposition, physical vapour deposition (PVD) and fluorine gas are processed.
The disclosure further embodiment provides a kind of abrasive material with abrasive material, abrasive material has Patterned surface, patterned surface is configured to there is multiple three-D elements disposed above, structure At least a portion changing surface includes:A () film, including selected from densified fluorocarbon, oxygen carbon The material of the group of SiClx and silica composition;The surface of (b) fluorine end-blocking, or (c) combinations thereof.
The effect of the present invention
A kind of abrasive material can be provided according to the disclosure, this abrasive material can discharge and not in patterned surface, especially Adhere in the valley (recess) being patterned surface or accumulation foreign body.
It may be noted that described above is not construed as all embodiments of the present invention and related to the present invention Advantage complete disclosure.
Brief description
Fig. 1 is the cross-sectional view of the abrasive material of embodiment of the disclosure.
Fig. 2 is the cross-sectional view of the abrasive material of another embodiment of the disclosure.
Fig. 3 A is the upper surface being provided with the patterned surface of multiple three-D elements with triangular pyramid Schematic diagram.
Fig. 3 B is the upper surface being provided with the patterned surface of multiple three-D elements with pyramid shape Schematic diagram.
Fig. 3 C is the upper surface being provided with the patterned surface of multiple three-D elements with truncated pyramid Schematic diagram.
Fig. 3 D is that the upper surface being provided with the patterned surface with hemispheric multiple three-D elements shows It is intended to.
Fig. 3 E for three-D elements be horizontal orientation and be alignment triangular prism the cuing open of patterned surface View.
Fig. 3 F is the upper surface being provided with the patterned surface of multiple three-D elements with whole hip shape Schematic diagram.
Fig. 3 G is the upper table being provided with the variously-shaped patterned surface of the combination of multiple three-D elements Face schematic diagram.
Fig. 4 A-4D be embodiment 1 and 2 and comparative example 1 and 2 survey carrying out CMP finishing respectively The optical microscopy map of patterned surface after examination.
Fig. 5 A is carrying out motor vehicle coating throwing for the abrasive material A to C of embodiment 3 to 5 and comparative example 3 Overall photo after optical tests.
Fig. 5 B is carrying out motor vehicle coating throwing for the abrasive material A to C of embodiment 3 to 5 and comparative example 3 The optical microscopy map of patterned surface after optical tests.
Fig. 5 C is carrying out motor vehicle coating throwing for the abrasive material A to C of embodiment 3 to 5 and comparative example 3 Optical tests then with water cleaning after patterned surface optical microscopy map.
Specific embodiment
The explaining in detail of the purpose of the representative embodiment for the present invention is described given below, but this A little embodiments should not be construed as limiting the invention.
" abrasive surface " refers to the contact surface with polished object in the disclosure, in other words, Refer to when the flat polished object of abrasive contact, parallel to the level meter on the surface of polished object Face.
In the disclosure, " highly " of three-D elements refers to basal surface the hanging down along abrasive surface of three-D elements The summit to three-D elements for the straight line or the distance of top surface.
The abrasive material of the embodiment of the disclosure includes the abrasive material with patterned surface, and multiple three Dimension element is arranged on patterned surface.Process the table of the group constituting selected from fluoride process or silicon Face processes and executes at least a portion of patterned surface." fluoride process " in the disclosure is Refer to the surface treatment using the material containing fluorine, and " silicon process " refers to using the material containing silicon Surface treatment.Other atoms outside fluorine removal and silicon such as hydrogen, oxygen, carbon, nitrogen etc. can be used for table Face is processed, and these other atoms can derive from the material containing fluorine or the material containing silicon, or can From another kind of source.
Abrasive material can be formed using various materials.Fig. 1 illustrates the horizontal stroke of the abrasive material of the embodiment of the disclosure Sectional view.Abrasive material 10 shown in Fig. 1 includes abrasive material 11, and abrasive material 11 includes body layer 13 and the face coat 14 that is arranged at least a portion of body layer 13.Face coat 14 is applied in To the patterned surface being provided with multiple three-D elements 12.The feelings of shown embodiment in FIG Under condition, body layer 13 not only determines the shape of three-D elements 12, also serves as and is attached to abrasive material 10 The matrix material of another instrument etc..Another matrix material could attach to base layer 13 and structuring The surface of surface opposition side.
Body layer determines the shape of three-D elements.Material behavior in view of polished object and hardness Etc., body layer can for example be formed by various hard materials, such as inorganic material, such as sinters pottery. Such as sintering pottery may include carborundum, silicon nitride, aluminum oxide, zirconium oxide, tungsten carbide etc..From From the perspective of intensity, hardness, abrasion resistance etc., be advantageously used in these carborundum and Silicon nitride, and especially carborundum.
Body layer can be by mixing ceramic particle, binding agent and other of such as carborundum etc. on demand Material, pressure injection, in the metal die of the opposite pattern with patterned surface, is then sintering to Formed.
Face coat typically by harder than body layer and contribute to during polishing pass through contact polished thing Body is formed treating the material that polishing object is polished.The example of spendable face coat includes class Diamond carbon (being abbreviated as DLC) and other diamond, tungsten carbide (WC), titanium nitride (TiN), titanium carbide (TiC) etc..The thickness of face coat is typically about 0.5 μm or bigger or about 1 μm Or bigger, and about 30 μm or less or about 20 μm or less.By the thickness of face coat is set It is set to about 1 μm or bigger, only face coat contacts polished object during polishing, and therefore treats Polishing object can protected with contact-free body layer.On the other hand, if face coat and body layer Adhesion is low, then the thickness of face coat is preferably made to relative thin.
Film containing diamond can be used advantageously as face coat.Film may include such as DLC Carbon.Diamond-like-carbon is unbodied, and includes the substantial amounts of sp by stabilized hydrogen3(for example, carbon is former Son is about 40 atom % or more or about 50 atom % or more, and about 99 atom % or less or About 98 atom % or less).Diamond film can on demand using such as methane etc. gaseous carbon sources or The solid carbon source of such as graphite etc. and hydrogen are deposited in body layer by routine techniques, such technology Such as plasma enhanced chemical vapor deposition (PECVD) method, hot-wire chemical gas-phase deposition (HWCVD) Method, ion beam, laser ablation, RF plasma, ultrasonic, arc discharge, cathode arc plasma sink Amass etc..In some embodiments, the film with high-crystallinity can be being stabilized and produce Raw, and therefore HWCVD method is advantageously used for deposition of thick diamond film.
Fig. 2 illustrates the cross-sectional view of the abrasive material of another embodiment of the disclosure.Shown in Fig. 2 Abrasive material 10 includes abrasive material 11, and abrasive material 11 includes abrasive grain 16 and on back lining materials 15 Binding agent 17, and abrasive material 11 has the patterned surface being provided with multiple three-D elements 12.The back of the body Lining material 15 serves as the matrix material of abrasive material 10.Abrasive grain 16 equably or heterogeneity be distributed in In whole binding agent 17.In the case of this embodiment, when treating polishing object using abrasive material 10 Surface when being polished, according to the hardness of polished object, contact the part of polished object by by Gradually destroy, thus exposing untapped abrasive grain 16.
In the case of this embodiment, including consolidating of abrasive grain, binder precursor and initiator Change composition to be filled in the metal die of the opposite pattern with patterned surface, and therefore may be used Form the abrasive material including abrasive grain and binding agent.
The example of spendable abrasive grain includes diamond, cubic boron nitride, cerium oxide, melting oxygen Change aluminium, heat treated aluminum, the aluminum oxide prepared by sol-gel process, carborundum, chromium oxide, two Silica, zirconium oxide, aluminium oxide-zirconium oxide, iron oxide, garnet and their mixture.Abrasive material The Mohs' hardness of particle is preferably 8 or higher or 9 or higher.The type of abrasive grain can be based on expection Polishing selected, and diamond, cubic boron nitride, aluminum oxide and carborundum can advantageously be used Such as clear up burr etc. in rough polishing, and formed for chamfering such as curved surface etc., and Silica and aluminum oxide are advantageously used for finally polishing.
The average particle size particle size of abrasive grain can exist in type based on coating materials particle, application of abrasive material etc. In different scopes, and be typically about 10nm or bigger, about 1 μm or bigger, or about 5 μm or Bigger, or about 500 μm or less, about 200 μm or less, or about 80 μm or less.For example, Average particle size particle size is about 0.5 μm or bigger and about 20 μm or less, or about 10 μm or less Abrasive grain be advantageously used for rough polishing and such as clear up burr etc., and such as curved for chamfering Curved shape is formed etc., and average particle size particle size is about 10nm or bigger and about 1 μm or more Little, about 0.5 μm or less or about 0.1 μm or less abrasive grain are advantageously used for finally throwing Light.
It is usable in the matrix of such as glass, pottery, metal, metal oxide, organic resin etc. The cohesion diamond of the diamond particles that a size of about 1 μm to about 100 μm of middle discrete particles.Including tool The average particle size particle size having the cohesion diamond of the diamond particles more than 15 μm of particle sizes is generally About 100 μm or bigger or about 250 μm or bigger, and about 1000 μm or less or about 400 μm Or it is less.Including the diamond particles with 15 μm or more low particle size cohesion diamond flat All particle size is typically about 20 μm or bigger, about 40 μm or bigger, or about 70 μm or bigger, And about 450 μm or less, about 400 μm or less, or about 300 μm or less.
Binder precursor be can be used as by the curable resin of heat or radiation curing.Curable resin is general Solidified by radical polymerization or cationic polymerization.The example of binder precursor include phenolic resin, First rank phenolic aldehyde-phenol resin, amino resin, carbamate resins, epoxy resin, acrylic acid tree Fat, polyester resin, vinylite, melmac, chlorinated isocyanurates acrylate, Lauxite, isocyanurate resin, urethane acrylate resin, epoxy acrylate tree Fat and their mixture.Term " acrylate " for binder precursor include acrylate and Methacrylate.
Conventional thermal initiator or light trigger can be used as initiator.The example of initiator includes organic mistake Oxide, azo-compound, quinone, benzophenone, nitroxyl (nitroxo) compound, propylene halide Acid, hydrazone, sulfhydryl compound, pyrylium compound, triacrylimidazoles, double imidazoles, alkyl chloride Base triazine, benzoin ether, benzyl ketals, thioxanthones, acetophenone, salt compounded of iodine, sulfosalt and they Derivative.
With respect to the binder precursor of 100 mass parts, abrasive grain is typically with about 150 mass parts or more Many or about 200 mass parts or more, and about 1000 mass parts or less or about 700 mass parts or more Few amount is included in curable compositions.With respect to the binder precursor of 100 mass parts, initiator General with about 0.1 mass parts or more or about 0.5 mass parts or more, and about 10 mass parts or more Less or about 2 mass parts or less amount are included in curable compositions.
Curable compositions can also include optional components, such as coupling agent, filler, wetting agent, dye Material, pigment, plasticizer, filler, remover, polishing auxiliary agent etc..
Back lining materials can be polymer film, such as polyester, polyimides etc.;Paper wood;Sulfuration is fine Dimension;Molding or cast elastomers, treated supatex fabric or manufacture fabric;Etc..Backing Material can adhere to abrasive material using adhesive phase.
Abrasive material and back lining materials can be next integrally-formed using such as thermoplastic resin or thermosetting resin. The example of thermoplastic resin or thermosetting resin includes phenolic resin, amino resin, carbamic acid Ester resin, epoxy resin, olefinic unsaturated-resin, chlorinated isocyanurates acrylate, ureaformaldehyde tree Fat, isocyanurate resin, urethane acrylate resin, Epocryl, double Maleimide resin and their mixture.Polyamide is advantageously used in these, gathers Ester resin and polyurethane resin (including polyurethanes-carbamide resin).
The thickness of back lining materials can be usually set to about 1mm or thicker or about 0.5cm or bigger, and About 2cm or less or about 1cm or less.Also shape tracking characteristics can be applied to back lining materials, its Middle back lining materials are as elastomeric material.By pre-formed back lining materials, predetermined bending can be applied to the back of the body Lining material.
The polishing function of the three-D elements of abrasive material is illustrated at its top.Have including abrasive material in abrasive material In the case of the abrasive material of particle and binding agent, three-D elements degrade from top section during polishing, And expose untapped abrasive grain.Therefore, deposit in the top section by increase three-D elements Abrasive grain concentration, the cutting characteristic of abrasive material and erosion property can increase, and therefore abrasive material Can advantageously be used.The base portion of three-D elements, in other words, abrasive material adheres to matrix material Or the bottom integrally-formed with matrix material is not usually required to polishing function, and therefore can be only by gluing Knot dosage form becomes and does not include abrasive grain.
The patterned surface of abrasive material may include variously-shaped three-D elements.The showing of three-D elements shape Example inclusion cylinder, elliptical cylinder, prism, hemisphere, semielliptical, cone, pyramid, the truncated cone, cut Pyramid, whole hip shape etc..Patterned surface may also include multiple three-dimensional elements with various shapes The combination of part.For example, patterned surface can be the combination of multiple cylinders and multiple pyramid.Three-D elements The shape of cross section of base portion can be different from the shape of cross section of top section.For example, base portion is transversal Face can be square, and the cross section of top section can be circle.Three-D elements base portion generally has horizontal stroke Sectional area is more than the base portion of top section cross-sectional area.The base portion of three-D elements can connect mutually or alternately Touch, and the base portion of neighbouring three-D elements can be separated a predetermined distance each other.
In the case of some embodiments, multiple three-D elements are systematically arranged on patterned surface On.Within the context of the present disclosure, the position with regard to three-D elements is used " systematically " means The three-D elements with same shape or analogous shape are along parallel on the level surface of abrasive surface Individual or multiple directions are repeatedly provided on patterned surface.Parallel on the level surface of abrasive surface One or more directions can for linear direction, concentric direction, spirality (spiral) direction or they Combination.Systematically it is arranged on the situation of the embodiment on patterned surface in multiple three-D elements Under, the space existing between three-D elements can be conducive to slurry, abrasive powders etc. such as groove Flowing and the pattern of discharge be arranged in the whole main body of patterned surface.Such multiple three-D elements Can for example pass through formed below:Polycrystalline diamond sedimentation by surface treatment, laser treatment;Or Person's diamond wheel, cut the CVD of wheel;Or injection, binder precursor is filled in structure one kind Change in the metal three-D elements of opposite pattern on surface, then using the method for heat or radiation curing, etc. Deng.
The patterned surface that can be used in the abrasive material of the disclosure is described using embodiment, with reference to Fig. 3 A to 3G.Fig. 3 A is the patterned surface being provided with multiple three-D elements with triangular pyramid Upper surface schematic diagram.In figure 3 a, symbol o represents the length of the base portion of three-D elements 12, and And symbol p represents the distance between top section of three-D elements 12.The length of the base portion of triangular pyramid can It is same to each other or different to each other, and the length of side can be same to each other or different to each other.For example, o may be set to about 5 μm or bigger or about 10 μm or bigger, and about 1000 μm or less or about 500 μm or more Little.P may be set to about 5 μm or bigger or about 10 μm or bigger, and about 1000 μm or less Or about 500 μm or less.Although not illustrating in figure 3 a, the height h of three-D elements 12 can It is set as about 2 μm or bigger or about 4 μm or less, and about 600 μm or less or about 300 μm Or it is less.The change of h is preferably about 20% or less of the height compared to three-D elements 12, and And more preferably about 10% or less.
Fig. 3 B is the upper surface being provided with the patterned surface of multiple three-D elements with pyramid shape Schematic diagram.In figure 3b, symbol o represents the length of the base portion of three-D elements 12, and symbol p Represent the distance between top section of three-D elements 12.The length of the base portion of rectangular pyramid can be mutually the same Or different, and the length of side can be same to each other or different to each other.For example, o may be set to about 5 μm or Bigger or about 10 μm or bigger, and about 1000 μm or less or about 500 μm or less.P can It is set as about 5 μm or bigger or about 10 μm or bigger, and about 1000 μm or less or about 500 μm or less.Although not illustrating in figure 3b, the height h of three-D elements 12 can set It is about 2 μm or higher or about 4 μm or bigger, and about 600 μm or less or about 300 μm or more Little.The change of h is preferably about 20% or less of the height compared to three-D elements 12, and more It is preferably about 10% or less.
In the case of other embodiments of the disclosure, three-D elements for cutting triangular pyramid or can cut four ribs Cone.The top surface of the three-D elements of these embodiments typically by parallel to abrasive surface triangle or Quadrangle level surface structure.Essentially all top surface is preferably in the water parallel to abrasive material On quasi- surface.
Fig. 3 C is to be provided with the upper surface with the patterned surface of multiple three-D elements cutting rectangular pyramid Schematic diagram.Pyramid shape before cutting top is shown in upper left side.In fig. 3 c, symbol o represents The length of the base portion of three-D elements 12, symbol u represents the distance between base portion of ternary element 12, And symbol y represents the length of the side of top surface.The length cutting the base portion of rectangular pyramid can be mutually the same Or different, the length of side can be same to each other or different to each other, and the length of the side of top surface can be each other Identical or different.For example, o may be set to about 5 μm or bigger or about 10 μm or bigger, Yi Jiyue 6000 μm or less or about 3000 μm or less.U may be set to 0 μm or bigger or about 2 μm or Bigger, and about 10,000 μm or less or about 5000 μm or less.Y may be set to about 0.5 μm Or bigger or about 1 μm or bigger, and about 6000 μm or less or about 3000 μm or less.Though So do not illustrate in fig. 3 c, but the height h of three-D elements 12 may be set to about 5 μm or bigger or About 10 μm or bigger, and about 10,000 μm or less or about 5000 μm or less.The change of h It is preferably about 20% or less of the height compared to three-D elements 12, and be more preferably about 10% or less.
Fig. 3 D is that the upper surface being provided with the patterned surface with hemispheric multiple three-D elements shows It is intended to.In fig. 3d, symbol r represents the radius of three-D elements 12, and symbol p represents three-dimensional The distance between middle part of element 12.For example, r may be set to about 5 μm or bigger or about 10 μm or Bigger, and about 1000 μm or less or about 500 μm or less.P may be set to about 5 μm or more Big or about 10 μm or bigger, and about 1000 μm or less or about 500 μm or less.Although not Illustrate in fig. 3d, but the height h with hemispheric three-D elements is generally identical with radius r. The change of h is preferably about 20% or less of the height compared to three-D elements 12, and more preferably Ground is about 10% or less.
Fig. 3 E is the schematic cross section of another embodiment of the disclosure, and such multiple three Dimension element 12 is the triangular prism of horizontal orientation and has ridge.Three-D elements 12 are arranged on matrix material On 15, and be shown as including the abrasive material top 18 of abrasive grain and binding agent and include binding agent but Do not include the double-layer structure of the abrasive material bottom 19 of abrasive grain.Ridge is preferably parallel to abrasive material On level surface, substantially across the whole main body of abrasive material.In some embodiments, essentially all Ridge is all present in identical parallel on the level surface of abrasive material.In fig. 3e, symbol α represents three The vertical angles of dimension element 12;Symbol w represents the width of the bottom of three-D elements 12;Symbol p represents The distance between top section of three-D elements 12;Symbol u represent three-D elements 12 long base portion it Between distance;Symbol h represents the height on the surface away from matrix material 15 for the three-D elements 12;And accord with Number s represents the height on abrasive material top 18.For example, α may be set to about 30 degree or bigger or about 45 Degree or bigger, and about 150 degree or less or about 140 degree or less.W may be set to about 2 μm or Bigger or about 4 μm or bigger, and about 2000 μm or less or about 1000 μm or less.P can It is set as about 2 μm or bigger or about 4 μm or bigger, and about 4000 μm or less or about 2000 μm or less.U may be set to 0 μm or bigger or about 2 μm or bigger, and about 2000 μm Or it is less or about 1000 μm or less.H may be set to about 2 μm or bigger or about 4 μm or bigger, And about 600 μm or less or about 300 μm or less.S may be set to the height h of three-D elements 12 About 5% or bigger or about 10% or bigger, and about 95% or less or about 90% or less.H's Change is preferably about 20% or less of the height compared to three-D elements 12, and more preferably About 10% or less.
Each three-D elements 12 shown in Fig. 3 E can extend across the whole surface of abrasive material.In this feelings Under condition, two ends on the long base portion direction of three-D elements 12 are all in the end sections of neighbouring abrasive material Position at, and such multiple three-D elements 12 with belt like shape arrange.
In another embodiment of the disclosure, three-D elements have whole hip shape.In the disclosure " whole hip " shape point out side surface with two corresponding triangles and two corresponding quadrangle structures The 3D shape made, wherein neighbouring triangle side surface and quadrangle side surface shared region, and It is ridge by the region that corresponding two quadrangle side surfaces are shared.Ridge is preferably parallel to abrasive material Level surface on, substantially across the whole main body of abrasive material.In some embodiments, substantially institute Ridge is had all to be present in identical parallel on the level surface of abrasive material.Two triangle side surfaces and two Individual quadrangle side surface can have mutually the same shape or different shapes.Therefore, whole hip shape Basal surface can be rectangle, trapezoidal etc., and the length of four sides can be pros different from each other Shape.
Fig. 3 F is the upper surface being provided with the patterned surface of multiple three-D elements with whole hip shape Schematic diagram.Fig. 3 F shows the whole hip shape with rectangle basal surface.In Fig. 3 F, symbol l Represent the length of the long base portion of three-D elements 12, and symbol x represents the short of neighbouring three-D elements 12 The distance between base portion.For example, l may be set to about 5 μm or bigger or about 10 μm or bigger, and About 10mm or less or about 5mm or less.X may be set to 0 μm or bigger or about 2 μm or more Greatly, and about 2000 μm or less or about 1000 μm or less.Symbol w, p and u and symbol The definition of h, s, α etc. (although not shown in Fig. 3 F) and exemplary value range and Fig. 3 E Described in those are identical.
In the case of another embodiment, patterned surface includes thering is of different shapes multiple three The combination of dimension element.Fig. 3 G shows the embodiment of such embodiment.Knot shown in Fig. 3 G Structure surface includes the first triangular pyramid 121, the second rectangular pyramid 122, hexagonal pyramid 123 and whole hip 124 combination.The length of the base portion of each three-D elements may be set to about 5 μm or bigger or 10 μm Or bigger, and about 1000 μm or less or about 500 μm or less, and highly can each set It is about 2 μm or bigger or about 4 μm or bigger, and about 600 μm or less or about 300 μm or more Little.The distance between base portion of neighbouring three-D elements may be set to 0 μm or bigger or about 2 μm or more Greatly, and about 10,000 μm or less or about 5000 μm or less.The length of whole hip 124 can It is set as about 0.5 μm or bigger or about 1 μm or bigger, and about 1000 μm or less or about 500 μm or less.
In the case of some embodiments, the density of the three-D elements of abrasive material, in other words, often 1cm2The three-D elements number of abrasive material be about 0.5 element/cm2Or bigger or 1.0 element/cm2Or Bigger, and about 1 × 107Individual element/cm2Or it is less or about 4 × 106Individual element/cm2Or it is less.? In the case that multiple three-D elements are systematically arranged on the embodiment on patterned surface, every 1cm2's The three-D elements number of abrasive material may be set to about 0.05 element/cm2Or bigger or about 0.10 element /cm2Or bigger, and about 1 × 106Individual element/cm2Or it is less or about 4 × 105Individual element/cm2Or more Little.In the case of this embodiment, passing through three-D elements with high-density arrangement in structuring table When realizing high polishing efficiency on face, by using the predetermined pattern of having being present between three-D elements Space, such as groove, and in combination surface treatment is carried out on patterned surface can be effective Ground discharge slurry, abrasive powders etc..
For the abrasive material of the disclosure, at least a portion of patterned surface is carried out fluoride process or Silicon is processed.Without being bound by any theory, patterned surface is by face coat diamond-like-carbon etc. Include abrasive grain in the abrasive material of covering and abrasive material and the abrasive material of resinoid bond is considered to cause to tie Charging on structure surface or the surface energy of patterned surface, and therefore, the easy electrostatic of foreign body Ground or cling to patterned surface by another kind of interaction, such as passes through conduction compared to abrasive grain Ni plate etc. adheres to the Conventional abrasives on matrix material.According to the disclosure, even if patterned surface contains There are the three-D elements of relative high density, the surface energy of patterned surface also can pass through these three-D elements Surface treatment is reducing, and can prevent or suppress foreign body to the adhesion of patterned surface such as The adhesion of the abrasive grain in abrasive slurries, organic compound etc. or accumulation, polyurethanes Particle is from polyurethane foam pad generation etc..
In the disclosure, fluoride process can be advantageous by corona treatment, chemical vapor deposition (CVD) method, physical vapour deposition (PVD) (PVD) method or fluorine gas process and carry out.
Referred to using by plasma-activated raw material gas according to " corona treatment " of the disclosure Structural reform becomes the process of the chemical composition on surface of pending object, and includes from pending object The product of material be included on the surface of corona treatment.On the other hand, using chemistry Vapour deposition and physical vapour deposition (PVD), including from gas, the component of liquid or solid raw material Film to be formed on the surface of pending object by being deposited on.Chemical vapour deposition technique includes for example hot CVD, direct plasma strengthen CVD, remote plasma cvd method, HF CVD Method etc..Physical vaporous deposition includes sputtering, vacuum moulding machine, electric arc spraying, plasma spray Painting, aerosol deposition method etc..
Without being bound by any theory it is believed that fluoride is processed produces following phenomenon, such as Fluorin doped exists Around the surface of the face coat of such as diamond-like-carbon or abrasive grain, the surface of material is due to including In polymer in a binder, the generation of C-F key is that fluorine blocks, coating is included containing many in knot Densified fluorocarbon of C-C key being formed on structure surface etc..
In the case of some embodiments, by the fluorine of corona treatment or chemical vapour deposition technique Compound is processed can be using have can the low-voltage plasma body device of decompression chamber or atmospheric pressure plasma dress Put and carry out.Chemical vapour deposition technique using plasma device generally refers to plasma enhanced CVD Method.If using atmospheric pressure plasma device, then in addition to fluoro-gas, nitrogen and/or cycle 18th race's atom of table, specifically, helium, neon, argon gas, Krypton, xenon, radon gas etc. As discharge gas.In these it may be advantageous to use nitrogen, helium and argon gas, and from cost From the point of view of angle, nitrogen is especially advantageous.Low-voltage plasma body device is generally used for batch process.If needed Want long sideband of continuous processing etc., the use of atmospheric pressure plasma can be favourable from the point of view of yield angle 's.Conventional method such as corona discharge, electric medium block discharge are such as using 13.56MHz high-frequency electrical The single or double RF electric discharge in source, 2.45GHz microwave discharge, arc discharge etc. can be used as generating plasma The method of body.It may be advantageous to the list using 13.56MHz high frequency electric source in these generation methods RF discharges.
Fluorocarbons such as CF4、C4F8、C5F6、C4F6、CHF3、CH2F2、CH3F、 C2F6、C3F8、C4F10、C6F14, Nitrogen trifluoride (NF3)、SF6Etc. can be used as corona treatment Or the fluoro-gas used in chemical vapour deposition technique.Come from the angle of security, reactivity etc. See it may be advantageous to use C3F8、C6F14And CF4.The flowrate settable of fluoro-gas is about 20sccm Or bigger or about 50sccm or bigger, and about 1000sccm or less or about 500sccm or more Little.It is fed in the gas stream of device that can also to include flow velocity be about 50sccm or more greatly and about The carrier gas of 5000sccm or less, such as nitrogen, helium or argon gas.
In some embodiments, by being about 3 or less to make by raw gas C/F ratio set The possibility of favourable film deposition is known, and in this case, C/F ratio can be by adding Non- fluorine base gas such as acetylene, acetone etc. are adjusting.It is about 2 in the C/F ratio of raw gas Or more greatly and in the case of about 3 or less embodiment, can preferentially occur due to plasma-based process Surface modification, or the film deposition due to chemical vapor deposition can preferentially occur, this depends on bias.Logical Cross and adjust bias in such embodiment, it can be corona treatment or chemical gaseous phase that fluoride is processed Deposition or combinations thereof.The size based on device for the scope of bias or design etc. change, but one As may be set to about 100V or less, about 0V or be less to about -1000V or more greatly or about - 100,000V or bigger.
Plasma generates the required power that applies and can the size based on pending abrasive material determine, and Power density in discharge space typically may be selected to be about 0.00003W/cm2Or more greatly or about 0.0002W/cm2Or bigger, and about 10W/cm2Or less or about 1W/cm2Or it is less.For example, If the size of the abrasive material that thing to be fluorinated is processed is 10cm (length) × 10cm (width) or less, The power of so applying may be set to about 200W or bigger or about 500W or bigger, and about 4kW Or less or about 2.5kW or less.
The temperature of corona treatment or chemical vapour deposition technique does not preferably involve pending abrasive material Feature and performance etc. temperature, and the surface temperature of pending abrasive material may be set to about -15 DEG C Or bigger, about 0 DEG C or bigger or about 15 DEG C or bigger, and about 400 DEG C or less, about 200 DEG C or Less or about 100 DEG C or less.The surface temperature of abrasive material can be connect by thermocouple, radiation thermometer etc. The device of tactile abrasive material measures.
When carrying out corona treatment or chemical vapour deposition technique using low-voltage plasma body device, place Reason pressure may be set to about 10 millitorrs or bigger or about 20 millitorrs or bigger, and about 1500 millitorrs or Less or about 1000 millitorrs or less.
The process time of corona treatment or chemical vapour deposition technique may be set to about 2 seconds or more, About 5 seconds or more or about 10 seconds or more, and about 300 seconds or shorter, about 180 seconds or shorter or About 120 seconds or shorter.
In the case of another embodiment, remote plasma unit can be used as by plasma Process or the fluoride of chemical vapour deposition technique is processed.Chemical gaseous phase using remote plasma unit Sedimentation generally refers to remote plasma and strengthens CVD.Situation in remote plasma unit Under, plasma is created in the plasma exciatiaon chambers different from processing chamber housing, excites activation Material passes through for raw gas to be introduced in plasma exciatiaon chamber generation, generation excite activator Matter is flow in processing chamber housing together with carrier gas such as nitrogen, helium, neon, argon gas etc., and The fluoride of the therefore patterned surface of abrasive material processes and is carried out.
There is low-voltage remote plasma device or the atmospheric pressure remote plasma dress of reduced pressure treatment chamber Put and can be used as remote plasma unit.Discharge gas can be used and favourable discharge gas is as above For described in low-voltage plasma body device and atmospheric pressure plasma device.High frequency (13.56MHz) RF is put Electricity, 2.45GHz microwave discharge, 2.45GHz microwave discharge/electron cyclotron resonace (ECR) etc. are general to be used Make plasma generating method, and advantageously using 2.45GHz microwave discharge and 2.45GHz microwave Electric discharge/electron cyclotron resonace (ECR), because desired higher ion can be realized in remote plasma body weight Volume density.
Fluorocarbons such as CF4、C4F8、C5F6、C4F6、CHF3、CH2F2、CH3F、 C2F6、C3F8、C4F10、C6F14Etc., Nitrogen trifluoride (NF3)、SF6Etc. can be used as using long-range Fluoro-gas used in the corona treatment of plasma device or chemical vapour deposition technique.Swash Send out lasting a long time of the material activating, and safe, and NF is therefore advantageously used3With SF6.The flowrate settable of fluoro-gas is about 20sccm or bigger or about 50sccm or bigger, and About 1000sccm or less or about 500sccm or less.The flowrate settable of carrier gas is about 100sccm Or bigger or about 200sccm or bigger, and about 5000sccm or less or about 200sccm or more Little.
In some embodiments, by being about 3 or less to make by raw gas C/F ratio set The possibility of favourable film deposition is known, and in this case, C/F ratio can be by adding Non- fluorine base gas such as acetylene, acetone etc. are adjusting.It is about 2 in the C/F ratio of raw gas Or more greatly and in the case of about 3 or less embodiment, can preferentially occur due to plasma-based process Surface modification, or the film deposition due to chemical vapor deposition can preferentially occur, this depends on bias.Logical Cross and adjust bias in such embodiment, it can be corona treatment or chemical gaseous phase that fluoride is processed Deposition or combinations thereof.The size based on device for the scope of bias or design etc. change, but one As may be set to about 100V or less, about 0V or be less to about -1000V or more greatly or about - 100,000V or bigger.
During plasma generates, required applying power may be set to e.g., from about 1W or bigger or about 10W Or bigger, and about 300kW or less or about 30kW or less.
In the case of remote plasma unit, fluoride process can be carried out and keep pending simultaneously Abrasive material is in low temperature.For example, the surface temperature of pending abrasive material may be set to about -15 DEG C or bigger, About 0 DEG C or bigger or about 15 DEG C or bigger, and about 200 DEG C or less, about 100 DEG C or less or about 50 DEG C or less.The surface temperature of abrasive material can contact abrasive material by thermocouple, radiation thermometer etc. Device measures.
When carrying out corona treatment or chemical vapour deposition technique using low-voltage remote plasma device When, processing pressure may be set to about 1 millitorr or bigger or about 10 millitorrs or bigger, and about 1500 Millitorr or less or about 1000 millitorrs or less.
The process time of corona treatment or chemical vapour deposition technique may be set to about 2 seconds or more, About 5 seconds or more or about 10 seconds or more, and about 300 seconds or less, about 180 seconds or less or About 120 seconds or less.
In another embodiment, sputtering can be used as at by the fluoride of physical vaporous deposition Reason.Sputtering can be carried out using physical sputtering device, such as ion sputtering device, DC magnetron sputtering dress Put, RF magnetic control sputtering device etc..
Fluoropolymer such as polytetrafluoroethylene (PTFE) (PTFE), polyvinylidene fluoride (PVDF) etc. can be used as The sputtering target that fluoride is processed.Reactive sputtering can be by providing fluorocarbons all in the processing chamber As CF4、C4F8、C5F6、C4F6、CHF3、CH2F2、CH3F、C2F6、C3F8、C4F10、 C6F14Etc., nitrogen fluoride (NF3)、SF6Etc. come to carry out.
Sputter temperature may be set to about -193 DEG C or bigger or about 25 DEG C or bigger, and about 600 DEG C or Less or about 1300 DEG C or less.
The processing pressure of sputtering may be set to about 1 × 10-5Support or bigger or about 1 × 10-3Support or bigger, And about 10 millitorr or less or about 100 millitorrs or less.
The process time of sputtering may be set to about 1 second or more, about 5 seconds or more or about 10 seconds or more Many, and about 30 seconds or less, about 60 seconds or less or about 180 seconds or less.
In the case of another embodiment, vacuum moulding machine can be used as the fluorine by physical vapour deposition (PVD) Compound is processed.Vapour deposition can be carried out using physical deposition device, such as resistance heating deposition device, Electron beam deposition device, ion plating device etc..
Polytetrafluoroethylene (PTFE) (PTFE), polyvinylidene fluoride (PVDF) and other fluoropolymer, calcirm-fluoride (CaF2) and other fluorinated organic compound etc. can be used as sedimentary origin.
Depositing temperature may be set to about -193 DEG C or bigger or about 25 DEG C or bigger, and about 600 DEG C or Less or about 1000 DEG C or less.
The processing pressure of deposition may be set to about 1 × 10-6Support or bigger or about 1 × 10-5Support or bigger, And about 1 × 10-3Support or less or about 1 × 10-2Support or less.
Deposition process time may be set to about 5 seconds or more, about 10 seconds or more or about 30 seconds or More, and about 120 seconds or less, about 600 seconds or less or about 1200 seconds or less.
In the case of another embodiment, fluorine gas (F2) process as fluoride process.Fluorine gas can use Inert gas such as nitrogen, helium, argon gas, carbon dioxide etc. dilution, and also can not dilute In the case of use.Fluorine gas processes and typically carries out under atmospheric pressure.
When fluorine gas is contacted with the patterned surface of abrasive material, temperature may be set to room temperature or bigger, about 50 DEG C or bigger or about 100 DEG C or bigger, and about 250 DEG C or less, about 220 DEG C or less or about 200 DEG C or less.
The process time that fluorine gas is processed may be set to about 1 minute or more or about 1 hour or more, with And about 1 week or less or about 50 hours or less.
Within the context of the present disclosure, silicon process can be advantageous by corona treatment, chemical vapor deposition Area method, physical vaporous deposition or atomic layer deposition method are carried out.Without being bound by any theory it is believed that Silicon processes and produces following phenomenon, wherein patterned surface pass through in the polymer that includes in a binder or Si-O-Si key, Si-C- are formed on the surface of abrasive grain or face coat such as diamond-like-carbon etc. Si key, Si-O-C key etc. are improving;Including have by Si-O-Si key, Si-C-Si key, The relatively compact cancellated siloxicon that Si-O-C key etc. is formed or the coating of silica are formed On patterned surface;Etc..
Processed by the silicon of corona treatment or chemical vapour deposition technique and can use and previously be directed to fluorination Thing process those low-voltage plasma body devices of described identical, atmospheric pressure plasma device, low pressure are remote Journey plasma device, atmospheric pressure remote plasma unit etc..Discharge gas and plasma life One-tenth method is identical with for those described in fluoride process.
Silane (SiH4), tetramethylsilane (TMS), HMDO (HMDSO), hexamethyl two Silazane (HMDS), tetraethoxysilane (TEOS) etc. can be used as corona treatment or chemical gaseous phase Fluoro-gas used in sedimentation.In these it may be advantageous to use monosilane or tetramethylsilane Alkane, because reactivity is high and diffusion coefficient is big.If using atmospheric pressure plasma device, then Using having low boiling and nonflammable tetramethylsilane.The flowrate settable of silicon-containing gas is about 20sccm or bigger or about 50sccm or bigger, and about 1000sccm or less or about 500sccm Or it is less.It is fed in the gas stream of device that can also to include flow velocity be about 50sccm or more greatly and about The carrier gas of 5000sccm or less, such as nitrogen, helium or argon gas.
If not including oxygen atom in silicon-containing gas, then be added to oxygen and be fed to plasma dress In the gas stream put.Oxygen can be by being fed to the chamber of plasma apparatus with the pipeline that silicon-containing gas separate In room, or setting shower nozzle supply in the chamber can be passed through in the mixed gas form with silicon-containing gas. The flowrate settable of oxygen is about 5sccm or bigger or about 10sccm or bigger, and about 500sccm Or less or about 300sccm or less.In the case that the flow rate set of silicon-containing gas is 1, oxygen May be set to about 0.1 with the flow velocity ratio of silicon-containing gas:1 or bigger, about 0.2:1 or bigger or about 0.3:1 Or bigger, and about 5:1 or less, about 4:1 or less or about 3:1 or less.Stopping silicon containing gas After the supply of body, post processing can by with e.g., from about 5sccm or bigger or about 10sccm or bigger or About 10sccm or bigger, and the flow velocity of about 500sccm or less or about 300sccm or less only supplies Oxygen is answered to carry out.
Plasma generates the required power that applies and can the size based on pending abrasive material determine, and Power density in discharge space typically may be selected to be about 0.00003W/cm2Or more greatly or about 0.0002W/cm2Or bigger, and about 10W/cm2Or less or about 1W/cm2Or it is less.For example, If treating that the size of the abrasive material that silicon is processed is 10cm (length) × 10cm (width) or less, then Apply power and may be set to about 1W or bigger or about 10W or bigger, and about 300kW or less Or about 30kW or less.
The temperature of corona treatment or chemical vapour deposition technique does not preferably involve pending abrasive material Feature and performance etc. temperature, and the surface temperature of pending abrasive material may be set to about -15 DEG C Or bigger, about 0 DEG C or bigger or about 15 DEG C or bigger, and about 400 DEG C or less, about 200 DEG C or Less or about 100 DEG C or less.The surface temperature of abrasive material can be connect by thermocouple, radiation thermometer etc. The device of tactile abrasive material measures.
When carrying out corona treatment or chemical vapour deposition technique using low-voltage plasma body device, place Reason pressure may be set to about 10 millitorrs or bigger or about 20 millitorrs or bigger, and about 1500 millitorrs or Less or about 1000 millitorrs or less.
The process time of corona treatment or chemical vapour deposition technique may be set to about 2 seconds or more, About 5 seconds or more or about 10 seconds or more, and about 300 seconds or less, about 180 seconds or less or About 120 seconds or less.
In another embodiment, sputtering or vacuum moulding machine can be used as the silicon by physical vapour deposition (PVD) Process.Process and can be carried out using following using the silicon of physical vaporous deposition, standard sputter equipment is such as With for fluoride process described equipment identical ion sputtering equipment, DC magnetron sputtering apparatus, RF magnetron sputtering apparatus etc.;Or the such as resistance to heating vapor deposition apparatus of standard vapor deposition apparatus, electricity Beamlet vapor deposition apparatus, ion plating apparatus etc..
The sputtering target that silicon is processed can be silica (SiO2).When being used silicon (Si) as sputtering target, instead The sputtering of answering property can be carried out in processing chamber housing by providing a supply of oxygen to.
Sputter temperature may be set to about -193 DEG C or bigger or about 25 DEG C or bigger, and about 600 DEG C or Less or about 1300 DEG C or less.
The processing pressure of sputtering may be set to about 1 × 10-5Support or bigger or about 1 × 10-3Support or bigger, And about 10 millitorr or less or about 100 millitorrs or less.
The process time of sputtering may be set to about 1 second or more, about 5 seconds or more or about 10 seconds or more Many, and about 30 seconds or less, about 60 seconds or less or about 180 seconds or less.
Silica (SiO2) can be used as the vapor deposition source of vacuum vapor deposition.Electron-beam vapor deposition can Advantageously it is used together with silica vapour deposition.Silicon is processed and can be carried out, using an oxygen by following SiClx (SiO) carries out vapour deposition as vapor deposition source, then carries out oxygen of annealing in oxidizing atmosphere Change, and oxygen plasma is being incorporated in vapor deposition chamber vapour deposition silicon monoxide simultaneously.
Depositing temperature may be set to about -193 DEG C or bigger or about 25 DEG C or bigger, and about 600 DEG C or Less or about 1000 DEG C or less.
The processing pressure of deposition may be set to about 1 × 10-6Support or bigger or about 1 × 10-5Support or bigger, And about 1 × 10-3Support or less or about 1 × 10-2Support or less.
Deposition process time may be set to about 5 seconds or more, about 10 seconds or more or about 30 seconds or More, and about 120 seconds or less, about 600 seconds or less or about 1200 seconds or less.
In another embodiment, atomic layer deposition method (ALD) can be used as silicon process.Atomic layer deposition Area method includes alternately providing in reaction chamber by least two kinds of precursor gases, every time in knot These precursor gases of individual layer are deposited on structure surface, and makes these precursor gases in patterned surface Upper reaction.
The example of spendable precursor gases A includes tetraethoxysilane, double (tert-butoxy) (isopropyl oxygen Base) silanol, double (isopropoxy) (tert-butoxy) silanol, double (tertiary amoxy) (isopropoxy) silane Alcohol, double (isopropoxy) (tertiary amoxy) silanol, double (tertiary amoxy) (tert-butoxy) silanol, double (tert-butoxy) (tertiary amoxy) silanol, three (tertiary amoxy) silanol etc..Precursor gases B shows Example includes water (H2O), oxygen (O2), ozone (O3) etc..
The flowrate settable of precursor gases A is about 0.1sccm or bigger or about 1sccm or bigger, with And about 100sccm or less or about 1000sccm or less.Precursor gases A is incorporated into reaction chamber The time of room can be about 0.01 second or longer or about 0.1 second or longer, and about 10 seconds or shorter or about 100 seconds or shorter.
The flowrate settable of precursor gases B is about 0.1sccm or bigger or about 1sccm or bigger, with And about 100sccm or less or about 1000sccm or less.Precursor gases B is incorporated into reaction chamber Time can be about 0.01 second or longer or about 0.1 second or longer, and about 10 seconds or shorter or about 100 seconds or shorter.
Untreated precursor gases and/or byproduct of reaction can be by before introducing precursor gases A and introducing Between body gas B, purge gas are incorporated into purge out reaction chamber in reaction chamber.Purge gas For the inert gas not reacted with precursor gases.The example of spendable purge gas includes nitrogen, helium Gas, neon, argon gas and their mixture.The flow velocity of purge gas can be e.g., from about 10sccm or more Big or about 50sccm or bigger, and about 500sccm or less or about 1000 or less, and blow The introducing time of scavenging body can be about 1 second or less or about 10 seconds or less, and about 30 seconds or more Less or about 60 seconds or less.
The film of the siloxicon including predetermined thickness or silica can by change introduce precursor gases A and The number of times of B, and the flow velocity of precursor gases A and B and introducing time shape on patterned surface Become.After introducing precursor gases A and/or B, the reaction between precursor gases A and B can be passed through Using heat, plasma, pulsed plasma, Helicon wave plasma, high-density plasma, Inductively coupled plasma, X-ray, electron beam, photon, remote plasma etc. are promoting.
The physical characteristic of so patterned surface of surface treatment can for example pass through contact angle, hardness etc. To evaluate.
In some embodiments, such as it is in the embodiment that fluoride is processed in patterned surface, The water contact angle of the patterned surface of surface treatment is about 70 ° or higher or about 90 ° or higher, Yi Jiyue 120 ° or lower or about 150 ° or lower.Water contact angle can pass through sessile drop method, expansion/shrinkage method, William Rice method (Wilhelmy method) etc. measures.
In some other embodiments, such as it is that silicon is processed to provide hydrophily table in patterned surface In the embodiment in face, the water contact angle of the patterned surface of surface treatment is about 0 ° or higher or about 10 ° Or higher, and about 30 ° or lower or about 45 ° or lower.Water contact angle can by sessile drop method, expand/ Shrinkage method, Wilhelmy method (Wilhelmy method) etc. measure.
In another embodiment, the structuring expression hardness of surface treatment ought be converted into Shore hardness Shi Weiyue 40 or higher or about 50 or higher, and about 87 or lower or about 97 or lower.Surface The hardness of the patterned surface processing can for example be measured by Nanoindentation.If the knot of surface treatment The hardness on structure surface is about 50 or higher when being calculated as Shore hardness, then relatively soft exotic The polymer beads of body such as polyurethanes etc. can be prevented to the adhesion of patterned surface Only.
It is deposited on patterned surface or patterned surface is fluorinated on the modified state that thing is processed or silicon is processed Composition can using x-ray photoelectron spectroscopy (XPS) or using the flight time secondary ion mass spectrometry (SIMS) Etc. (TOF-SIMS) qualitatively or quantitatively evaluate.XPS spectrum can be for example using Kratos Axis Ultra Spectrometer obtains, and Kratos Axis Ultra spectrometer is using the list of the electron emission polar angle to 90 ° of surface Color Al K alpha-photon source.TOF-SIMS can be used for for example being turned to about 1 μm of beam diameter grating The pulse 25keV Ga+ Primary ion Beam of 400x400 um region.
The disclosure further embodiment provides a kind of abrasive material including abrasive material, abrasive material has Patterned surface, patterned surface is configured to there is multiple three-D elements disposed above, structure At least a portion changing surface includes:A () film, including selected from densified fluorocarbon, oxygen carbon The material of the group of SiClx and silica composition;The surface of (b) fluorine end-blocking, or (c) combinations thereof.
In the disclosure, " densified carbon fluoride " refer to due to include relatively great amount of quaternary carbon former Sub and inclusion is formed with the fluorocarbon of the fine and close tridimensional network of C-C key.Densified carbon fluorine Compound has high rigidity, and resist excellent compared to crosslinked or noncrosslinking standard fluoropolymer Abrasiveness and anti-foreign material adhesion.
Densified fluorocarbon may include other atoms such as hydrogen, oxygen, nitrogen in addition to carbon and fluorine Etc..In some embodiments, based on the total amount of the element outside dehydrogenation, densified carbon is fluorinated Compound includes about 20 atom % or more or about 25 atom % or more, and about 65 atom % or more Less or about 60 atom % or less carbon atom.In some other embodiments, outside dehydrogenation Element total amount, densified fluorocarbon includes about 30 atom % or more or about 35 atom % Or more, and about 75 atom % or less or about 70 atom % or less carbon atom.Additionally, In some other embodiments, based on the total amount of the element outside dehydrogenation, densified fluorocarbon Including about 25 atom % or more or about 30 atom % or more, and about 80 atom % or less or About 70 atom % or less quaternary carbon atom being bonded to 4 neighbouring carbon atoms.Densified carbon fluorination The atomic percent of the carbon atom of compound and fluorine atom can be by for example being measured using XPS, and quaternary carbon The atomic percent of atom can for example be measured using 13C-NMR etc..
Siloxicon is including silicon, oxygen and carbon compound, but it is former to may include three-D elements other Son, such as hydrogen, nitrogen etc..Siloxicon is hard and has excellent abrasion resistance, anti-external Material adhesion etc., and can make hydrophilic or hydrophobic by changing composition.If In dry embodiment, based on the total amount of the element outside dehydrogenation, siloxicon contain about 10 atom % or More or about 15 atom % or more, and about 90 atom % or less or about 80 atom % or less Silicon atom.In some other embodiments, based on the total amount of the element outside dehydrogenation, oxygen carbonization Silicon contains about 5 atom % or more or about 10 atom % or more, and about 80 atom % or less or About 70 atom % or less oxygen atom.Additionally, some other embodiments in, based on dehydrogenation it The total amount of outer element, siloxicon contains about 1 atom % or more or about 5 atom % or more, with And about 90 atom % or less or about 80 atom % or less carbon atom.Silicon atom in siloxicon, The atomic percent of oxygen atom and carbon atom can measure by using XPS, TOF-SIOMS etc..
Silica is the compound including silicon and oxygen, but may include the other atoms in addition to carbon, all As hydrogen, nitrogen etc..Silica, especially end have Si-O-H key silica generally hydrophilic Property, and hydrophobic material can be effectively prevented adhere to patterned surface.In some embodiments In, based on the total amount of the element outside dehydrogenation, silica contains about 30 atom % or more or about 33 Atom % or more, and about 55 atom % or less or about 50 atom % or less silicon atom.? In some other embodiments, based on the total amount of the element outside dehydrogenation, it is former that siloxicon contains about 45 Sub- % or more or about 50 atom % or more, and about 70 atom % or less or about 67 atom % Or less oxygen atom.In silica, the atomic percent of silicon atom and oxygen atom can be by using XPS, TOF-SIOMS etc. measure.
Thickness including the film of densified fluorocarbon, siloxicon and silica is typically about 0.05nm or bigger or about 0.5nm or bigger, and about 200 μm or less or about 150 μm or more Little.Film thickness can measure by using XPS, TOF-SIOMS etc..
The fluorine atom density of the patterned surface of fluorine end-blocking is typically about 1 × 1013cm-2Or it is bigger or about 5 ×1013cm-2Or bigger, and about 5 × 1015cm-2Or it is less or about 3 × 1015cm-2Or it is less.Knot The fluorine atom density on structure surface can measure by using XPS, TOF-SIOMS etc..
The abrasive material of the disclosure can be used for various applications, the rough polishing on such as various surfaces, chamfering and fine polishing Light, surface such as semiconductor wafer, magnetic recording medium, glass plate, lens, prism, automotive oil Paint, joints of optical fibre end surface etc., and the finishing for other polishing tools etc..This public affairs The abrasive material opened can also advantageously be used for the application using abrasive slurries.
Embodiment
Illustrate the specific embodiments of the disclosure in the following examples, but the invention is not restricted to This.Except as otherwise noted, otherwise all of " number " and " percentage " are all based on quality.
1.CMP retrofit testing
In embodiment 1 and 2 and comparative example 1 and 2, by five diameter 11mm and thickness The disc type abrasive material of 3mm with from diameter 110mm and thickness 5mm stainless steel disk shape matrix material Centre distance 43mm of material adheres to circumferentially at equal intervals, is then used as CMP finishing.Dish Piece shape abrasive material has carborundum body layer, and wherein patterned surface has the base length of periodic arrangement 360 μm and the square pyramids (pyramid) of 160 microns of height, and the base portion of square pyramids Contact with each other.Diamond layer is coated in carborundum body layer.
The patterned surface of abrasive material is used batch (-type) capacity coupled plasma device WB 7000 (Plasma Therm Industrial Products company) carries out fluoride process (embodiment 1) and silicon Process (embodiment 2).Make the structuring table of comparative example 1 by applying coating solution to patterned surface Face is formed with fluoropolymer coating film, and coating solution passes through with solvent Novec (registration mark) 7100 (being produced by 3M) dissolving fluoropolymer 3M (registration mark) Novec (registration mark) EGC 1720 (being produced by 3M) makes so that solid fraction is 0.1 mass %.Comparative example 2 is not (the comparison test) processing.The detailed treatment conditions of embodiment 1 and 2 present in Table 1.
Embodiment 1 and 2 and the abrasive material of comparative example 1 and 2 are attached to disk and are fixed on mark pleasure (Buehler) in (registration mark) EcoMet (registration mark) 4000 (being produced by mark is happy).By water It is fed to polishing system and replace CMP slurry.Pad (Tao Shiization using carbamate pad ICE 1000 The product of company (Dow)) carry out CMP retrofit testing 1 hour, wherein downward power is 5kgf (each abrasive material 1kgf) and rotary speed are 150RPM (disk)/10rpm (carbamate Pad), then video disc is immersed in 5 minutes in water-bath and is processed with mock standard compounding, by the knot of abrasive material Structure surface faces down and spontaneously dries, and is then observed using light microscope (amplifying 300 times) Patterned surface is to check the accumulation (Fig. 4) of foreign material (carbamate particles).In embodiment In the case of 1 and 2, almost there is no the accumulation of carbamate particles, and observe compared to comparing Example 2 is significantly improved.Comparative example 1 even has big polyurethanes compared to comparative example 2 The accumulation of particle.
Then, using water by abrasive material ultrasonic clean, and using light microscope (amplifying 1500 times) Observe the patterned surface of embodiment 1 and 2 in detail.Especially do not observe in the case of example 1 Damage to surface, but there is being partially stripped of silicon fiml in the case of embodiment 2.
2. motor vehicle paint finish test
In embodiment 3 to 5 and comparative example 3, following abrasive material A to C is used as to remove motor vehicle paint Surface on microcosmic projection polishing pad.
Abrasive material A:Trizact (registration mark) film video disc is rolled up 466 LA-A5 and (is produced by 3M, be equivalent to mill Material granularity #3000)
Abrasive material B:Trizact (registration mark) film video disc is rolled up 466 LA-A3 and (is produced by 3M, be equivalent to mill Material granularity #4000)
Abrasive material C:Trizact (registration mark) diamond video disc 662 XA is (by Sumitomo 3M (Sumitomo 3M) produce)
The patterned surface of abrasive material A to C is used batch (-type) capacity coupled plasma device WB 7000 (Plasma Therm Industrial Products companies) carry out fluoride process (embodiment 3) Process (embodiment 4 and 5) with silicon.Comparative example 3 is untreated (comparison test).Embodiment 3 Detailed treatment conditions to 5 present in Table 1.
Adhesive sheet is applied to the back surfaces of surface treatment or untreated abrasive material A to C, And the video disc of a diameter of 32mm is stamped out.By black paint and celluloid paint (ippon coating public affairs The LX Clear that department (Nippon Paint) produces) it is coated on parkerized steel plate, by spray painting Plate is attached to the device that can operate skin grinder in a horizontal direction, and by abrasive material A to C One be attached to have 3mm track motion 3M (registration mark) polishing skin grinder 3125 (by 3M produce) polished surface, while rotate with about 5000rpm applying 1kgf load, and And the surface of spray painting plate is carried out the distance of polished back 5 20cm with 1 m/min of speed.In polishing Afterwards, visually observe the amount of the abrasive powders on surface adhering to abrasive material A to C, and result is passed through Overall photo is illustrated in Fig. 5 A, and is illustrated in figure by optical microscopy map (amplifying 300 times) In 5B.Adhere to silicon process the abrasive powders of the patterned surface of abrasive material A to C minimum In embodiment 4.
Then, abrasive material A to C is washed with water, and by light microscope (amplifying 300 times) Observe their patterned surface (Fig. 5 C).The whole displaying of embodiment 3 to 5 is compared to comparative example 3 Favourable sanitary characteristics, and the embodiment 4 and 5 that silicon is processed shows that even more favourable cleaning is special Property.For the application of motor vehicle paint finish, typically after polishing is several times, abrasive surface is washed with water Wash, and therefore to have the abrasive material of favourable wash characteristics be extremely advantageous for this application.
3. glass pane surface polishing test
In embodiment 6 and 7 and comparative example 4, by Trizact (registration mark) diamond brick pad 9 μm (being produced by 3M) is with acting on the polishing pad of polished glass plate surface.
The patterned surface of polishing pad is used batch (-type) capacity coupled plasma device WB 7000 (Plasma Therm Industrial Products company) carries out fluoride process (embodiment 6) and silicon Process (embodiment 8).Comparative example 4 is untreated (comparison test).Embodiment 6 and 7 detailed Thin treatment conditions present in Table 1.
Embodiment 6 and 7 and the abrasive pad of comparative example 4 are attached to disk and are set in mark pleasure (Buehler) in (registration mark) EcoMet (registration mark) 4000 (being produced by mark is happy).Will LA-20 5% aqueous solution (being produced by Neos) is applied to polishing system as polishing solution.With By Aoita glass (being produced by Asahi Glass glass Co., Ltd. (Asahi Glass)) polishing under the conditions of lower 150 minutes:Load is 80N, and upper plate rotary speed is 60rpm, and lower plate rotary speed is 450rpm.It is not polished the cleaning of the patterned surface of pad during polishing.
After polishing, polishing pad is placed in 60 DEG C of baking oven to evaporate polishing solution.Measurement drying Weight (the W of polishing pad afterwards1).Then, polishing pad is washed with water, be placed in 60 DEG C of baking oven simultaneously And be dried.Weight (the W of polishing pad after measurement is dry2).Calculate the abrasive powders of adhesion by following formula Amount:W2-W1, and the value of embodiment 6 is 210mg, and the value of embodiment 7 is 110mg, but the value of comparative example 4 is 250mg.Embodiment 6 and 7 is all shown compared to comparative example 4 Favourable sanitary characteristics, and the embodiment 7 that silicon is processed shows even more favourable sanitary characteristics.
Table 1
(drawing reference numeral)
10 abrasive materials
11 abrasive materials
12 three-D elements
13 body layer
14 face coats
15 backings
16 abrasive grains
17 binding agents
The upper part of 18 abrasive materials
The low portion of 19 abrasive materials
121 first triangle cones
122 second triangle cones
123 hexagonal pyramid bodies
124 whole hip shapes

Claims (9)

1. a kind of abrasive material including abrasive material, described abrasive material has patterned surface, described structuring table Face has multiple three-D elements disposed above, processes selected from fluoride and silicon processes structure The surface treatment of the group becoming executes at least a portion of described patterned surface, and institute State fluoride and process the group selected from following composition:Corona treatment, chemical vapor deposition Amass, physical vapour deposition (PVD) and fluorine gas are processed.
2. abrasive material according to claim 1, wherein said multiple three-D elements are periodically arranged On described patterned surface.
3. abrasive material according to claim 1 and 2, wherein said silicon processes and is selected from following composition Group:Corona treatment, chemical vapor deposition, physical vapour deposition (PVD) and atomic layer deposition Long-pending.
4. abrasive material according to any one of claim 1 to 3, wherein said abrasive material includes this Body layer and face coat, described body layer comprises carborundum, and described face coat comprises to be set Put the diamond-like-carbon at least a portion of described body layer.
5. abrasive material according to any one of claim 1 to 3, wherein said abrasive material includes grinding Material particle and binding agent.
6. abrasive material according to any one of claim 1 to 5, wherein said multiple three-D elements There is the shape of the group selected from following composition:Circular cylinder, elliptical cylinder, prism, Hemisphere, semielliptical, cone, pyramid, the truncated cone, truncated pyramid, whole hip shape and Combinations thereof.
7. a kind of method manufacturing abrasive material, including:
There is provided the abrasive material including abrasive material, described abrasive material has patterned surface, described structure Change surface and be configured to that there are multiple three-D elements disposed above;And
In at least a portion of the described patterned surface of described abrasive material, execution is selected from fluorination The surface treatment of the group that thing is processed and silicon process is constituted;
Fluoride processes the group selected from following composition:Corona treatment, chemical vapor deposition Amass, physical vapour deposition (PVD) and fluorine gas are processed.
8. method according to claim 7, wherein said silicon is processed selected from following composition Group:Corona treatment, chemical vapor deposition, physical vapour deposition (PVD) and ald.
9. a kind of abrasive material with abrasive material, described abrasive material has patterned surface, described structuring Surface is configured to there is multiple three-D elements disposed above, described patterned surface At least a portion includes:(a) film, described film comprise selected from densified fluorocarbon, The material of the group of siloxicon and silica composition;(b) fluorine end-blocking surface, or (c) they Combination.
CN201580005532.7A 2014-01-24 2015-01-21 Abrasive material having a structured surface Pending CN106413986A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461931136P 2014-01-24 2014-01-24
US61/931,136 2014-01-24
PCT/US2015/012158 WO2015112540A1 (en) 2014-01-24 2015-01-21 Abrasive material having a structured surface

Publications (1)

Publication Number Publication Date
CN106413986A true CN106413986A (en) 2017-02-15

Family

ID=53681879

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580005532.7A Pending CN106413986A (en) 2014-01-24 2015-01-21 Abrasive material having a structured surface

Country Status (6)

Country Link
US (1) US20170008143A1 (en)
JP (1) JP2017503670A (en)
KR (1) KR20160114627A (en)
CN (1) CN106413986A (en)
TW (1) TW201538272A (en)
WO (1) WO2015112540A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110065011A (en) * 2018-01-23 2019-07-30 项刚 Skive and preparation method thereof
CN110530313A (en) * 2019-07-26 2019-12-03 西安交通大学 One kind is across multiple dimensioned line width standard of magnitude and preparation method thereof
CN110869206A (en) * 2017-07-11 2020-03-06 3M创新有限公司 Abrasive article including conformable coating and polishing system formed thereby

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5921790B1 (en) * 2014-07-07 2016-05-24 バンドー化学株式会社 Polishing film
US10967399B2 (en) * 2016-06-30 2021-04-06 3M Innovative Properties Company Fluorocarbon release coating
JP6925699B2 (en) * 2016-10-04 2021-08-25 株式会社ディスコ Surface grinding wheel
US20200172780A1 (en) * 2017-07-11 2020-06-04 3M Innovative Properties Company Abrasive articles including conformable coatings and polishing system therefrom
US20200130133A1 (en) * 2017-07-11 2020-04-30 3M Innovative Properties Company Abrasive articles including conformable coatings and polishing system therefrom
CN111032285B (en) * 2017-08-25 2022-07-19 3M创新有限公司 Polishing pad with surface protrusions
TWI649775B (en) * 2018-01-02 2019-02-01 台灣積體電路製造股份有限公司 Ion implanter and method of manufacturing chamber of ion implanter
US11331767B2 (en) 2019-02-01 2022-05-17 Micron Technology, Inc. Pads for chemical mechanical planarization tools, chemical mechanical planarization tools, and related methods
US20210040608A1 (en) * 2019-08-05 2021-02-11 GM Global Technology Operations LLC Method for bonding a polymeric material to a substrate
TWI761921B (en) 2019-10-30 2022-04-21 南韓商Skc索密思股份有限公司 Polishing pad, process for preparing the same, and process for preparing a semiconductor device using the same
KR102287923B1 (en) * 2019-10-30 2021-08-09 에스케이씨솔믹스 주식회사 Polishing pad, preparation method thereof, and preparation method of semiconductor device using same
KR102298114B1 (en) * 2019-11-05 2021-09-03 에스케이씨솔믹스 주식회사 Polishing pad, preparation method thereof, and preparation method of semiconductor device using same
US20220178017A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Cfx layer to protect aluminum surface from over-oxidation
TWI779728B (en) * 2021-07-20 2022-10-01 大陸商廈門佳品金剛石工業有限公司 Diamond dressing disc and manufacturing method thereof
CN116652825B (en) * 2023-07-24 2023-11-10 北京寰宇晶科科技有限公司 Diamond CMP polishing pad trimmer and preparation method thereof

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6015597A (en) * 1997-11-26 2000-01-18 3M Innovative Properties Company Method for coating diamond-like networks onto particles
US6458018B1 (en) 1999-04-23 2002-10-01 3M Innovative Properties Company Abrasive article suitable for abrading glass and glass ceramic workpieces
JP4519970B2 (en) 1999-12-21 2010-08-04 スリーエム イノベイティブ プロパティズ カンパニー Polishing material in which the polishing layer has a three-dimensional structure
US6821189B1 (en) * 2000-10-13 2004-11-23 3M Innovative Properties Company Abrasive article comprising a structured diamond-like carbon coating and method of using same to mechanically treat a substrate
US20050025973A1 (en) 2003-07-25 2005-02-03 Slutz David E. CVD diamond-coated composite substrate containing a carbide-forming material and ceramic phases and method for making same
US8080073B2 (en) * 2007-12-20 2011-12-20 3M Innovative Properties Company Abrasive article having a plurality of precisely-shaped abrasive composites
CN101925441B (en) * 2007-12-31 2013-08-14 3M创新有限公司 Plasma treated abrasive article and method of making same

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110869206A (en) * 2017-07-11 2020-03-06 3M创新有限公司 Abrasive article including conformable coating and polishing system formed thereby
TWI784027B (en) * 2017-07-11 2022-11-21 美商3M新設資產公司 Abrasive articles including conformable coatings and polishing system therefrom
CN110065011A (en) * 2018-01-23 2019-07-30 项刚 Skive and preparation method thereof
CN110530313A (en) * 2019-07-26 2019-12-03 西安交通大学 One kind is across multiple dimensioned line width standard of magnitude and preparation method thereof

Also Published As

Publication number Publication date
JP2017503670A (en) 2017-02-02
TW201538272A (en) 2015-10-16
KR20160114627A (en) 2016-10-05
WO2015112540A1 (en) 2015-07-30
US20170008143A1 (en) 2017-01-12

Similar Documents

Publication Publication Date Title
CN106413986A (en) Abrasive material having a structured surface
JP6180698B1 (en) Water repellent coating and product on which it is formed
TWI765248B (en) An apparatus and method of forming a polishing article that has a desired zeta potential
CN107546136B (en) Article and chamber assembly for manufacturing chamber
Kim et al. The erosion behaviors of Y2O3 and YF3 coatings under fluorocarbon plasma
US6858537B2 (en) Process for smoothing a rough surface on a substrate by dry etching
JP6939853B2 (en) Thermal spray coating, method of manufacturing thermal spray coating, and thermal spraying member
TW201343386A (en) Ceramic coated ring and process for applying ceramic coating
Arnold et al. Plasma Jet Machining: A novel technology for precision machining of optical elements
WO1999008838A1 (en) Chemical mechanical polishing composition
EP2996818B1 (en) Method of making easy-clean surface
Lin et al. Fabrication of high transparency diamond-like carbon film coating on D263T glass at room temperature as an antireflection layer
CN109777352A (en) Super abrasive New Two Dimensional composite material and preparation method
JP2018103534A (en) Hard coat film and method for producing the same
JP4782214B2 (en) Plastic flexible composition for polishing and coating of surface protective material
CN102041481B (en) Method of making durable articles
JP5310848B2 (en) Silicon wafer polishing method and silicon wafer
US20190233658A1 (en) Method to selectively pattern a surface for plasma resistant coat applications
CN110869166B (en) Abrasive article including conformable coating and polishing system formed therefrom
JP5839162B2 (en) Chemical mechanical polishing pad and chemical mechanical polishing method
KR20150100415A (en) Superhydrophobic thin film, and preparing method of the same
TW202208883A (en) Optical film with anti-fouling layer
CN108349792A (en) Complex optics coating and its manufacturing method(Modification)
TW534855B (en) Polishing material for dry blast processing
JPH05286789A (en) Diamond containing composite coated member and manufacture thereof

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20170215