TW201314484A - 用於最佳化待使用光學微影術製造之基板上之影像的方法及系統 - Google Patents

用於最佳化待使用光學微影術製造之基板上之影像的方法及系統 Download PDF

Info

Publication number
TW201314484A
TW201314484A TW101134276A TW101134276A TW201314484A TW 201314484 A TW201314484 A TW 201314484A TW 101134276 A TW101134276 A TW 101134276A TW 101134276 A TW101134276 A TW 101134276A TW 201314484 A TW201314484 A TW 201314484A
Authority
TW
Taiwan
Prior art keywords
pattern
reticle
substrate
image
mask
Prior art date
Application number
TW101134276A
Other languages
English (en)
Inventor
Akira Fujimura
Original Assignee
D2S Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by D2S Inc filed Critical D2S Inc
Publication of TW201314484A publication Critical patent/TW201314484A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31761Patterning strategy
    • H01J2237/31764Dividing into sub-patterns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31769Proximity effect correction
    • H01J2237/31771Proximity effect correction using multiple exposure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31776Shaped beam

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electron Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

本案揭示一種用於最佳化待使用光學微影術印刷於基板上之影像之方法與系統,其中確定帶電粒子束擊點(其中一些重疊)之集合以便在諸如倍縮光罩之表面上形成目標圖案。帶電粒子束擊點經模擬以確定將形成於該表面上之圖案。接著,自經模擬表面圖案計算基板影像。接著,更改擊點集合中之一或多個擊點以改良經計算之基板影像。

Description

用於最佳化待使用光學微影術製造之基板上之影像的方法及系統 發明領域
本發明係關於微影術,且更特定而言係關於使用帶電粒子束微影術設計及製造可為倍縮光罩、晶圓或任何其他表面之表面。
發明背景
在半導體元件(諸如積體電路)之生產或製造中,光學微影術可用於製造半導體元件。光學微影術為一種印刷製程,其中由倍縮光罩製成之微影遮罩或光罩用於將圖案轉印至諸如半導體或矽晶圓之基板以產生積體電路(I.C.)。其他基板可包括平板顯示器、全像遮罩或甚至其他倍縮光罩。儘管習知光學微影術使用波長193 nm之光源,但在此應用中極紫外線(EUV)或X射線微影術亦為光學微影術之考慮類型。倍縮光罩或多個倍縮光罩可含有對應於積體電路之個別層之電路圖案,且此圖案可成像於已塗佈有稱為光阻劑或抗蝕劑之一層輻射敏感性材料之基板上的某一區域上。一旦圖案化層經轉印,該層即可經受各種其他製程,諸如蝕刻、離子植入(摻雜)、金屬化、氧化及拋光。此等製程用於修整基板中之個別層。若需要若干層,則將對各新層重複整個製程或其變化形式。最終,多個元件或積體電路之組合將存在於基板上。此等積體電路可接著藉由切割或鋸切彼此分離,且可接著安裝至個別包裝中。在 更一般情況下,基板上之圖案可用於定義人造物(artifact),諸如顯示像素、全像圖或磁性記錄頭。
在半導體元件(諸如積體電路)之生產或製造中,非光學方法可用於將微影遮罩上之圖案轉印至諸如矽晶圓之基板。奈米壓印微影術(NIL)為非光學微影術製程之一實例。在奈米壓印微影術中,經由微影術遮罩與表面之接觸將微影遮罩圖案轉印至表面。
兩種常見類型之帶電粒子束微影術為可變成形束(VSB)及字元投影(CP)。此等均為成形束帶電粒子束微影術之子類,其中精確電子束經成形且經操縱,以便曝光經抗蝕劑塗佈之表面,諸如晶圓之表面或倍縮光罩之表面。在VSB中,此等形狀為簡單形狀,通常局限於具有特定最小及最大尺寸且邊平行於笛卡爾(Cartesian)座標平面(亦即「曼哈頓(manhattan)」定向)之軸之矩形及具有特定最小及最大尺寸之45度直角三角形(亦即三個內角為45度、45度及90度之三角形)。在預定位置處,將電子劑量擊點入具有此等簡單形狀之抗蝕劑中。此類型系統之總寫入時間隨擊點數目增加。在字元投影(CP)中,系統中存在模板,該模板中具有許多光圈或字元,其可為複雜形狀,諸如直線、成任意角度線形、圓形、接近圓形、環形、接近環形、卵形、接近卵形、部分圓形、部分接近圓形、部分環形、部分接近環形、部分接近卵形或任意曲線形狀,且其可為複雜形狀之連通集或複雜形狀之連通集之不相交集之群組。可經由模板上之字元擊點電子束以在倍縮光罩上有效產生更複 雜圖案。理論上,此類系統可比VSB系統更快,因為其每一耗時擊點可擊點更複雜形狀。因此,在VSB系統之情況下E形圖案花費四次擊點,而在字元投影系統之情況下使用一次擊點可擊點出相同E形圖案。應注意,VSB系統可被視為字元投影之特定(簡單)情況,其中字元正為簡單字元,通常為矩形或45-45-90度三角形。亦有可能部分曝光字元。此可藉由例如阻擋部分粒子束來進行。舉例而言,可將上述E形圖案部分曝光成F形圖案或I形圖案,其中由光圈切斷束之不同部分。此為與可如何使用VSB擊點不同尺寸之矩形相同之機構。在本揭示案中,部分投影用於意謂字元投影與VSB投影兩者。
如所指示,在微影術中,微影遮罩或倍縮光罩包含對應於待整合於基板上之電路組件之幾何圖案。用於製造倍縮光罩之圖案可利用電腦輔助設計(CAD)軟體或程式產生。在設計圖案時,CAD程式可遵循一組預定設計規則以產生倍縮光罩。此等規則由處理、設計及終端使用限制設定。終端使用限制之一實例為以在所需供應電壓下不能充分操作之方式限定電晶體之幾何結構。詳言之,設計規則可限定電路元件與互連線之間的空間允差。設計規則例如用於確保電路元件或線不以不合乎需要之方式彼此相互作用。舉例而言,使用設計規則以使線不以可能造成短路之方式變得過於接近於彼此。設計規則限制尤其反映可可靠製造之最小尺寸。當提及此等小尺寸時,通常引入臨界尺寸之概念。此等小尺寸例如定義為最小線寬或兩條線之 間的最小空間,彼等尺寸需要精密控制。
藉由光學微影術之積體電路製造中之一個目標在於藉由使用倍縮光罩複製基板上之原始電路設計。積體電路製造者總是試圖盡可能有效地使用半導體晶圓不動產。工程師持續縮小電路之尺寸以使積體電路含有更多電路元件且使用較少功率。當積體電路臨界尺寸之大小減小且其電路密度增加時,電路圖案或實體設計之臨界尺寸接近習知光學微影術中所使用之光學曝光工具之解析極限。當電路圖案之臨界尺寸變得較小且接近曝光工具之解析值時,實體設計至顯影於抗蝕劑層上之實際電路圖案之精確轉錄變得困難。為進一步使用光學微影術來轉印特徵小於光學微影術製程中所使用之光波長之圖案,已開發一種稱為光學鄰近修正(OPC)之製程。OPC改變實體設計以補償由諸如光學繞射及特徵與接近特徵之光學相互作用之效應引起的失真。OPC包括使用倍縮光罩執行之所有解析增強技術。
OPC可添加次解析微影特徵至遮罩圖案以減少原始實體設計圖案(亦即設計)與基板上之最終轉印電路圖案之間的差異。次解析微影特徵與實體設計中之原始圖案且彼此相互作用,並補償鄰近效應,以改良最終轉印電路圖案。用於改良圖案之轉印之一個特徵為次解析輔助特徵(SRAF)。添加以改良圖案轉印之另一特徵稱為「襯線」。襯線為可定位於圖案之內部角落或外部角落上以銳化最終轉印影像中之角落的小特徵。通常情況為表面製造製程對 SRAF之精確需求小於對意欲印刷於基板上之圖案(常稱為主要特徵)之精確需求。襯線為主要特徵之一部分。因為光學微影術之極限正進一步延伸至次波長狀態,所以必須使OPC特徵愈來愈複雜以補償甚至更隱微之相互作用及效應。因為成像系統被推進得更接近於其極限,所以產生具有充分精細OPC特徵之倍縮光罩之能力變得至關重要。儘管添加襯線或其他OPC特徵至遮罩圖案為有利的,但此舉亦實質上增加遮罩圖案中之總特徵計數。舉例而言,使用習知技術添加襯線至直角之各角落會添加另外八個矩形至遮罩或倍縮光罩圖案。添加OPC特徵為一項極其費力之任務,需要昂貴計算時間,且導致倍縮光罩更昂貴。不僅OPC圖案複雜,而且由於光學鄰近效應相較於最小線及空間尺寸為長程,所以既定位置中之正確OPC圖案顯著取決於在鄰近中為何種其他幾何結構。因此,舉例而言,取決於倍縮光罩上線末端附近為何物,線末端將具有不同尺寸之襯線。即使如此,目標可能為在晶圓上精確產生相同形狀。此等輕微但關鍵之變化為重要的且已阻止他人能夠形成倍縮光罩圖案。就主要特徵(亦即在OPC裝飾之前反映設計之特徵)及OPC特徵而言,通常論述欲寫於倍縮光罩上之OPC裝飾圖案,其中OPC特徵可能包括襯線、凹凸部及SRAF。為定量輕微變化意謂何物,鄰近OPC裝飾中之間的典型輕微變化可為主要特徵尺寸之5%至80%。應注意,為明確起見,OPC之設計中之變化為所參考之物。諸如角落圓化之製造變化將亦存在於實際表面圖案中。當此等OPC變化在 晶圓上產生實質上相同圖案時,此意謂晶圓上之幾何結構定標為在特定誤差內為相同,此舉取決於彼幾何結構經設計以執行之功能之詳情,例如電晶體或電線。然而,典型規格處於主要特徵範圍之2%-50%內。存在亦會引起變化之許多製造因素,但總誤差之OPC分量通常處於所列範圍內。諸如次解析輔助特徵之OPC形狀服從各種設計規則,諸如基於可使用光學微影術轉印至晶圓之最小特徵之尺寸的規則。其他設計規則可來自遮罩製造製程,或若字元投影帶電粒子束寫入系統用於在倍縮光罩上形成圖案,則可來自模板製造製程。亦應注意,遮罩上之SRAF特徵之精度需求可低於遮罩上之主要特徵之精度需求。當製程節點繼續縮小時,光罩上之最小SRAF之尺寸亦縮小。舉例而言,在20 nm邏輯製程節點處,最高精確層遮罩上需要40 nm至60 nm SRAF。
反向微影術技術(ILT)為一種類型之OPC技術。ILT為一種直接自需要形成於諸如矽晶圓之基板上之圖案計算待形成於倍縮光罩上之圖案的製程。此可包括使用基板上之所要圖案作為輸入,在反向方向上模擬光學微影術製程。ILT計算之倍縮光罩圖案可為完全曲線-亦即完全非直線-且可包括圓形、接近圓形、環形、接近環形、卵形及/或接近卵形圖案。因為使用習知技術在倍縮光罩上形成此等理想ILT曲線圖案為困難且昂貴的,所以可使用曲線圖案之直線近似或直線化。然而,相較於理想ILT曲線圖案,直線近似使精度降低。另外,若直線近似係自理想ILT曲線圖 案產生,則相較於理想ILT曲線圖案,總計算時間增加。在本揭示案中,ILT、OPC、源遮罩最佳化(SMO)及計算微影術為可互換使用之術語。
存在用於在倍縮光罩上形成圖案之許多技術,包括使用光學微影術或帶電粒子束微影術。最常用之系統為可變成形束(VSB),其中如上文所描述,具有諸如曼哈頓矩形及45度直角三角形之簡單形狀之電子劑量曝光抗蝕劑塗佈之倍縮光罩表面。在習知遮罩寫入中,電子之劑量或擊點通常經設計以盡可能避免重疊,以便大大簡化倍縮光罩上之抗蝕劑將如何記錄圖案之計算。類似地,擊點集合經設計以便完全覆蓋待形成於倍縮光罩上之圖案區域。本專利申請案之受讓人所擁有且出於所有目的以引用之方式併入本文之美國專利7,754,401揭示一種使用用於寫入圖案之意向擊點重疊之遮罩寫入方法。當使用重疊擊點時,帶電粒子束模擬可用於確定倍縮光罩上之抗蝕劑將記錄之圖案。重疊擊點之使用可允許圖案以減少之擊點計數或較高精度或兩者寫入。美國專利7,754,401亦揭示劑量調節之使用,其中指定擊點劑量相對於其他擊點之劑量而改變。術語基於模型之破裂用於描述使用美國專利7,754,401之技術確定擊點之製程。
用於最先進技術節點之倍縮光罩寫入通常涉及多遍帶電粒子束寫入(一種稱為多遍曝光之製程),藉此寫入且覆寫倍縮光罩上之既定形狀。通常,二至四遍用於寫入倍縮光罩以平均化帶電粒子束撰寫器中之精確誤差,從而 允許產生更精確之光罩。又通常,擊點之清單(包括劑量)對於每一遍而言相同。在多遍曝光之一個變化形式中,各遍曝光之間的擊點清單可不同,但任何一遍曝光中之擊點之聯合覆蓋相同區域。多遍寫入可減少塗佈表面之抗蝕劑之過熱。多遍寫入亦平均化帶電粒子束撰寫器之隨機誤差。
當前光學微影術寫入機器通常在光學微影製程期間使光罩圖案減少四倍。因此,形成於倍縮光罩或遮罩上之圖案必須比基板或晶圓上之所要圖案之尺寸大四倍。
發明概要
本發明揭示一種用於最佳化待使用光學微影術印刷於基板上之影像之方法及系統,其中確定帶電粒子束擊點(其中一些重疊)之集合以便在諸如倍縮光罩之表面上形成目標圖案。帶電粒子束擊點經模擬以確定將形成於表面上之圖案。接著,自經模擬表面圖案計算基板影像。接著更改擊點集合中之一或多個擊點以改良經計算之基板影像。
圖式簡單說明
第1圖示出帶電粒子束系統之一實例;第2A圖示出來自電腦輔助設計(CAD)系統之設計圖案之一實例;第2B圖示出需要待由第2A圖之CAD圖案形成於晶圓上之影像的一實例;第2C圖示出用於倍縮光罩之OPC計算圖案之一 實例,其意欲在晶圓上形成第2B圖之圖案;第2D圖示出第2C圖之圖案之直線化形式之一實例;第3A圖示出擊點集合之一實例;第3B圖示出可由第3A圖之擊點集合形成的經計算倍縮光罩圖案之一實例;第3C圖示出由第3B圖之倍縮光罩圖案計算之晶圓影像的一實例;第4A圖示出自第3A圖之擊點集合更改的擊點集合之一實例;第4B圖示出可由第4A圖之擊點集合形成的經計算倍縮光罩圖案之一實例;[0023]第4C圖示出由第4B圖之倍縮光罩圖案計算之晶圓影像的一實例;[0024]第5圖示出用於執行雙重模擬之概念性流程圖之一實施例;第6圖示出用於在製造諸如矽晶圓上之積體電路之基板中製備表面之概念性流程圖之一實施例;第7A圖示出橫截劑量圖之一實例,其展示兩個抗蝕劑臨限值中每一者之記錄圖案寬度;及[0027]第7B圖示出類似於第7A圖但劑量邊緣斜率比第7A圖中更高之橫截劑量圖之一實例。
較佳實施例之詳細說明
可使用雙重模擬來確定將使用光學微影製程形成於諸如矽晶圓之基板上之影像,且接著更改擊點集合以便改良或最佳化經模擬基板影像,來實現本發明之改良及優點。
現參閱圖式,其中相同數值代表相同條目,第1圖鑒別微影術系統之一實施例,諸如帶電粒子束撰寫器系統,在此情況下為電子束撰寫器系統10,該微影術系統根據本發明採用可變成形束(VSB)來製造表面12。電子束撰寫器系統10具有朝向光圈板18投射電子束16之電子束源14。板18中形成有允許電子束16穿過之光圈20。一旦電子束16穿過光圈20,該電子束即由透鏡系統(未圖示)作為電子束22朝向另一矩形光圈板或模板遮罩24導引或偏斜。模板遮罩24中形成有界定諸如矩形及三角形之各種簡單形狀之許多光圈26。形成於模板遮罩24中之各光圈26皆可用於在表面12上形成圖案。電子束30自光圈26中一個出射且被導引至表面12上作為圖案28。表面12塗佈有與電子束30反應之抗蝕劑(未圖示)。電子束22可經導引以重疊光圈26之可變部分,從而影響圖案28之尺寸及形狀。將表面12安裝於可移動平臺32上。平臺32允許表面12再定位,以便可將比帶電粒子束30之最大偏轉能力或場大小更大之圖案寫至表面12。在一個實施例中,表面12可為倍縮光罩。在此實施例中,倍縮光罩在使用圖案曝光之後經歷各種製造步驟,經由該等製造步驟其變為微影遮罩或光罩。遮罩可接著用於光學微影術機器中,以將倍縮光罩圖案28(通常尺寸減小) 之影像投射至矽晶圓上來產生積體電路。更一般而言,將遮罩用於另一元件或機器中以將圖案28轉印至基板上。在另一實施例中,表面12可為諸如矽晶圓之基板之表面。
可使用合理準確度投射至表面12上之最小尺寸圖案受與電子束撰寫器系統10及表面12相關聯之許多短程物理效應限制。此等效應包括前向散射、庫侖效應及光阻擴散。束模糊(亦稱為βf)為用於包括所有此等短程效果之術語。大多數現代電子束撰寫器系統可在20 nm至30 nm之範圍內達成有效束模糊半徑或βf。前向散射可構成總束模糊之四分之一至一半。現代電子束撰寫器系統含有許多機構以將束模糊之各構成件減少至最小。因為束模糊之一些分量為粒子束撰寫器之校正位準之函數,所以相同設計之兩個粒子束撰寫器之βf可不同。抗蝕劑之擴散特性亦可變化。基於擊點尺寸或擊點劑量之βf之變化可經模擬且系統地說明。但存在不能或未說明之其他效應,且其表現為隨機變化。
諸如電子束撰寫器系統之帶電粒子束撰寫器之擊點劑量為用於各擊點之束源14之強度及曝光時間的函數。通常,束強度保持固定,且改變曝光時間以獲得可變擊點劑量。曝光時間可在稱為鄰近效應修正(PEC)之製程中改變,以補償諸如反向散射及霧化之各種長程效應。電子束撰寫器系統通常允許設定總劑量(稱為基本劑量),其影響一遍曝光中之所有擊點。一些電子束撰寫器系統在電子束撰寫器系統本身內執行劑量補償計算,且不允許各擊點之 劑量個別地指定為輸入擊點清單之一部分,輸入擊點因此具有未指定之擊點劑量。在此等電子束撰寫器系統中,在PEC之前,所有擊點皆具有基本劑量。其他電子束撰寫器系統允許在逐一擊點之基礎上進行劑量指定。在允許逐一擊點劑量指定之電子束撰寫器系統中,可用劑量級之數目可為64至4096個或4096個以上,或可存在相對較少之可用劑量級,諸如3至8級。本發明之一些實施例之目標在於與允許指定相對較少劑量級中之一者之帶電粒子束寫入系統一起使用。
電子束撰寫器內之機構具有用於計算之相對粗略的解析。因此,諸如可為EUV遮罩所需之在2 μm範圍內之中程修正不能由當前電子束撰寫器精確計算。
通常,擊點經設計以便使用矩形擊點完全覆蓋輸入圖案,同時盡可能避免擊點重疊。另外,所有擊點經設計以具有正常劑量,其為相對較大矩形擊點在不存在長程效應之情況下將在表面上產生尺寸與擊點尺寸相同之圖案所處之劑量。
在曝光時,例如使用帶電粒子束微影術之表面上之重複圖案、各圖案實例之尺寸(如在最終製造表面上所量測)將由於製造變化而稍有不同。尺寸變化之量為基本製造最佳化準則。在當前遮罩掩蔽中,可需要圖案尺寸之均方根(RMS)至多變化1 nm(1σ))之。更大尺寸變化轉變成更大電路效能變化,導致需要較高設計裕度,從而使得日益難以設計較快、功率較低之積體電路。此變化稱為臨界尺寸 (CD)變化。低CD變化合乎需要,且指示製造變化將產生最終製造表面上之相對較小的尺寸變化。在較小規模中,高CD變化之效應可觀測為線邊緣粗糙度(LER)。LER係由稍微不同製造之線邊緣之各部分所引起,導致意欲具有直邊緣之線中之有一些波紋。CD變化尤其與抗蝕劑臨限值處之劑量曲線之斜率反相關,該斜率稱為邊緣斜率。因此,邊緣斜率或劑量裕度為用於表面之粒子束寫入之關鍵最佳化因素。在本揭示案中,邊緣斜率及劑量裕度為可互換使用之術語。
在習知破裂的情況下,無擊點重疊、裂隙或劑量調節,寫入形狀之劑量裕度視為不可變的:亦即不存在藉由選擇破裂選項來改良劑量裕度之機會。在現代實踐中,避免稱為裂片之極狹窄之擊點為有助於最佳化用於劑量裕度之擊點清單的基於實際規則之方法之一實例。
在可產生重疊擊點及劑量調節之擊點的破裂環境中,存在使劑量裕度最佳化之需要與機會兩者。藉由使用擊點重疊及劑量調節所允許之擊點組合中之額外可撓性允許產生看來似乎在表面上產生目標遮罩形狀之破裂解決方案,但僅可在理想製造條件下如此實行。因此,使用重疊擊點及劑量調節之擊點產生處理劑量裕度及其改良之問題之誘因。
第7A圖-第7B圖說明如何可藉由曝光抗蝕劑上之圖案以便在曝光或劑量曲線中產生相對較高之邊緣斜率來減少臨界尺寸變化,諸如在2011年6月25日申請之標題名 稱為「Method and System for Forming High Accuracy Patterns Using Charged Particle Beam Lithography」之美國專利申請案第13/168,954號中所描述,該美國專利申請案據此出於所有目的以引用之方式併入本文中。第7A圖示出橫截劑量曲線702,其中x軸展示經由曝光圖案之橫截距離-諸如垂直於圖案邊緣中兩者之距離-且y軸展示由抗蝕劑接收之劑量。圖案由接收之劑量比臨限值更高處之抗蝕劑記錄。兩個臨限值示於第7A圖中,從而說明抗蝕劑敏感性變化之效應。較高臨限值704使寬度714之圖案由抗蝕劑記錄。較低臨限值706使寬度716之圖案由抗蝕劑記錄,其中寬度716大於寬度714。第7B圖說明另一橫截劑量曲線722。說明兩個臨限值,其中臨限值724與第7A圖之臨限值704相同,且臨限值726與第7A圖之臨限值706相同。劑量曲線722之斜率在兩個臨限值附近比劑量曲線702之斜率更高。對於劑量曲線722,較高臨限值724使寬度734之圖案由抗蝕劑記錄。較低臨限值726使寬度736之圖案由抗蝕劑記錄。如可看出,由於劑量曲線722相較於劑量曲線702具有較高邊緣斜率,寬度736與寬度734之間的差異小於寬度716與寬度714之間的差異。若抗蝕劑塗佈之表面為倍縮光罩,則曲線722對抗蝕劑臨限值變化之較低敏感性可使由倍縮光罩製成之光罩上之圖案寬度更接近於用於光罩之目標圖案寬度,藉此在光罩用於將圖案轉印至諸如矽晶圓之基板時增加可用積體電路之產量。對於具有較高邊緣斜率之劑量曲線觀測到用於各擊點之劑量之變化容差有類似改良。因 此,達成諸如劑量曲線722中之相對較高之邊緣斜率合乎需要。
如上文所述,製程變化可使光罩上之圖案之寬度與預期或目標寬度不同。光罩上之圖案寬度變化將導致已在光學微影製程中使用光罩曝光之晶圓上之圖案寬度變化。晶圓圖案寬度對光罩圖案寬度變化之敏感性稱為遮罩邊緣誤差因子或MEEF。在使用4×光罩之光學微影術系統中,其中光學微影製程將光罩圖案之4×減少形式投射至晶圓上,MEEF 1例如意謂對於光罩上之圖案寬度中每1 nm誤差,晶圓上之圖案寬度將改變0.25 nm。MEEF 2意謂對於光罩圖案寬度中1 nm誤差,晶圓上之圖案寬度將改變0.5 nm。對於最小積體電路製程,MEEF可大於2。
第2A圖示出電腦輔助設計(CAD)圖案202之一實例,電腦輔助設計圖案202為自CAD系統輸出之圖案。如可看出,CAD圖案202之所有邊緣皆為曼哈頓,且所有角皆為直角。儘管自CAD系統輸出之圖案通常具有直角的角,但眾所周知,直角的角例如不能使用習知光學微影技術在晶圓上形成。第2B圖示出基於CAD圖案202之為用於晶圓之目標影像之圖案212的一實例。目標晶圓影像212為實際需要形成於晶圓上之曲線影像。第2C圖示出用於若用於光學微影製程中則可在晶圓上形成類似於影像212之影像的倍縮光罩之目標圖案222之一實例。圖案222通常可為OPC製程之輸出。在一些實施例中,圖案222可為產生用於倍縮光罩圖案之理想曲線形狀之ILT製程的輸出。然而,難以產生將 在倍縮光罩上形成諸如圖案222之曲線圖案之習知非重疊VSB擊點集合。因此,可進行ILT後處理步驟以直線化圖案222,亦即產生直線圖案,諸如第2D圖圖案232,其可形成接近於目標晶圓影像212之晶圓影像。使用習知非重疊擊點,直線化ILT圖案比理想曲線ILT圖案更容易破裂。然而,如上文所述,直線化具有兩個缺點:1)直線化為計算密集製程且因此較慢,及2)可使用諸如圖案232之直線化圖案形成於晶圓上之影像可不如同已使用之具有理想圖案222之倍縮光罩一般接近於目標晶圓影像212。參閱2),以直線化圖案製造之倍縮光罩可具有比使用理想曲線ILT圖案製造之倍縮光罩更不良的可製造性。
使用基於模型之破裂允許產生擊點集合,該擊點集合可形成具有比使用習知非重疊VSB擊點更高準確度及/或更少擊點之圖案,諸如曲線圖案222。在基於模型之破裂中,擊點可重疊,且若指定之擊點劑量受粒子束曝光系統支援,則不同擊點在長程效應修正(稱為鄰近效應修正或PEC)之前可具有不同劑量。當與ILT一起使用時,可進行諸如圖案222之理想曲線ILT圖案之基於模型的破裂,從而避免需要直線化。基於模型之破裂可與VSB或複雜字元投影(CP)一起使用。
第3A圖示出可產生以形成第2C圖之圖案222之重疊擊點集合302的一實例。擊點集合302包含九個VSB擊點:擊點304、擊點306、擊點308、擊點310、擊點312、擊點314、擊點316、擊點318及擊點320。第3B圖示出可使用 擊點集合302產生於倍縮光罩上之圖案322之一實例。倍縮光罩圖案322可經模擬,以便在製造倍縮光罩及光罩之前確定其形狀。模擬有助於自擊點集合302形成倍縮光罩圖案322之效應需要考慮可組織成以下兩個群組之許多效應:
● 與粒子束曝光本身相關聯之現象。可模擬之效應包括前向散射、反向散射、光阻擴散、庫侖效應、霧化、負載及光阻充電。此等效應之模擬稱為帶電粒子束模擬。
● 遵循粒子束曝光製程之現象。此等製程包括光阻烘焙製程、光阻顯影製程及蝕刻製程。此等效應之模擬稱為遮罩製程模擬。最簡單形式為本揭示案中涵蓋之常數或基於規則的偏壓模型。
在本發明之一些實施例中,倍縮光罩圖案之模擬繼之以使用經模擬之倍縮光罩圖案計算晶圓影像。倍縮光罩圖案模擬及晶圓影像計算步驟在本揭示案中一起稱為雙重模擬。概念性流程圖第5圖示出雙重模擬。向製程之輸入為帶電粒子束擊點集合502,諸如擊點集合302。在一個實施例中,其中擊點集合502中之一些擊點為複雜字元擊點,亦輸入複雜CP字元522之庫。在步驟504中,執行帶電粒子束模擬。可模擬之效應包括前向散射、反向散射、光阻擴散、庫侖效應、霧化、負載及光阻充電。帶電粒子束模擬之輸出為倍縮光罩空中影像506。在步驟508中,遮罩製程模擬模擬各種後曝光製程之效應,以產生經模擬倍縮光罩圖案510。遮罩製程模擬可包括光阻烘焙、光阻顯影及蝕刻 之模擬。帶電粒子束模擬504及遮罩製程模擬508可一起捆綁成單一步驟,或在其他實施例中可為單獨步驟。在步驟512中,微影術模擬計算將使用經模擬倍縮光罩圖案510形成於諸如晶圓之基板上之影像514。
參閱第3A圖-第3C圖,帶電粒子束模擬及遮罩製程模擬可用於計算將由擊點集合302形成之倍縮光罩圖案322。倍縮光罩圖案322可接著用作微影術模擬之輸入以計算第3C圖之晶圓影像332。經模擬晶圓影像332可與目標晶圓影像212比較。在一個實施例中,本發明包含比較經模擬晶圓影像332與目標晶圓影像212,且接著更改擊點之群組302中之擊點(諸如使用最佳化製程),以便減少經模擬晶圓影像332與目標晶圓影像212之間的差異。
第4A圖示出可由更改擊點集合302產生之擊點集合402之一實例。類似於擊點集合302,擊點集合402含有九個擊點,但相較於擊點集合302中之相應擊點,擊點集合402中之許多擊點具有不同位置及/或尺寸。第4B圖示出可由擊點集合402產生之經模擬倍縮光罩圖案422之一實例。帶電粒子束模擬及遮罩製程模擬可用於自擊點集合402計算圖案422。第4C圖示出可經由使用微影術模擬自倍縮光罩圖案422確定之經計算晶圓影像432。經模擬晶圓影像432比經模擬晶圓影像332更接近於目標晶圓影像212。
在本發明之另一實施例中,可進行諸如擊點集合402中所說明之擊點更改,以便改良與圖案化倍縮光罩(諸如含有圖案422之倍縮光罩)相關聯之許多晶圓可製造性特 性中任一者。此等可製造性特性包括製程變化(PV)頻帶、景深、遮罩邊緣誤差因子(MEEF)、CD變化及區域變化。可製造性改良可允許產生於晶圓上之圖案比若已使用未更改擊點集合302更接近於經由較寬範圍之製程變化之目標晶圓影像212。可製造性改良可例如在面對製造製程變化時增加良好晶圓之產量。最佳化技術可用於確定擊點更改。
第6圖為如何使用擊點最佳化進行雙重模擬來製備倍縮光罩以用於製造表面(諸如矽晶圓上之積體電路)的概念性流程圖650。向流程之輸入為目標晶圓影像652,其自諸如積體電路之實體設計之CAD圖案獲得。接著,在步驟654中,確定光學鄰近修正(OPC)。在本揭示案之一實施例中,步驟654可包括將預設計字元680(包括在步驟662中將可用於模板684上之複雜字元)之庫作為輸入。模板684可經預設計以由多個設計使用,且字元680之使用由OPC 654及/或MDP 658最佳化。在本揭示案之一實施例中,OPC步驟654亦可包括擊點計數或寫入時間之同時最佳化,且亦可包括破裂操作、擊點安置操作、劑量指定操作,或亦可包括擊點順序最佳化操作或其他遮罩資料準備操作,其中一些或所有此等操作為同時發生或組合於單個步驟中。OPC步驟654可部分或完全產生曲線圖案。在本揭示案之一實施例中,OPC步驟654可包括產生理想曲線ILT圖案之ILT。OPC步驟654之輸出為遮罩設計656。
可對遮罩設計656視情況進行遮罩製程修正(MPC)657。MPC更改將被寫入至倍縮光罩之圖案,以便補 償非線性效應,諸如與在與光學微影術一起使用之習知遮罩中小於約100 nm之圖案相關聯的效應。MPC亦可用於補償影響EUV遮罩之非線性效應。若進行MPC 657,則其輸出變為用於遮罩資料準備(MDP)步驟658之輸入。
在步驟658中,可進行可包括破裂操作、擊點安置操作、劑量指定操作或擊點順序最佳化之遮罩資料準備(MDP)操作。MDP可用作輸入遮罩設計656或MPC 657之結果。在本發明之一些實施例中,MPC可作為破裂或其他MDP操作之一部分來進行。其他修正亦可作為破裂或其他MDP操作之一部分來進行,可能修正包括:前向散射、光阻擴散、庫侖效應、蝕刻、反向散射、霧化、負載、光阻充電及EUV中程散射。MDP步驟658之結果為擊點清單660。在本揭示案中涵蓋將OPC及遮罩資料準備之任何或所有各種操作組合於一個步驟中。遮罩資料準備亦可包括輸入待形成於倍縮光罩上之圖案,其中各圖案稍有不同,選擇字元之集合以用於形成圖案之數目,該字元之集合安裝於模板遮罩上,該字元之集合可能包括複雜字元與VSB字元兩者,且該字元之集合基於改變字元劑量或改變字元位置或改變束模糊半徑或在該字元之集合內應用字元之部分曝光或拖曳字元以減少擊點計數或總寫入時間。倍縮光罩上之稍有不同之圖案的集合可經設計以在基板上產生實質上相同之圖案。此外,字元之集合可選自字元之預定集合。在本揭示案之一個實施例中,可為特定遮罩設計準備可在遮罩寫入步驟662期間快速選擇之在步驟680中可用於模板上 之字元的集合。在彼實施例中,一旦完成遮罩資料準備步驟658,即在步驟684中製備模板。在本揭示案之另一實施例中,模板係在MDP步驟658之前或與MDP步驟658同時在步驟684中製備且可獨立於特定遮罩設計。在此實施例中,在步驟680中可用之字元及模板佈局在步驟682中經設計,以一般地輸出以用於許多潛在遮罩設計656以合併可能由特定OPC程式654或特定MDP程式658或特定類型之設計輸出之圖案,該等特定類型之設計特性化實體設計之類型(諸如記憶體、快閃記憶體、晶片系統設計),或特定製程技術,或用於產生實體設計之特定單元庫,或可在遮罩設計656中形成具有稍有不同圖案之不同集合之任何其他常用特性。模板可包括字元之集合,諸如在步驟658中確定之有限數目之字元。在本揭示案之又一實施例中,在無複雜字元之情況下僅使用VSB擊點。
擊點清單660被用作向雙重模擬670之輸入(如第5圖中所闡述且如上文所述),以產生經模擬晶圓影像672。另外,若擊點清單660包括複雜字元擊點,則可將複雜字元之集合680輸入至雙重模擬670。使用經模擬晶圓影像672、目標晶圓影像652及擊點清單660,在步驟678中進行後MDP晶圓最佳化。在此最佳化步驟中,更改擊點清單660中之擊點以改良晶圓影像。此改良可包括減少經模擬晶圓影像672與目標晶圓影像652之間的差異,及/或亦可包括藉由改良例如製程變化(PV)頻帶、景深、MEEF、CD變化及區域變化中任一者來改良晶圓之可製造性。後MDP晶圓最佳化678 亦可包括進行雙重模擬例如以確定經更改之擊點是否將產生充分接近於目標晶圓影像652之經模擬晶圓影像。後MDP晶圓最佳化678產生經最佳化擊點清單690。
經最佳化擊點清單690用於在遮罩寫入步驟662中產生倍縮光罩,遮罩寫入步驟662使用諸如電子束撰寫器系統之帶電粒子束撰寫器。遮罩寫入步驟662可使用含有VSB光圈與複數個複雜字元兩者之模板684,或可使用僅包含VSB光圈之模板。電子束撰寫器系統經由模板將電子束投射至表面上以在諸如倍縮光罩之表面上形成圖案,該表面接著經處理以變為光罩664。完成之光罩664可接著用於步驟666中所示之光學微影術機器中。最終,在步驟668中,產生諸如矽晶圓之基板。如先前已描述,在步驟680中可將字元提供至OPC步驟654、MDP步驟658及/或雙重模擬步驟670。步驟680亦將字元提供至字元及模板設計步驟682。字元及模板設計步驟682將輸入提供至模板步驟684及字元步驟680。
在本揭示案中所描述之OPC、破裂、遮罩資料準備、鄰近效應修正及晶圓最佳化流程可使用具有適當電腦軟體作為計算元件之通用型電腦來實施。由於需要大量計算,亦可平行使用多個電腦或處理器核心。在一個實施例中,可將計算再分為複數個2維幾何區以用於流程中之一或多個計算密集步驟,以支持平行處理。在另一實施例中,專用硬體元件(單獨使用或多個一起使用)可用於以比使用通用型電腦或處理器核心更快的速度進行一或多個步驟之 計算。在一個實施例中,專用硬體元件可為圖形處理單元(GPU)。在另一實施例中,本揭示案中所描述之最佳化及模擬製程可包括修正及重新計算可能解決方案之迭代過程,以便最小化擊點之總數目、或總帶電粒子束寫入時間、或經計算晶圓影像與目標晶圓影像之間的差異、或MEEF、或CD變化或一些其他參數。在又一實施例中,可用正確建構(correct-by-construction)方法來進行晶圓最佳化,以使無需迭代或進一步模擬。
儘管已相對於特定實施例詳細描述了本說明書,但應瞭解,熟習此項技術者在獲得對上述內容之理解後可易於構想此等實施例之改變、變化及等效物。對用於OPC、破裂、遮罩資料準備及晶圓最佳化之本發明方法之此等及其他更改及變化可在不脫離在隨附申請專利範圍中更特定闡述之本發明標的物之精神及範疇的情況下由一般技術者實施。此外,一般技術者應瞭解,上文描述內容僅為舉例說明,且不意欲具有限制性。在不脫離本發明之範疇之情況下可將步驟添加至本說明書之步驟中,可自本說明書之步驟移除步驟或自本說明書之步驟更改步驟。通常,所呈現之任何流程圖僅意欲指示實現功能之基本操作之一個可能順序,且許多變化為可能的。因此,意欲本發明標的物涵蓋如屬於隨附申請專利範圍之範疇內之此等更改及變化以及其等效物。
10‧‧‧電子束撰寫器系統
12‧‧‧表面
14‧‧‧電子束源
16‧‧‧電子束
18‧‧‧板
20‧‧‧光圈
22‧‧‧電子束
24‧‧‧模板遮罩
26‧‧‧光圈
28‧‧‧圖案
30‧‧‧電子束/帶電粒子束
32‧‧‧平臺
202‧‧‧電腦輔助設計圖案/CAD圖案
212‧‧‧圖案/目標晶圓影像/影像
222‧‧‧圖案/理想圖案/曲線圖案/目標圖案
232‧‧‧圖案
302‧‧‧重疊擊點集合/擊點集合/未更改擊點集合/擊點之群組
304~320‧‧‧擊點
322‧‧‧圖案/倍縮光罩圖案
332‧‧‧晶圓影像/經模擬晶圓影像
402‧‧‧擊點集合
422‧‧‧經模擬倍縮光罩圖案/圖案/倍縮光罩圖案
432‧‧‧經模擬晶圓影像/經計算晶圓影像
502‧‧‧帶電粒子束擊點集合
504‧‧‧步驟
506‧‧‧倍縮光罩空中影像
508‧‧‧步驟/遮罩製程模擬
510‧‧‧經模擬倍縮光罩圖案
512‧‧‧步驟
514‧‧‧影像
522‧‧‧複雜CP字元
650‧‧‧概念性流程圖
652‧‧‧目標晶圓影像
654‧‧‧步驟/OPC/OPC步驟/特定OPC程式
656‧‧‧遮罩設計
657‧‧‧遮罩製程修正/MPC
658‧‧‧遮罩資料準備步驟/步驟/MDP步驟/MDP程式
660‧‧‧擊點清單
662‧‧‧遮罩寫入步驟/步驟
664‧‧‧光罩
666~668‧‧‧步驟
670‧‧‧雙重模擬步驟/雙重模擬
672‧‧‧經模擬晶圓影像
678‧‧‧後MDP晶圓最佳化/步驟
680‧‧‧步驟/字元步驟/預設計字元/字元/複雜字元之集合
682‧‧‧步驟/字元及模板設計步驟
684‧‧‧模板步驟/模板/步驟
690‧‧‧經最佳化擊點清單
702‧‧‧橫截劑量曲線/劑量曲線
704~706‧‧‧較低臨限值/臨限值
714~716‧‧‧寬度
722‧‧‧另一橫截劑量曲線/劑量曲線/曲線
724‧‧‧臨限值/較高臨限值
726‧‧‧較低臨限值/臨限值
734~736‧‧‧寬度
第1圖示出帶電粒子束系統之一實例; 第2A圖示出來自電腦輔助設計(CAD)系統之設計圖案之一實例;第2B圖示出需要待由第2A圖之CAD圖案形成於晶圓上之影像的一實例;第2C圖示出用於倍縮光罩之OPC計算圖案之一實例,其意欲在晶圓上形成第2B圖之圖案;第2D圖示出第2C圖之圖案之直線化形式之一實例;第3A圖示出擊點集合之一實例;第3B圖示出可由第3A圖之擊點集合形成的經計算倍縮光罩圖案之一實例;第3C圖示出由第3B圖之倍縮光罩圖案計算之晶圓影像的一實例;第4A圖示出自第3A圖之擊點集合更改的擊點集合之一實例;第4B圖示出可由第4A圖之擊點集合形成的經計算倍縮光罩圖案之一實例;第4C圖示出由第4B圖之倍縮光罩圖案計算之晶圓影像的一實例;第5圖示出用於執行雙重模擬之概念性流程圖之一實施例;第6圖示出用於在製造諸如矽晶圓上之積體電路之基板中製備表面之概念性流程圖之一實施例;第7A圖示出橫截劑量圖之一實例,其展示兩個抗蝕劑臨限值中每一者之記錄圖案寬度;及 第7B圖示出類似於第7A圖但劑量邊緣斜率比第7A圖中更高之橫截劑量圖之一實例。
650‧‧‧概念性流程圖
652‧‧‧目標晶圓影像
654‧‧‧步驟/OPC/OPC步驟/特定OPC程式
656‧‧‧遮罩設計
657‧‧‧遮罩製程修正/MPC
658‧‧‧遮罩資料準備步驟/步驟/MDP步驟/MDP程式
660‧‧‧擊點清單
662‧‧‧遮罩寫入步驟/步驟
664‧‧‧光罩
666~668‧‧‧步驟
670‧‧‧雙重模擬步驟/雙重模擬
672‧‧‧經模擬晶圓影像
678‧‧‧後MDP晶圓最佳化/步驟
680‧‧‧步驟/字元步驟/預設計字元/字元/複雜字元之集合
682‧‧‧步驟/字元及模板設計步驟
684‧‧‧模板步驟/模板/步驟
690‧‧‧經最佳化擊點清單

Claims (25)

  1. 一種用於最佳化一設計之方法,該設計包含用於一基板之一影像,該基板待使用一光學微影製程由一倍縮光罩來製造,該方法包括以下步驟:確定經設計以於該倍縮光罩上產生一目標圖案之複數個可變成形束(VSB)擊點,其中該複數個VSB擊點中之至少兩個擊點重疊;模擬將自該複數個VSB擊點產生於該倍縮光罩上之一倍縮光罩圖案;計算將使用該光學微影製程由該經模擬倍縮光罩圖案形成於該基板上之一基板影像;及更改該複數個VSB擊點中之一擊點以改良該經計算之基板影像。
  2. 如申請專利範圍第1項之方法,其中該更改步驟改良該經計算之基板影像之一可製造性特性,其中該可製造性特性係選自由以下組成之群組:製程變化(PV)頻帶、景深、遮罩邊緣誤差因子(MEEF)、臨界尺寸(CD)變化及區域變化。
  3. 如申請專利範圍第1項之方法,其中在該更改步驟中,該改良包括減少該經計算之基板影像與一目標基板影像之間的差異。
  4. 如申請專利範圍第1項之方法,其中該目標倍縮光罩圖案已使用光學鄰近修正(OPC)自一目標基板影像確定。
  5. 如申請專利範圍第4項之方法,其中該OPC包括反向微 影術技術(ILT)。
  6. 如申請專利範圍第5項之方法,其中該ILT僅產生理想ILT曲線形狀。
  7. 如申請專利範圍第1項之方法,其中該更改步驟包括以下步驟:模擬將自該複數個經更改VSB擊點產生於該倍縮光罩上之一經修正倍縮光罩圖案;及計算將以該經模擬修正倍縮光罩圖案作為一光罩使用該光學微影製程形成於該基板上之一經修正之基板影像。
  8. 如申請專利範圍第1項之方法,其中該模擬該倍縮光罩圖案之步驟包括帶電粒子束模擬。
  9. 如申請專利範圍第8項之方法,其中該帶電粒子束模擬包括由以下組成之一群組中至少一個:前向散射、反向散射、光阻擴散、庫侖效應、霧化、負載及光阻充電。
  10. 如申請專利範圍第1項之方法,其中該模擬該倍縮光罩圖案之步驟包括模擬由光阻烘焙、光阻顯影及蝕刻組成之群組中至少一個。
  11. 如申請專利範圍第1項之方法,其中該計算該基板影像之步驟包括微影術模擬。
  12. 如申請專利範圍第1項之方法,其中該更改一擊點之步驟包括選自由以下組成之群組之一擊點更改技術:改變一擊點位置、改變一擊點尺寸及改變一擊點劑量。
  13. 如申請專利範圍第1項之方法,其中該更改一擊點之步 驟包括使用一最佳化技術。
  14. 一種用於製造一積體電路之方法,該積體電路包含用於一基板之一目標影像,該基板待使用一光學微影製程由一倍縮光罩來製造,該方法包括以下步驟:確定經設計以在該倍縮光罩上產生一目標圖案之複數個可變成形束(VSB)擊點,其中該複數個VSB擊點中之至少兩個擊點重疊;模擬將自該複數個VSB擊點產生於該倍縮光罩上之一倍縮光罩圖案;計算將使用該光學微影製程由該經模擬倍縮光罩圖案形成於該基板上之一基板影像;更改該複數個VSB擊點中之一擊點以改良該經計算之基板影像;及以該複數個經更改VSB擊點在該倍縮光罩上形成一圖案。
  15. 如申請專利範圍第14項之方法,其中該更改步驟改良該經計算之基板影像之一可製造性特性,其中該可製造性特性係選自由以下組成之群組:製程變化(PV)頻帶、景深、遮罩邊緣誤差因子(MEEF)、CD變化及區域變化。
  16. 如申請專利範圍第14項之方法,其中在該更改步驟中,該改良包括減少該經計算之基板影像與一目標基板影像之間的差異。
  17. 如申請專利範圍第14項之方法,其中該目標倍縮光罩圖案已使用光學鄰近修正(OPC)自用於該基板之該目標影 像確定。
  18. 如申請專利範圍第17項之方法,其中該OPC包括反向微影術技術(ILT)。
  19. 如申請專利範圍第18項之方法,其中該ILT僅產生理想ILT曲線形狀。
  20. 如申請專利範圍第14項之方法,其中該更改步驟包括以下步驟:模擬將自該複數個經更改VSB擊點產生於該倍縮光罩上之一經修正倍縮光罩圖案;以及計算將以該經模擬修正倍縮光罩圖案作為一光罩使用該光學微影製程形成於該基板上之一經修正之基板影像。
  21. 如申請專利範圍第14項之方法,其中該模擬該倍縮光罩圖案之步驟包括帶電粒子束模擬。
  22. 一種用於最佳化一設計之系統,該設計包含用於一基板之一影像,該基板待使用一光學微影製程由一倍縮光罩來製造,該系統包括:一元件,其能夠自一目標倍縮光罩圖案確定複數個可變成形束(VSB)擊點,其中該複數個VSB擊點中之至少兩個擊點重疊,且其中該目標倍縮光罩圖案已使用光學鄰近修正(OPC)自用於該基板之一目標影像確定;一元件,其能夠模擬將自該複數個VSB擊點產生於該倍縮光罩上之一倍縮光罩圖案;一元件,其能夠計算將使用該光學微影製程由該經 模擬倍縮光罩圖案形成於該基板上之一基板影像;及一元件,其能夠更改該複數個VSB擊點中之一擊點以改良該經計算之基板影像。
  23. 如申請專利範圍第22項之元件,其中能夠進行更改之該元件改良該經計算之基板影像之一可製造性特性,其中該可製造性特性係選自由以下組成之群組:製程變化(PV)頻帶、景深、遮罩邊緣誤差因子(MEEF)、CD變化及區域變化。
  24. 如申請專利範圍第22項之元件,其中在能夠進行更改之該元件中,該改良包括減少該經計算之基板影像與目標基板影像之間的差異。
  25. 如申請專利範圍第22項之系統,其中能夠模擬該倍縮光罩圖案之該元件包含能夠進行帶電粒子束模擬之一元件。
TW101134276A 2011-09-19 2012-09-19 用於最佳化待使用光學微影術製造之基板上之影像的方法及系統 TW201314484A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/236,610 US20130070222A1 (en) 2011-09-19 2011-09-19 Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography

Publications (1)

Publication Number Publication Date
TW201314484A true TW201314484A (zh) 2013-04-01

Family

ID=47880368

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101134276A TW201314484A (zh) 2011-09-19 2012-09-19 用於最佳化待使用光學微影術製造之基板上之影像的方法及系統

Country Status (6)

Country Link
US (1) US20130070222A1 (zh)
EP (1) EP2758986A4 (zh)
JP (1) JP2014530494A (zh)
KR (1) KR20140078686A (zh)
TW (1) TW201314484A (zh)
WO (1) WO2013043406A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI608512B (zh) * 2014-07-28 2017-12-11 Hitachi Ltd Charged particle beam device, simulation method and simulation device
TWI706439B (zh) * 2017-05-12 2020-10-01 荷蘭商Asml荷蘭公司 用於評估抗蝕劑顯影的方法
CN113835293A (zh) * 2020-06-24 2021-12-24 中芯国际集成电路制造(上海)有限公司 光学邻近修正方法和掩膜版的制作方法

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US8473875B2 (en) 2010-10-13 2013-06-25 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
US8039176B2 (en) 2009-08-26 2011-10-18 D2S, Inc. Method for fracturing and forming a pattern using curvilinear characters with charged particle beam lithography
US20120219886A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US9323140B2 (en) 2008-09-01 2016-04-26 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US8057970B2 (en) 2008-09-01 2011-11-15 D2S, Inc. Method and system for forming circular patterns on a surface
US7901850B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US9164372B2 (en) 2009-08-26 2015-10-20 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
US20120278770A1 (en) 2011-04-26 2012-11-01 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
US8703389B2 (en) 2011-06-25 2014-04-22 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9057956B2 (en) 2011-02-28 2015-06-16 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9034542B2 (en) 2011-06-25 2015-05-19 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
US8719739B2 (en) 2011-09-19 2014-05-06 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
KR20150001834A (ko) * 2012-04-18 2015-01-06 디2에스, 인코포레이티드 하전 입자 빔 리소그래피를 사용한 임계 치수 균일성을 위한 방법 및 시스템
US8959463B2 (en) 2012-11-08 2015-02-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US8826196B2 (en) * 2013-01-30 2014-09-02 Mentor Graphics Corporation Integration of optical proximity correction and mask data preparation
JP6283180B2 (ja) 2013-08-08 2018-02-21 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
KR102247563B1 (ko) * 2014-06-12 2021-05-03 삼성전자 주식회사 전자빔을 이용한 노광 방법과 그 노광 방법을 이용한 마스크 및 반도체 소자 제조방법
KR102352740B1 (ko) 2015-04-30 2022-01-18 삼성디스플레이 주식회사 마스크의 제조 방법 및 표시 장치의 제조 방법
US10410831B2 (en) 2015-05-12 2019-09-10 Ims Nanofabrication Gmbh Multi-beam writing using inclined exposure stripes
US9747408B2 (en) * 2015-08-21 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Generating final mask pattern by performing inverse beam technology process
EP3220351A1 (en) * 2016-03-14 2017-09-20 Thomson Licensing Method and device for processing lightfield data
US10522329B2 (en) 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
US10657213B2 (en) * 2017-12-22 2020-05-19 D2S, Inc. Modeling of a design in reticle enhancement technology
US10651010B2 (en) 2018-01-09 2020-05-12 Ims Nanofabrication Gmbh Non-linear dose- and blur-dependent edge placement correction
EP3518272A1 (en) * 2018-01-09 2019-07-31 IMS Nanofabrication GmbH Non-linear dose- and blur-dependent edge placement correction
US10840054B2 (en) 2018-01-30 2020-11-17 Ims Nanofabrication Gmbh Charged-particle source and method for cleaning a charged-particle source using back-sputtering
CN112384860B (zh) 2018-06-15 2023-12-08 Asml荷兰有限公司 基于机器学习的逆光学邻近效应校正和过程模型校准
US11099482B2 (en) 2019-05-03 2021-08-24 Ims Nanofabrication Gmbh Adapting the duration of exposure slots in multi-beam writers
JP7506870B2 (ja) 2020-04-08 2024-06-27 日本コントロールシステム株式会社 マスク情報調整装置、マスクデータ調整方法、プログラム
KR20210129371A (ko) 2020-04-20 2021-10-28 삼성전자주식회사 광 근접 효과 보정 방법 및 이를 포함하는 마스크 제작 방법
KR20210132599A (ko) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 대전 입자 소스
EP4095882A1 (en) 2021-05-25 2022-11-30 IMS Nanofabrication GmbH Pattern data processing for programmable direct-write apparatus
CN116699939B (zh) * 2023-08-08 2023-11-07 华芯程(杭州)科技有限公司 一种掩膜版优化方法、装置、设备及计算机可读存储介质

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3331822B2 (ja) * 1995-07-17 2002-10-07 ソニー株式会社 マスクパターン補正方法とそれを用いたマスク、露光方法および半導体装置
JPH10294255A (ja) * 1997-04-17 1998-11-04 Canon Inc 電子ビーム照明装置、および該電子ビーム照明装置を備えた露光装置
JP2007115999A (ja) * 2005-10-21 2007-05-10 Toshiba Corp キャラクタプロジェクション(cp)方式の荷電粒子ビーム露光方法、キャラクタプロジェクション方式の荷電粒子ビーム露光装置及びプログラム
US8039176B2 (en) * 2009-08-26 2011-10-18 D2S, Inc. Method for fracturing and forming a pattern using curvilinear characters with charged particle beam lithography
WO2010025032A2 (en) * 2008-09-01 2010-03-04 D2S, Inc. Method for optical proximity correction, design and manufacturing of a reticle using variable shaped beam lithography
JP5676449B2 (ja) * 2008-09-01 2015-02-25 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 光近接効果補正、設計およびキャラクタプロジェクションリソグラフィを用いたレチクルの製造のための方法
US7799489B2 (en) * 2008-09-01 2010-09-21 D2S, Inc. Method for design and manufacture of a reticle using variable shaped beam lithography
US7901845B2 (en) * 2008-09-01 2011-03-08 D2S, Inc. Method for optical proximity correction of a reticle to be manufactured using character projection lithography
US7901850B2 (en) * 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
TWI496182B (zh) * 2009-08-26 2015-08-11 D2S Inc 以可變束模糊技術使用帶電粒子束微影術製造表面之方法及系統
US20110089345A1 (en) * 2009-10-21 2011-04-21 D2S, Inc. Method and system for manufacturing a surface using charged particle beam lithography
JP5289343B2 (ja) * 2010-01-15 2013-09-11 株式会社東芝 露光量決定方法、半導体装置の製造方法、露光量決定プログラムおよび露光量決定装置

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI608512B (zh) * 2014-07-28 2017-12-11 Hitachi Ltd Charged particle beam device, simulation method and simulation device
TWI706439B (zh) * 2017-05-12 2020-10-01 荷蘭商Asml荷蘭公司 用於評估抗蝕劑顯影的方法
US10901322B2 (en) 2017-05-12 2021-01-26 Asml Netherlands B.V. Methods for evaluating resist development
CN113835293A (zh) * 2020-06-24 2021-12-24 中芯国际集成电路制造(上海)有限公司 光学邻近修正方法和掩膜版的制作方法
CN113835293B (zh) * 2020-06-24 2024-04-19 中芯国际集成电路制造(上海)有限公司 光学邻近修正方法和掩膜版的制作方法

Also Published As

Publication number Publication date
JP2014530494A (ja) 2014-11-17
EP2758986A4 (en) 2015-08-19
US20130070222A1 (en) 2013-03-21
EP2758986A1 (en) 2014-07-30
WO2013043406A1 (en) 2013-03-28
KR20140078686A (ko) 2014-06-25

Similar Documents

Publication Publication Date Title
TW201314484A (zh) 用於最佳化待使用光學微影術製造之基板上之影像的方法及系統
US10101648B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
JP6054385B2 (ja) 荷電粒子ビームリソグラフィを用いてパターンを形成するための方法およびシステム
JP5970052B2 (ja) 可変整形ビームリソグラフィを用いたレチクルの光近接効果補正、設計、および製造のための方法
KR101671322B1 (ko) 가변 성형 빔 리소그래피를 이용하여 레티클을 설계 및 제조하기 위한 방법
TWI605306B (zh) 使用帶電粒子束微影術之用於形成圖案之方法
JP6189933B2 (ja) 荷電粒子ビームリソグラフィを用いる限界寸法均一性のための方法およびシステム
TWI526788B (zh) 以帶電粒子束微影術破壞及形成使用曲線符元之圖案之方法
US9465297B2 (en) Method and system for forming patterns with charged particle beam lithography
KR102005083B1 (ko) 하전 입자 빔 리소그래피를 사용하여 패턴들을 형성하는 방법 및 시스템
US20130205264A1 (en) Method and system for forming high precision patterns using charged particle beam lithography
US9323140B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
TWI592762B (zh) 使用帶電粒子束微影術形成圖案的方法與系統
TWI567503B (zh) 用於帶電粒子束微影術用之增強圖案之設計的方法與系統
TWI546614B (zh) 用於降低使用荷電粒子束顯影術之製造變異的方法及系統