TW201313412A - 機械手及機械人 - Google Patents

機械手及機械人 Download PDF

Info

Publication number
TW201313412A
TW201313412A TW101108130A TW101108130A TW201313412A TW 201313412 A TW201313412 A TW 201313412A TW 101108130 A TW101108130 A TW 101108130A TW 101108130 A TW101108130 A TW 101108130A TW 201313412 A TW201313412 A TW 201313412A
Authority
TW
Taiwan
Prior art keywords
plate
robot
roller
board
support unit
Prior art date
Application number
TW101108130A
Other languages
English (en)
Other versions
TWI500491B (zh
Inventor
Kazunori Hino
Ryuji Ando
Katsuhiko Shimada
Original Assignee
Yaskawa Denki Seisakusho Kk
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Yaskawa Denki Seisakusho Kk filed Critical Yaskawa Denki Seisakusho Kk
Publication of TW201313412A publication Critical patent/TW201313412A/zh
Application granted granted Critical
Publication of TWI500491B publication Critical patent/TWI500491B/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/07Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for semiconductor wafers Not used, see H01L21/677
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Robotics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Manipulator (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

根據一實施例的機械手及機械人包含支撐單元。該支撐單元是設置於一基座上,並接觸板的該周邊邊界來抓持該板。該支撐單元中至少一者係在抵住該板的該周邊邊界時轉動。

Description

機械手及機械人
本發明所討論之實施例是有關於一種機械手及一種機械人。
傳統用以運送如半導體晶圓之類板片的板片板材輸送機械人是已為人知的。此種機械人具有一機械手,其包含複數個抓持構件,例如抓持爪,並可夾住放置在機械手上抓持構件之間的板材周邊邊界,以供在預定位置處抓持該板材。
例如,日本專利申請案早期公開第H10-279068號中揭露一種板輸送裝置,其包含一機械手,具有一活動導件,其係為一活動的抓持構件,以及一固定導件,其係為一固定的抓持構件,並可利用該活動導件將一板壓抵於該固定導件的壁表面上,以進行抓持作業。
但是,該傳統的機械手有一項問題在於該抓持構件很容易因為重覆的壓迫而磨損。為此理由之故,該抓持構件要很頻繁地更換,因此其在維修上會有許多的問題。
一實施例的態樣是針對前述問題來達成的,而該實施例的目的在於提供一種機械手及一種機械人,其可抑制構件的磨損,以減低維修的困擾。
根據一實施例之態樣的機械手及機械人包含有支撐單元。該等支撐單元係設置於一基座上,並接觸一板的周邊邊界,以抓持該板。該等支撐單元的至少一者係抵住該板的周邊邊時是會轉動的。
根據一實施例的態樣,其可抑制構件的磨損,以減減低維修的困擾。
透過參閱下面的詳細說明,並配合於所附圖式,其將可以更清楚地理解本發明及其許多附帶優點,進而可以輕易地得到全面的瞭解。
在下文中將配合所附圖式詳細解釋根據本發明一實施例的機械手及機械人。
在下文中,其主要說明的板是一半導體晶圓。
本發明中夾住並抓持該板周邊邊界的抓持構件主要指的是一種“抓持爪”。再者,本發明所採用的“抓持爪”的“抓持”,除了夾住該板周邊邊界以外,還包括在預定位置處的支撐。換言之,該“抓持爪”亦可稱為“支撐單元”。
第1圖是一顯示出根據實施例之機械人1之架構範例的圖。為使得其說明能夠理解,第1圖中顯示出一個三維直角座標系統,其包括有Z軸,其正向是垂直向上的方向。該直角座標系統亦被用於其他用來解說以下的圖式中。
如第1圖所示,機械人1是一關節型機械人,包含一基座2、臂部3、5和7、關節4、6和8、以及一機械手 10。
一控制裝置20連接至機械人1。板的抓持作業及輸送作業是由被控制裝置20所控制的機械人1執行的。再者,該控制是根據先前儲存於控制裝置20教導數據而執行。
臂部3從基座2(見該圖中的雙頭箭號a0)以可滑動方式被設置於垂直方向(Z軸方向)。因此,機械手10可沿著垂直方向升高及下降。
臂部5透過關節4連接至臂部3。在此時,臂部5係以可繞著關節4之旋轉軸線a1轉動的方式被支撐(參見繞著旋轉軸線a1的雙頭箭號)。同樣的,臂部7是透過關節6連接至臂部5。在此時,臂部7係以可繞著關節6之旋轉軸線a2轉動的方式被支撐(參見繞著旋轉軸線a2的雙頭箭號)。
機械手10是一末端作用器(end effector),可抓持放置於其上之例如半導體晶圓之類的板。機械手10透過關節8連接至臂部7的終端。在此時,機械手10係以可繞著關節8之旋轉軸線a3轉動的方式被支撐(參見繞著旋轉軸線a3的雙頭箭號)。
在本發明中,關節4、關節6、及關節8每一者之中均包含一驅動機構(未顯示),例如致動器及馬達。臂部5、臂部7、及機械手10可根據該驅動機構的驅動而在圖面的XY平面上進行旋轉作業。
第1圖中顯示出機械人1包含有一機械手10。但是, 機械手的數量並不限於一個。例如,可以類似類比時鐘之分針及時針的重疊方式繞著旋轉軸線a3設置複數個機械手。
接著,將配合第2圖來解釋根據實施例的機械手10的架構範例。第2圖是一顯示出根據實施例之機械手10架構範例的圖式。第2圖中所示的圖式是機械手10自Z軸正向觀視時的平面圖。在本發明中,有關於臂部5、關節6、臂部7、關節8、旋轉軸線a2、及旋轉軸線a3已在第1圖中做過說明的將予以省略。
如第2圖所示,機械手10包含一平板11、固定爪12、一活動爪13、接收座14、以及一驅動單元15。固定爪12包含一可沿著板W周邊邊界轉動的滾輪12a及一接收座12b。再者,滾輪12a及接收座12b係連接成一體,接收座12b可與滾輪12a一起轉動。
平板11是一個亦稱為底座或基座的構件,可供板W放置於其上。在此,在第2圖中顯示出平板11的形狀是類似於鏟子。但是,平板11的形狀並不限於鏟子。再者,平板11就功能而言,可稱為“置放單元”。
固定爪12是一固定的抓持爪,其設置在平板11上且不會自給定位置移動開。例如,固定爪12是設置在靠近於平板11前端處。再者,第2圖中顯示出在平板11前端的二側末端處設置一對固定爪12。
在固定爪12的情形中,滾輪12a是與板W的周邊邊界接觸,而接收座12b則是自下方(換言之,在Z軸正向 方向上)支撐著板W。再者,固定爪12的細節將於下文中配合第4A圖及第4B圖再說明。
活動爪13是可活動的抓持爪。例如,活動爪13是設置在靠近於平板11的尾端處,而能沿著圖面中的X軸滑動。活動爪13的細節將於下文中配合第3圖再解釋。
與接收座12b一樣,接收座14是一個可自下方支撐板W的構件。再者,第2圖中顯示出在平板11尾端的二側末端處設有一對接收座14。
驅動單元15是一驅動機構,可用以滑動活動爪13。例如,驅動單元15是一空氣缸或類似者所構成。
在本發明中將配合第3圖來解釋活動爪13的操作。第3圖是一顯示出活動爪13之操作的圖式。第3圖中顯示出機械手在自Y軸負向觀視的情形。在此情形中,第3圖的上半部代表板W已置放但未被抓持的情形,而第3圖的下半部則代表板W被抓持的情形。
如第3圖上半部中所示,在板W被抓持之前,板W是僅由接收座12b及接收座14自下方支撐。在此時,有一餘隙“i”存在於板W與抓持爪之間,例如,固定爪12的滾輪12a。再者,雖然板W與活動爪13有餘隙存在,但此種情形則未顯示出。
接收座12b及接收座14具有預定的高度,並根據板W下表面與平板11上表面間之高度設定一預定之餘隙而支撐板W。因此之故,可以防止顆粒附著至板W上。
如第3圖下半部所示,尚當板W被抓持時,機械人1 會讓驅動單元15將活動爪13朝向平板11的前端滑動(參見圖式中的箭號101)(換言之即朝向圖式中X軸的正向)。
接著,滑動的活動爪13會沿著讓板W接觸到固定爪12並填滿餘隙“i”而使板W周邊邊界接觸到固定爪12之滾輪12a的方向來壓迫板W(參見圖式中的箭號102)。
其結果是板W的周邊邊界會夾住於固定爪12與活動爪13之間,因之而使板W被抓持。
在本發明中將配合第7圖來解釋傳統之固定爪12’的架構範例。第7圖是顯示出傳統固定爪12’的架構範例的圖式。如第7圖所示,該傳統的固定爪12’是由例如可供板W抵住其上的一側壁及一自下方支撐板W的接收座一體而固定地形成。
因此之故,當板W被重覆地壓迫於該側壁上時(參見圖式中的雙頭箭號106),在該側壁的一特定區域內會被重覆地施加應力,因此會很容易發生磨損“a”(參見圖式中由封閉曲線M1圍繞的部位)。
由於當磨損“a”產生時,板W在平板11上的位置會偏移,因此每一次都必須更換固定爪12’。換言之,在維修上會有許多困擾。
回到第3圖,其係設定板W周邊邊界接觸到滾輪12a,其在根據本發明的機械手10中會沿著該周邊邊界轉動。因此,由於其可以防止應力重覆地施加至一特定區域上,所以可以防止固定爪12的磨損,以減少維修上的困擾 。
再者,在第3圖上半部所示板W是僅由下方支撐的情形中,除了X軸方向上的餘隙以外,在XY平面有許多機會會發生偏移。
就此而言,根據本實施例的機械手10是被動地轉動滾輪12a,其係沿著板W周邊邊界抵靠在板W上以修正XY平面上的偏移,並導引板W至預定的位置。此點的詳細情形將在下文中配合第5A圖及第5B圖解釋。
回到第2圖來說明板W的該預定位置。在第2圖中,板W是由該對固定爪12及活動爪13以三點支撐的方式抓持。
在本發明中,其係設定板W的預定位置是板W在此種狀態下所處的位置。下文中,其係設定板W在預定位置處的中心是中心P,平行X軸通過中心P的軸線是軸線C1,而平行Y軸通過中心P的軸線是軸線C2。
可以設置一通報單元,其計算活動爪13操作的次數,並根據操作的次數來通報使用者更換固定爪12的時間。例如,第2圖中顯示出驅動單元15包含一通報燈15a,其會根據活動爪13的操作次數而點亮。使用者可利用通報燈15a的點亮做為指示更換固定爪12之時間的信號。該通報燈15a可以設置在不同於驅動單元15的位置處。通報裝置並不限於燈。
接著將配合第4A圖及第4B圖來解釋固定爪12的架構範例。第4A圖及第4B圖是顯示出固定爪12之架構範 例的圖式。在第4A圖中顯示出固定爪12自Z軸正向觀視時的平面圖,而第4B圖中則顯示出第4A圖中A-A’剖面自Y軸負向觀視時的剖面圖。
在第4A圖及第4B圖中是顯示出第2圖中該對固定爪12中由軸線C1分割開的左側固定爪12。右側固定爪12是設定成具有相同架構。
雖然解說會部份與第2圖的解說重覆,但固定爪12是如第4A圖及第4B圖所示包含有滾輪12a及接收座12b。再者,滾輪12a及接收座12b是連接成一體。滾輪12a抵住板W周邊邊界上而被動地沿著板W的周邊邊界轉動。
接收座12b是一個亦稱為支柱的件,係與滾輪12a形成為一體,可隨著滾輪12a轉動。換言之,滾輪12a及接收座12b構成一個轉動體,其可沿著板W的周邊邊界轉動。再者,接收座12b具有的最大寬度是超過滾輪12a的直徑。接收座12b可讓板W於置於其上一個寬度超出滾輪12a直徑的區域內,以從下方支撐板W。
滾輪12a及接收座12b是以可轉動的方式設置成能繞著平板11上的一旋轉軸線a4而轉動(參見圖式中的雙頭箭號103)。換言之,固定爪12是一個固定而可旋轉的抓持爪,其如前所述是不會移位的,但是以可旋轉的方式設置於平板11上。固定爪12所具有的安裝結構是一根支撐銷12aa,其係設置成貫穿過平板11且由一附著於平板11內部之軸承12ab以及形成為一體的滾輪12a和接收座12h 來承載,例如,如第4B圖所示。
在採用該種安裝結構時,最好是在“滾輪12a及接收座12b”與平板11之間或是在平板11與支撐銷12aa之間設置一餘隙,以使得滾輪12a及接收座12b能平順地轉動。
如第4A圖及第4B圖所示,自各自的形狀來看,滾輪12a及接收座12b可分別稱為“圓柱部位”,其係形成為圓柱體的形狀,以及“環圈部位”,其係以環圈式的形狀自圓柱部份突伸出。換言之,該“圓柱部位”的外側周邊表面會接觸到板W周邊邊界的末端表面,而“環圈部位”的上表面會接觸到板W周邊邊界的下表面。
接著將配合第5A圖及第5B圖來解釋滾輪12a在板W抵靠住時的操作。第5A圖及第5B圖是顯示出滾輪12a操作的圖式。在第5A圖及第5B圖中,只有解說所必要的構件被顯示出,而與滾輪12a一起轉動的接收座12b則未顯示出。另外第5B圖中則放大並顯示出第5A圖中的區域M2。
首先,其係假設一對滾輪12a設置在軸線C1的對稱位置處,其中每一滾輪12a與軸線C1間的間距是“n”,如第5A圖所示。以此方式,最好該對滾輪12a是配置在該活動爪13壓迫板W之軌跡的對稱位置上。
在本發明中,如第5A圖實線板W所示,在許多情形中,板W在被抓持前是放置成在XY平面上偏離於位在預定位置上的虛擬板W0。例如,如第5A圖所示,其係假設 板W的中心Q是向著軸線C1的左側及軸線C2的下側偏離開該預定的中心位置P。
在此狀態下,其係假設活動爪13是沿著X軸方向推抵板W。在此情形中,板W並未同時壓著該對滾輪12a,而是僅抵住由封閉曲線M2圍繞的左側滾輪12a。
在本發明中,其係假設板W是如第5B圖所示在接觸點“m”處抵住滾輪12a上。在此情形中,板W,其係抵住位在通過滾輪12a轉動中心並平於活動爪13壓迫方向之軸線C3的右側的接觸點“m”,是透過該抵靠表面的壓迫力量而沿著逆時鐘方向被動地轉動滾輪12a(參見箭號104)。雖然並未顯示出,該轉動可透過額外加設的接收座12b(參見第4A圖及第4B圖)與板W周邊邊界接觸著接收座12b上表面的下表面間的摩擦力而進行。
隨著滾輪12a的轉動,板W會在轉動自身的過程中將其方向自壓迫方向改變成箭號105的方向而移動。接著,板W會因抵住位在軸線C1相對對稱位置上的右側滾輪12a而停止移動,並定位在由板W0標示的該預定位置處。換言之,板W會在沿著XY平面修正其偏移時被導引至該預定位置,並由該對固定爪12及活動爪13抓持。
接著,由於滾輪12a是在板W被導引的過程中,一邊轉動一邊抵靠在板W上,因此只有滾輪12a外側周邊表面上的特定區域不會接受到因抵靠而造成之應力。換言之,因為滾輪12a磨損會受到抑制,因此更換作業或類似者等維修上的困擾可以減低。
雖然並未顯示出,但接收座12b(參見第4A圖及第4B圖)在由下側支撐板W時會隨著滾輪12a旋轉,因此板W可平順地移動而不會刮傷下表面。
如前所述,由於滾輪12a及接收座12b(參見第4A圖及第4B圖)在被動地轉動時,會因他們與板W之間的抵靠表面而造成摩擦力,構成滾輪12a與接收座12b的外側周邊表面的材料最好是能夠在該等外側周邊表面與板W周邊邊界接觸時產生預定的摩擦力。
前面已說明過第5A圖及第5B圖中所顯示的是位在軸線C1左側的滾輪12a。但是,很明顯的,雖然左右有別,位在右側的滾輪12a也會進行相同的操作。
到目前為止已經解說過會因抵住板W上而被動地轉動的滾輪12a是包含於固定爪12內。但是,滾輪12a亦可設置在一個位在板W會被壓迫至其上的一側上的抓持構件內。
因此,另外一種範例將配合第6A圖及第6B圖來說明。第6A圖是顯示出根據另外一種範例的機械手10A的架構範例的圖式。第6B圖顯示出根據另一另外一種範例的機械手10B的架構範例。
第6A圖及第6B圖中與根據實施例之機械手10中相同的零組件只有在解說該等零組件時才需要。
如第6A圖所示,根據該另外一種範例的機械手10A包含一滾輪13a,做為可由驅動單元15滑動來壓迫板W的抓持構件。滾輪13a係以可轉動方式繞著旋轉軸線a5 設置。
當板W被放置成在XY平面上偏離開時,在滾輪13a抵靠在板W上,因為與第5A圖及第5B圖相同的理由之故,滾輪13a亦會轉動。換言之,由於只有外側周邊表面上的特定區域不易受到應力作用之故,因此可以抑制磨損,而減少維修的困擾。
類似的滾輪13a’係可繞著顯示於第6B圖中之機械手10B的旋轉軸線a6旋轉地移動,在壓迫側可以設置複數個抓持構件,由一連接構件13b連接在一起而能互相平行地滑動。在此情形中,不僅可以防止滾輪13a’的磨損,機械手亦能在板W之偏移很大時應付該偏移。
如前所述,根據實施例的機械手包含一做為基座的平板及複數個設置在該平板上並接觸一板的周邊邊界來抓持該板的抓持爪。該等抓持爪中至少一者可在抵住板之周邊邊界時轉動。
因此,根據實施例的機械手,可將構件的磨損抑制,以減少維修的困擾。
另外,前已針對實施例解說過,當機械手包含一對固定爪時,該二固定爪均包含各自的滾輪。但是,本實施例並不限於此。例如,可以僅有一固定爪包含一滾輪。
在此情形中,當置放於平板上的板是一直是朝向固定方向偏移時,或是當板的周邊邊界有一部份是呈R形形狀時,只有抵住該範圍內的固定爪包含一滾輪,因此至少該範圍內的磨損可被抑制。因此,板的形狀可以不限於圓形 。
僅有特定之固定以此方式包含滾輪的點,亦可同樣地適用於活動爪。
在轉動體是滾輪的實施例中已經解說過。但是,本實施例並不僅限於此。例如,該實施例中可以採用其中抵住板上的區域是球形轉動體的抓持構件。
再者,在該實施例中已解說過抓持構件是設置成靠近於平板的前端或尾端。抓持構件的設置位置並不限於此。
再者,在該實施例中主要是解釋的是板是半導體晶圓。很明顯的,板可以不區分型式來應用。
1‧‧‧機械人
2‧‧‧基座
3‧‧‧臂部
4‧‧‧關節
5‧‧‧臂部
6‧‧‧關節
7‧‧‧臂部
8‧‧‧關節
10‧‧‧機械手
10A‧‧‧機械手
10B‧‧‧機械手
11‧‧‧平板
12‧‧‧固定爪
12’‧‧‧固定爪
12a‧‧‧滾輪
12aa‧‧‧支撐銷
12ab‧‧‧軸承
12b‧‧‧接收座
13‧‧‧活動爪
13a‧‧‧滾輪
13a’‧‧‧滾輪
13b‧‧‧連接構件
14‧‧‧接收座
15‧‧‧驅動單元
15a‧‧‧通報燈
20‧‧‧控制裝置
101‧‧‧箭號
102‧‧‧箭號
103‧‧‧雙頭箭號
104‧‧‧箭號
105‧‧‧箭號
106‧‧‧雙頭箭號
a‧‧‧磨損
a0‧‧‧雙頭箭號
a1‧‧‧軸線
a2‧‧‧軸線
a3‧‧‧旋轉軸線
a4‧‧‧旋轉軸線
a5‧‧‧旋轉軸線
a6‧‧‧旋轉軸線
C1‧‧‧軸線
C2‧‧‧軸線
C3‧‧‧軸線
i‧‧‧餘隙
m‧‧‧接觸點
M1‧‧‧封閉曲線
M2‧‧‧封閉曲線
n‧‧‧間距
P‧‧‧中心
Q‧‧‧中心
W‧‧‧板
W0‧‧‧板
第1圖是一圖式,顯示出根據一實施例的機械人之架構範例。
第2圖是一圖式,顯示出根據該實施例之機械手的架構範例。
第3圖是一圖式,顯示出一活動爪的操作。
第4A圖及第4B圖是顯示出一固定爪架構範例的圖式。
第5A圖及第5B圖是顯示出一滾輪操作的圖式。
第6A圖及第6B圖是顯示出根據另外範例的機械手架構範例的圖式。
第7圖是一圖式,顯示出傳統固定爪的架構範例。
5‧‧‧臂部
6‧‧‧關節
7‧‧‧臂部
8‧‧‧關節
10‧‧‧機械手
11‧‧‧平板
12‧‧‧固定爪
12a‧‧‧滾輪
12b‧‧‧接收座
13‧‧‧活動爪
14‧‧‧接收座
15‧‧‧驅動單元
15a‧‧‧通報燈
C1‧‧‧軸線
C2‧‧‧軸線
P‧‧‧中心
W‧‧‧板

Claims (7)

  1. 一種機械手,包括:複數個支撐單元,係設置於一基座上並接觸一板的一周邊邊界,以抓持該板,該等支撐單元中至少一者係在抵住該板的該周邊邊界時轉動。
  2. 根據申請專利範圍第1項的機械手,其中該支撐單元中至少一者是一可移動支撐單元,其沿著該板抵住另一支撐單元之方向壓迫該板,以及該另一支撐單元是一旋轉動支撐單元,其係旋轉地被支撐於該基座上,並沿著被該可移動支撐單元抵靠之該板的該周邊邊界轉動。
  3. 根據申請專利範圍第2項的機械手,其中該旋轉動支撐單元包含至少一對支撐單元,其相對於該可移動支撐單元壓迫該板之軌跡而配置於對稱位置上。
  4. 根據申請專利範圍第2項或第3項的機械手,其中該旋轉動支撐單元包含:一圓柱部位,其形成為圓柱形的形狀,且其外側周邊表面接觸該板之該周邊邊界的一末端表面;以及一環圈部位,其係形成為以環圈形狀從該圓柱部位突伸出,且其上表面接觸到該板的該周邊邊界的下表面,以及該旋轉動支撐單元在抵住該板的該周邊邊界上時,根據該環圈部位與該板之該周邊邊界的該下表面間的摩擦力而轉動。
  5. 根據申請專利範圍第2項的機械手,其中 該旋轉動支撐單元是設置於靠近該基座之前導端處,以及該可移動支撐單元是設置靠近於該基座的尾端處。
  6. 根據申請專利範圍第2項的機械手,進一步包括一通報單元,其計數該可移動支撐單元操作的次數,以根據操作次數來通知使用者該旋轉動支撐單元的更換時間。
  7. 一種機械人,包括根據申請專利範圍第1項的機械手。
TW101108130A 2011-09-26 2012-03-09 機械手及機械人 TWI500491B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011209881A JP5549655B2 (ja) 2011-09-26 2011-09-26 ハンドおよびロボット

Publications (2)

Publication Number Publication Date
TW201313412A true TW201313412A (zh) 2013-04-01
TWI500491B TWI500491B (zh) 2015-09-21

Family

ID=47910450

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101108130A TWI500491B (zh) 2011-09-26 2012-03-09 機械手及機械人

Country Status (5)

Country Link
US (1) US8720965B2 (zh)
JP (1) JP5549655B2 (zh)
KR (1) KR101490551B1 (zh)
CN (1) CN103009385B (zh)
TW (1) TWI500491B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI609752B (zh) * 2015-11-16 2018-01-01 Kawasaki Heavy Ind Ltd 機器人及利用機器人之作業方法
TWI800128B (zh) * 2021-02-10 2023-04-21 日商山葉發動機股份有限公司 加工裝置

Families Citing this family (354)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9691651B2 (en) * 2005-01-28 2017-06-27 Brooks Automation, Inc. Substrate handling system for aligning and orienting substrates during a transfer operation
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011148560A1 (ja) * 2010-05-26 2011-12-01 株式会社アルバック 搬送方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9343350B2 (en) * 2014-04-03 2016-05-17 Asm Ip Holding B.V. Anti-slip end effector for transporting workpiece using van der waals force
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN104191432A (zh) * 2014-09-09 2014-12-10 徐景丽 一种触摸屏基片的夹持装置
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN107615472B (zh) * 2015-05-29 2020-10-02 株式会社爱发科 带静电卡盘的运输机器人的控制***
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP6276317B2 (ja) * 2016-03-31 2018-02-07 平田機工株式会社 ハンドユニットおよび移載方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US9919430B1 (en) * 2016-12-06 2018-03-20 Jabil Inc. Apparatus, system and method for providing an end effector
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10399231B2 (en) 2017-05-22 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate handling contacts and methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP6885980B2 (ja) * 2019-03-28 2021-06-16 平田機工株式会社 駆動装置及び搬送装置
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
JP2022540607A (ja) * 2019-07-12 2022-09-16 アプライド マテリアルズ インコーポレイテッド 同時基板移送用ロボット
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11254015B2 (en) * 2019-09-24 2022-02-22 Thermo Crs Ltd. Multi-axis gripper for lab automation robot
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11926039B2 (en) * 2020-12-25 2024-03-12 Kawasaki Jukogyo Kabushiki Kaisha Robot
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116581084B (zh) * 2023-07-14 2023-09-29 泓浒(苏州)半导体科技有限公司 一种晶圆传送用机械臂及其控制方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6247542A (ja) 1985-08-27 1987-03-02 Matsushita Electric Works Ltd ガスセンサ
JPH0318435Y2 (zh) * 1985-09-10 1991-04-18
JPS6288583A (ja) 1985-10-14 1987-04-23 日立金属株式会社 チエン切断装置
JPS6288583U (zh) * 1985-11-21 1987-06-06
KR0152324B1 (ko) * 1994-12-06 1998-12-01 양승택 웨이퍼 측면파지 이송 반도체 제조장치
JPH10279068A (ja) 1997-04-10 1998-10-20 Dainippon Screen Mfg Co Ltd 基板搬送装置及びこれを用いた基板処理装置
US6167893B1 (en) * 1999-02-09 2001-01-02 Novellus Systems, Inc. Dynamic chuck for semiconductor wafer or other substrate
US6322312B1 (en) * 1999-03-18 2001-11-27 Applied Materials, Inc. Mechanical gripper for wafer handling robots
US6828772B1 (en) * 2000-06-14 2004-12-07 Micron Technology, Inc. Rotating gripper wafer flipper
US20020071756A1 (en) * 2000-12-13 2002-06-13 Gonzalez Jose R. Dual wafer edge gripping end effector and method therefor
JP2003077980A (ja) * 2001-09-06 2003-03-14 Yaskawa Electric Corp ウェハ搬送用ハンド
US6752442B2 (en) * 2001-11-09 2004-06-22 Speedfam-Ipec Corporation Workpiece handling end-effector and a method for processing workpieces using a workpiece handling end-effector
JP2004063668A (ja) * 2002-07-26 2004-02-26 Juki Corp 基板搬送装置
JP2003142554A (ja) * 2002-10-16 2003-05-16 Dainippon Screen Mfg Co Ltd 基板保持装置および基板搬送装置
US7286890B2 (en) * 2005-06-28 2007-10-23 Tokyo Electron Limited Transfer apparatus for target object
KR100832772B1 (ko) * 2006-05-22 2008-05-27 주식회사 나온테크 반도체이송장비
JP2009026859A (ja) * 2007-07-18 2009-02-05 Olympus Corp 基板検査装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI609752B (zh) * 2015-11-16 2018-01-01 Kawasaki Heavy Ind Ltd 機器人及利用機器人之作業方法
TWI800128B (zh) * 2021-02-10 2023-04-21 日商山葉發動機股份有限公司 加工裝置

Also Published As

Publication number Publication date
CN103009385B (zh) 2015-12-02
CN103009385A (zh) 2013-04-03
JP5549655B2 (ja) 2014-07-16
US20130076055A1 (en) 2013-03-28
JP2013071186A (ja) 2013-04-22
KR20130033268A (ko) 2013-04-03
US8720965B2 (en) 2014-05-13
TWI500491B (zh) 2015-09-21
KR101490551B1 (ko) 2015-02-05

Similar Documents

Publication Publication Date Title
TWI500491B (zh) 機械手及機械人
US10745209B2 (en) Workpiece inverting device
KR101952767B1 (ko) 로봇
TWI226097B (en) Method and apparatus for transferring a thin plate, and manufacturing method of substrate using the same
JP6367158B2 (ja) 吸着装置、把持装置および搬送方法
JPWO2009110072A1 (ja) ワーク移載装置
JP6208601B2 (ja) ワーク位置決め機能を有するロボットハンド、ロボットシステム、及びワークの位置決め把持方法
KR101773272B1 (ko) 엔드 이펙터 장치
JP2013223905A (ja) ロボット用ハンド及びロボット装置
US20190344436A1 (en) Transferring system and method of operating the same
KR20170129901A (ko) 기판 반송 로봇 및 그 엔드 이펙터
JP2012101317A (ja) 長尺材曲げ加工用のロボットハンドおよび長尺材曲げ加工システム
JP2013099807A (ja) ロボット及びロボットの制御方法
TWI722464B (zh) 基板搬送裝置及其運轉方法
JP2015150673A (ja) 制御装置、ロボット、ロボットシステムおよび制御方法
JP6693978B2 (ja) 搬送用ツールおよびロボット
JP5827046B2 (ja) 板状部材の支持装置および支持方法、ならびに板状部材の搬送装置
US20190193277A1 (en) Robot and method of operating the same
JP2011199229A (ja) ウエハ保持装置
JP7367338B2 (ja) 工作物把持装置
JP2021016933A (ja) ロボットハンド
TWI605918B (zh) 傳動機構以及具有此傳動機構的取物裝置
JP2021146448A (ja) 移載装置
JP2014042962A (ja) ワーク把持装置
JP3180056U (ja) パラレルリンクロボットシステム

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees