TW201044462A - A method for manufacturing semiconductor devices - Google Patents

A method for manufacturing semiconductor devices Download PDF

Info

Publication number
TW201044462A
TW201044462A TW099101690A TW99101690A TW201044462A TW 201044462 A TW201044462 A TW 201044462A TW 099101690 A TW099101690 A TW 099101690A TW 99101690 A TW99101690 A TW 99101690A TW 201044462 A TW201044462 A TW 201044462A
Authority
TW
Taiwan
Prior art keywords
insulating layer
layer
annealing
cfx
barrier layer
Prior art date
Application number
TW099101690A
Other languages
English (en)
Inventor
Masahiro Horigome
Takuya Kurotori
Yasuo Kobayashi
Takaaki Matsuoka
Toshihisa Nozawa
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201044462A publication Critical patent/TW201044462A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Description

201044462 六、發明說明: 【發明所屬之技術領域】 、本發明關於半導體震置及其製造方法,更具體地,關 於甩以改善氟碳肌)所構成的層間絕緣層與金屬所構成的 阻隔層之間的黏著性之表面處理方法及阻隔層形成方法。 【先前技術】 〇 近年來,已使用多層線路結構來達成半導體裝置之高 速作業及小型化。然而,因線路層之整體線路阻抗及寄^ 電容的增加,該些結構已產生線路延遲的問題。 使用低阻抗線路材料(例如銅(Cu))作為連結體 (interconnection body)可降低線路阻抗。另—方面,低介電 常數或低k材料可用於降低寄生電容。特別是,加氟碳(氟 石炭:CFX)可用做絕緣層來降低寄生電容,接著改善半導體 裝置的作業速度。 為避免銅(Cu)擴散進入絕緣層,可於連結體與絕緣層 之間形成阻隔層。半導體裝置之阻隔層係由鈦(Ti)、鈕(Ta)、 鎢(W)、釕(Ru)或磷(P)所製成。 當氟碳(CFX)被用做絕緣層之材料時,cfx層中所包含 的氣會k成CFX層與阻隔層之間的介面的氟化反應。其結 果為,絕緣層與連結體之間的黏著性會因CFX層與阻隔層 之間黏著性的減少而惡化。 另一方面,氟碳(CFX)材料與主要由金屬元素所構成的 阻隔廣必須具有充分的黏者性。由於用於半導體裝置的製 3 201044462 造之後續製程(例如化學機械研磨(CMP)製程或打線製程) 會進一步對基板施予壓力,因此,若阻隔層與CFX絕緣層 之間的黏著性不良,阻隔層便可能從絕緣層剝落。 本發明有鑑於上述問題,係提供一種表面處理方法以 抑制絕緣層與阻隔層之間的介面的氟化反應。此外,本發 明提供一種阻隔層形成方法,以增加絕緣層與阻隔層之間 的黏著性,同時抑制其間的氟化反應。 【發明内容】 本發明之一觀點係提供一種製造半導體裝置之方法。 該方法包括下列步驟:使包含有加氟碳(CFX)膜之絕緣層退 火;及於該絕緣層上形成包含有金屬元素之阻隔層,其中 該阻隔層係於該退火步驟之後經由高溫濺鍍製程而形成。 本發明之第二觀點係提供一種製造半導體裝置之方 法。該方法包括於絕緣層上實施前處理製程之步驟,以形 成碳對氟(C/F)成分比例為大於1之富含碳表面。該絕緣層 在實施該前處理製程前包含有碳對氟為任意成分比例之氟 碳(CFX)。 本發明之第三觀點係提供一種製造半導體裝置之方 法。該方法包括下列步驟:在預設條件下退火絕緣層,同 時將該絕緣層表面暴露於惰性氣體;及在該退火步驟之後 執行高溫濺鍍製程,以便形成包含有金屬元素之阻隔層, 而於該絕緣層與該阻隔層之間的介面形成金屬-C鍵,其中 於該高溫濺鍍製程期間維持介於約70 °C至200 °C之基板 201044462 溫度。該退火步驟進一步包含移除該絕緣層表面的濕氣之 步驟’且§亥絕緣層包含加氟碳(CFx)膜。 【實施方式】
以下參照添附圖式描述本發明之實施例,其一 發明之較佳示範實施例。隨後之描述並非用以限制= 之範圍、適用性或配置,而是該較佳 述將提供熟悉本技藝之人士完成= = =利說明。應注意的是,本發明可在不偏離申;= 所揭示之本發_神及範圍下,以不_形式予以體 =揭露者大體_半導时置及㈣造顧。較呈體 地,係關於新表面處理製程及阻隔金 八 善絕緣層與阻隔金屬層之間的轉性。層域^,以改 本發明之實施例將朝向避免阻隔層從絕 :1=:=連結體渗透浸, 形成阻隔層前對絕緣層表面施予前 處里製程,及(2)利用高溫濺鍍製程來形成阻隔層。 經由雜碳(CFx)絕緣層表面辦前處理製程來使絶緣 其結果為,CFx'_的表面會形成 在輯層表面職_層時產生 在形成主要由金屬元素所構成的阻隔 向溫會使CFX層與阻隔層的介面產生氟化反應。藉 5 201044462 有高蒸氣壓之氟化物會從C Fx絕緣層表面蒸發,而導致碳(C) 濃度增加。其結果為,絕緣層之富含碳表面有助於在阻隔 層與絕緣層的介面形成金屬-C鍵。 本發明之半導體裝置的製造過程包含下列步驟:(1)形 成CFX*緣層;(2)實施前處理製程以降低CFX層表面之氟 濃度;(3)前退火基板;(4)利用高溫濺鍍法來形成包含金屬 元素之阻隔層;(5)後退火基板;(6)形成銅(Cu)種晶層;及 (7)使用電解電鍍法形成銅(Cu)導線。 本發明一實施例之降低CFX層表面之氟濃度的步驟, 係經由於預設條件下退火絕緣層同時將絕緣層表面暴露於 例如氬(Ar)之惰性氣體而予實施。 本發明另一實施例之降低CFX層表面之氟濃度的步 驟’係經由於預設條件下退火絕緣層同時將絕緣層表面暴 露於例如氫(¾)之活性氣體而予實施。 本發明又另一實施例之降低CFX層表面之氟濃度的步 驟,係經由對絕緣層施予電漿處理而予執行。在本實施例 中,絕緣層係被暴露於電漿中,該電漿係經由在預設條件 下激發包含氫(H2)原子或碳(c)原子之氣體而予產生。 枣發明又另—實施例之降低CFX層表面之氟濃度的歩 驟^系經由將絕緣層浸人包含金屬元素氫氧化物之溶液, 接著以純水清洗該絕緣層,最彳m緣層絲*予執行。 本發明又另-實施例之乾燥絕緣層之 =知嶋而予執行,或經由於室溫下風乾 201044462 本發明又另一實施例之使用高溫濺鍍法形成包含有金 屬元素之阻隔層的步驟’係經由在整個濺鍍製程期間維持 介於約70 °C至約200 °C之基板溫度而予實施。 以下將分段個別詳述本發明之半導體裝置的製程過 造:形成氟碳絕緣層;對絕緣層實施表面處理以降低CFX 層表面之氟濃度;前退火基板;形成阻隔層;後退火基板; 形成銅(Cu)種晶層;及形成銅(Cu)導線層。首先描述形成氟 Ο 碳(CFX)絕緣層之製程。 (形成氟碳(CFX)絕緣層之製程) 本發明之絕緣層係由碳及氟為任意比例之氟碳(CFX : k〜2.2)所形成。該氟碳(CFX)絕緣層係形成於表面上形成有 例如電晶體等微小結構之基板表面上。相較於其他低k材 料絕緣層(例如多孔材料),氟碳(CFX)絕緣層係更加密集。 因而,氟碳(CFX)層的特色在於機械強度較高。能夠提供充 分的連結所需之絕緣層厚度可為介於例如約1〇〇〜12〇nm。 q 在本實施例中,目標為形成约115nm厚度之氟碳(CFX)絕緣 層。CFX絕緣層係使用具預設條件之輻射狀槽孔天線(RLS A) 微波電漿處理裝置而形成。例如,為形成厚度約115nm之 I碳(CFX)絕緣層,係使用流速約100 seem之氬氣(Ar)做為 電漿激發氣體。形成氣體係使用流速約20〇sccin之C5F8氣 體。將RLSA微波電漿處理裝置的内部調整及維持在輸入 功率為約1500W,壓力為約25 mTorr。由於以較低溫所形 成之0卩,層容易受到損傷,故晶圓溫度較佳係維持在介於 300〜400 °C之溫度。在本實施例中,晶圓狀態溫度係設定 7 201044462 為约350 此外’處理時間設
微波電聚處理裝置施予偏a。 I未對RLSA (降低化層氟濃度之前處理製程) 在習知的半導體裝置· 絕緣層上,且未執行任隔層係形成於呢 心八之糾、h 處Μ。因而’呢絕緣層中 。3 d f1 Ρ且隔層,而使得阻隔層與絕緣層的 介面產生=反應,導致阻隔層受到紐。藉此,來自連 結體之銅(^會擴散進人絕緣層,而造成阻隔層從絕緣層 (CFx)剝離。來自絕緣層之氟與來自阻隔層之金屬元素的反 應,即氟化反應’可經由降低%絕緣層表面之說漢度而 予抑制此降低會導致CFj緣層表面的碳(c)濃度增加, 接著會造成阻隔層與絕緣層的介面之金屬元素與碳原子 (金屬元素礙化物)之間的鍵結比例增加。因而,可改善CFx 層與阻隔層之間的黏著性,同時避免阻隔層因侵蝕而剝 離。此外,經由於阻隔層與絕緣層的介面形成金屬元素碳 化物,可避免銅(Cu)擴散進入緣層。 本發明有許多方法係使用上述機制來降低氟碳(CFx)絕 緣層表面之氟濃度。該些方法如下:(”氫阳^退火;(2)氫 (¾)電漿處理;(3)在浸入金屬元素氫氧化物後,以水清洗; 及(4)一氧化碳(CO)電漿處理。以下將個別詳細說明上述每 一製程。 ⑴氫(H2)退火: 在本實施例中’降低CFX層表面上氟濃度之步驟係經 由在預設條件下退火絕緣層’同時將絕緣層表面暴露於例 201044462 如氫(h2)之活性氣體㈣實施。在本實施射,退火絕緣層 之步驟係經由將氫氣(h2)導入處理裝置並在約1〇〇 τ或以 上之溫度料執行。氫(η2)會與化層表面的a(F)反應而 形成敗化氫(HF)。之後’氟化氫㈣會從CFx層表面脫附, 而形成§含碳^的表面。 (2)氫(H2)電漿處理: 氮(¾)電漿處理製程為減少CFx、絕緣層表面之敗濃度 〇 的另—方法。首先參關1,顯示使用氫電i:之CFX前處理 製程的貫施例。該製程的第一部份始自於基板1〇上形成 CFjE緣層20。該〇?\層20可經由以上所說明之使用RLSa 微波電漿處理裝置的相同製程而予形成。在下一步驟中, 將氫氣(H2)導入處理裝置’並經由使用習知方法及裝置來激 發氫(H2)原子而產生氫電漿。如此一來,CFx前處理製程係 經由將基板ίο上所形成之氟碳(CFx)絕緣層2〇暴露於氫電 聚而予執行。如圖1(b)中所示,CFx表面層中所包含之敦(f) ❹ 係經由與鼠電衆反應,而自該表面分離。除了氫氣(仏)之 外,些貝施例亦可使用包含氫原子之其他氣體。包含氫 原子之其他氣體的範例可包括矽烷氣體(SiH4)或甲烷氣體 (CH4)。接著,在〇1^層的表面上形成富含碳的表面(圖^c))。 圖1(d)係顯示在實施使用氫電漿之CFx前處理掣程之 後的半導體裝置製造過程的最後步驟。在最後步驟$广於 絕緣層20之富含碳表面上形成阻隔層3〇。該阻隔層知本 質上係以例如鈦(Ti)之金屬元素來製造。該富含碳^面經由 產生金屬元素碳化物300而有助於提升阻隔層3〇與絕緣層 9 201044462 20之間的鍵結。在本實施例中,金屬元素碳化物3〇〇係以 碳化鈦(TiC)來製造。此外,阻隔層30係使用例如濺鍍法或 化學氣相沈積(CVD)法之習知方法而予形成。一些實施例可 使用其他金屬元素來形成阻隔層3〇。其他金屬元素之範例 可包括钽(Ta)、釕(Ru)或鎢(w)。 接著參照圖2’圖2係顯示使用氫電漿之cfx前處理製 程的替代實施例。在本實施例中,係使用單鑲嵌型導線結 構。在於基板10上形成CFX絕緣層20之後,經由蝕刻製 程而在CFX絕緣層30中形成開口 21。在下一步驟中,經由 將氫氣(¾)導入處理裝置並執行使用激發後的氫電漿之 CFX前處理製程,而將絕緣層20暴露於氫電漿。在本實施 例中’除了氫氣之外,在處理裝置中亦添加有例如氬氣(Ar) 之惰性氣體。在最後步驟中,於開口 21内側及〇卩>[層20 表面上形成阻隔層30。該阻隔層30具有形成於CFX層上的 第一層30a及形成於第一層上的第二層30b之多層結構。 用於多層結構30中之金屬元素的範例包括鈦/氮化鈦 (Ti/TiN)、鈦/氮化钽(Ti/TaN)及钽/氮化鈕(Ta/TaN)。如具氫 電漿處理之第一實施例的狀況,由於實施使用氫電漿之CFX 前處理製程後所產生之富含碳表面的存在,而使得CFX絕 緣層20與阻隔層之間的介面形成有金屬元素碳化物300。 (3)在浸入金屬元素氫氧化物後,以水清洗: 在本實施例中,簡單地將緣層浸入包含有金屬 元素氫氧化物之溶液中。金屬元素之範例可包括鈣(Ca)、錯 (Sr)、鋇(Ba)、鈉(Na)、鉀(K)或鎂(Mg)。在將絕緣層浸入金 201044462 屬元素氫氧化物(例如氫氧化鈣(Ca(OH)2))之 洗CFX絕緣滑,並以習知方法乾燥。在一實施例中'、,= 步驟可使用習知供乾機予以執行。在另—實施例中1 步驟可於室溫下風乾絕緣層而予實施。 乙祕 (4)一氧化碳(CO)電漿處理
Ο 在此方法中,係使用一氧化碳(c〇)電漿來執行c圮妒 處理製程。-氧化碳(CO)電漿中所包含的碳(c)會與π 面層中所包含之氟(F)形成“鍵。該C_F鍵的形成會^ 氟(F)從CFX表面層脫附。因而,該電漿處理除了化碳 (CO)氣以外,亦可使用包含有碳原子之其他氣體,例如^ 烷氣體(CH4)等,。 依據以電漿處理(2及4)為主之方法,經由將CFx表面 層暴露於將包含有氫(¾)原子或碳(c)原子之氣體激發所產 生的電漿,則CFX表面層中所包含之氟(1?)便會從該表面分 離。因而,除了氳氣(¾)或一氧化碳(co)氣體以外,可在電 漿處理裝置加入任何包含氫原子或碳原子之氣體。該些氣 體之範例可包括矽烷氣體(SiH4)、曱烷氣體((:114)等。 在預設條件下利用RLSA微波電漿處理裝置來產生氫 (¾)電漿或一氧化碳(co)電漿。例如,較佳地將RLSA微波 電漿處理裝置内部的壓力調整為介於100〜2000mT〇rrc>在本 實施例中,下列狀況被用做產生氫(H2)電漿及一氧化碳(c〇) 電漿之預設條件:首先,將RLSA微波電漿處理裝置内部 的壓力設定為約1200mTorr;第二,對rlsa微波電漿處理 裝置施予至少約500W的微波功率;及第三,處理時間設 11 201044462 定為約5秒期間。 如上述 产來抑制來i 法所使用之機制係經由減少氟濃 又 x、愚緣層之氟(F)與來自阻隔層之金屬元喜 之間的氟化反應。經由拍@ 曰之金屬兀不 ❹合㈣^ 以反應,CFX層表面上的氟 杂:人石曰'CFx層表面上產生了富含碳表面 。因此, 二昌=表面上形成纽_時,阻關與絕緣層之間的 ”:元素與&原子(金屬元素碳化物)之間的鍵結比例 便會增加。 (5)氬(Ar)退火處理: 在替代實_中’可使用不同機制來降低CFX絕緣層 表面之氟濃度。在此替代實關巾,係使用氬⑽退火處理 來移除氣碳(CFX)絕緣層表面的濕氣。CFx層表面的濕氣會 產生具弱鍵之I。具觀之㈣子料形成金衫素四氟 化物,例如在阻隔層與CFx絕緣層之間的介面的四氟化鈦 (Ί^4)。四氟化鈦(TiF4)的特徵在於高蒸氣壓,其會導致cFx 絕緣層與金屬元素之間的黏著性惡化。因而,經由氬(Ar) 退火處理來移除濕氣有助於降低來自於氟碳(CFX)絕緣層表 面之具弱鍵之氟,並因而藉此製造富含碳表面。在此替代 實施例中,希望在惰性氣體的預設條件下執行退火步驟。 惰性氣體之範例可包括氦(He)、氖(Ne)、氬(Ar)、氪(Kr)、 氙(Xe)等。在本實施例中’從經濟效率觀點’氬氣(Ar)係被 用做退火CFX絕緣層之退火氣體。 本發明之氬(Ar)退火處理係利用濺鍍裝置而予執行。然 而’亦可利用與濺鍍裝置相互分離之退火裝置。如上述, 12 201044462 係在預設條件進行氬(Ar)退火處理。例如,濺鍍裝置内部的 遂力較佳地係調整為介於lOOmTorr至ITorr。在本實施例 中’賤鑛裝置内部的麗力係設定為約1 OmTorr,同時狀態溫 度係設定為約200 °C。處理時間係設定為约5分鐘(300秒) 期間。 Ο Ο 參照圖3 ’圖3係顯示滅鏟裝置1 〇〇之實施例示意圖。 在此圖中’在濺鍍裝置的中央具有真空轉換室102。在周圍 區域,環繞著真空轉換室102則配置有退火室1〇4、阻隔層 濺錢室106及銅賤鑛室1〇8。在濺鍍裝置1〇〇下部,至少有 一加載互鎖室(load lock chamber)110連接至真空轉換室 102,並且轉換室112係連接至加載互鎖室11〇,以便將晶 圓從晶圓夾盒(未顯示)轉移至加載互鎖室110。 實驗樣本: 為了評估經前處理之氟碳(CFX)絕緣層上的阻隔層的阻 隔特性及附著性,而製作了許多實驗樣本。接著,實驗樣 本分別經歷了氣泡測試及膠帶測試。圖4係顯示每—個實 驗樣本所使用之CFX絕緣層的表面處理的結構及製程。此 外,圖中顯示將透明膠帶黏貼於實驗樣本表面後< 之平 圖、氣泡測試及膠帶測試之結果。此評估所使用之社 括了形成於體(Bulk)石夕(Si)基板上之氟碳(CFx)絕緣層' 二 (CFX)絕緣層的厚度約為115nm,且係使用上述所 ^ 程而形成於RLSA電漿處理裝置中。在每一實驗樣 行CFX絕緣層表面的CFX前處理製程後,利用錢 而在CF,緣層上形成厚度約為3nm之主要由鈦^所構成 13 201044462 的阻隔層’其中濺鍍乾係使用欽㈤。在最後步驟中,利用 賴裝置刚而在阻隔層上形成厚度約為i5〇nm的銅(a) 導線層。錢樣本之阻隔層可使用f Μ鍍法、化學氣相 沈積(CVD^或電解電鍍法而予軸。㈣瓣賊或有機 物質,可在L福層前執行退火步驟。該退火步驟是 介於约_ T至約雇τ之溫度下於濺鍍或㈣裝置的 阻隔層形成室中實施。 為此評估製作了九件不同的實驗樣本。針對每 樣本,在1絕緣層表面上實施個別%前處理製程以降 低該表面的氟濃度,從而提高碳的相料度。如圖 示,處理列總結了在每—實驗樣本中施予在%絕緣 面上的表面處理製程。 (實驗樣本1)」纟CFx絕緣層表面上未實施前處理製 程。如圖4中所示’將標示有符號之本樣本判斷為ς 過氣泡測試及膠帶測試。在本實施例中,碳對氟對 例如下:C:F:0 = 47:53:0。 (實驗樣本2):此實躲本之氟碳(CFx)絕緣層係被施 一氧化碳(CO)退火製程處理。在本實施例中,將一氧化$ 氣(CO)導入處理裝置,並以約2〇〇 χ的溫度執行退火= 60秒期間。類似於先前的例子,此樣本(2)通過了 二 及膠帶測試。 ,匕州砵 (實驗樣本3):對氟礙(CFx)絕緣層表面施予 (CO)電漿處理。該-氧化碳(c〇)電藥處理係_邮八= 波電衆處絲£而予執行。在本實關巾,肛认微波電聚 14 201044462 處理裝置係施加約3kW之微波功率且處理時間係設定為約 10秒期間。如上所述,RLSA微波電漿處理裝置内部的壓 力係設定為約1200mTorr。類似於先前的例子,此樣本3 通過了氣泡測試及膠帶測試。在本實施例中,碳對氟對氧 之比例如下:C:F:0 = 48:46:6。 (實驗樣本4):在本實驗樣本中,降低CFX層表面之氟 濃度的步驟係經由退火絕緣層同時將絕緣層表面暴露於乙 〇 碎燒氣體(以出6)而予實施。在本實施例中,將乙石夕烧氣體 (ShH6)導入處理裝置,並在約200。(:溫度下執行退火步驟 達約60秒期間。本實驗樣本通過了氣泡測試及膠帶測試。 (實驗樣本5):使用氬(Ar)退火處理來進行cfx絕緣層 表面之前處理。如上所述,氬(Ar)退火處理係於濺鍍裝置 中執行’其中可於同一處理裝置中執行退火步驟及濺鍍 步驟。然而,本實施例亦可使用與濺鍍裝置相互分離之退 火裝置。濺鍍裝置内部的壓力係設定為約10mT〇rr,且基板 Q 度係維持在約2〇〇 °C。本實驗樣本之處理時間係設定為 約60秒。類似於先前的例子,實驗樣本5通過了氣泡測試 及膠帶測試。 (實驗樣本6):經由氫(¾)退火製程來實施CFx絕緣層 表面之前處理。本實驗樣本之退火步驟係在約3〇〇 τ的溫 度下執行達約900秒期間。實驗樣本6通過了氣泡測試及 膠帶測試。在本實施例中,碳對氟對氧之比例如下^^F:〇 =50:50:0。 (實驗樣本7):對氟碳(CFX)絕緣層表面施予氫電製 15 201044462 處理。類似於實驗樣本3的例子,氫(¾)電漿處理係利用 RLSA微波電漿處理裝置而予執行。在本實施例中,係使用 至少約500W之微波功率,且處理時間係設定為約5秒期 間。如先前所述’ RLSA微波電漿處理裝置内部的壓力係設 定為約1200mTorr。如圖4中所示,本實驗樣本7中觀察到 一些剝片。在本例中’進一步的研究顯示該些剝片係由於 一些環境狀況,因此類似於先前的例子,而將本樣本判斷 為通過氣泡測試及膠帶測試。在本實施例中,碳對氣對氧 的比例如下:C:F:0 = 55:45:0。 (實驗樣本8):首先將CFX絕緣層浸入氫氧化舞 (Ca(OH)2)溶液。在浸入步驟之後,以純水清洗緣層, 並在室溫下風乾。實驗樣本8通過了氣泡測試及膠帶剩試。 在金屬元素氫氧化物的清潔處理中無有關碳對氟對氧之比 例的數據。 (實驗樣本9):經由氟鍵結處理來實施CFx絕緣層之前 處理。如圖4中所示,本實驗樣本通過氣泡測試,然而= 未通過膠帶測試,故驗證阻隔層與CFX絕緣層之間的低 著鍵結。 附 依據圖4中所示的上述實驗結果,除了氟鍵結處理的 樣本外,所有的實驗樣本均驗證阻隔層與CFx絕緣層之間 的高附著鍵結。然而,由於低附著鍵結,以氟碳處理之二 驗樣本9中便可能出現阻隔層剝落的情況。 汽 參照圖5〜圖6,每-實驗樣本!至9之截面圖及上表 面圖係顯示利用掃瞄式電子顯微鏡(SEM)所攝得的影像。^ 16 201044462 SEM影像係於以約36G T溫度退火所有實驗樣本達约χ小 時期間之後拍攝。如目5及圖6中所示,呢絕緣層未施予 前處理製程之實驗樣本!顯示了無阻隔層從%層剝落。 然而,本樣本顯示了有關阻隔特性的—些問題。如截面圖 所示,CFX、絕緣層與石夕⑼基板之介面出現的明亮顆粒係顯 示來自銅連結體之銅(Cu)分別通過了阻隔層及CL絕緣 $。因而,銅(Cu)會與邦〇基板鍵結而產生SiCu。有關於 Ο 貫驗樣本1之上表面圖,更多凹痕及突起的出現係顯示有 更多的銅(Cu)滲透浸入結構底層。 除了對CFX絕緣層表面施予氫(Η?)退火處理之實驗樣 本6的例子以外,幾乎所有的實驗樣本均顯示了明亮顆粒。 此外,銅(Cu)表面未出現有凹痕。而且,以氟鍵結處理之 實驗樣本9的截面圖及表面圖,在矽(Si)基板與CFx絕緣層 之介面出現有明亮顆粒方面,以及銅(Cu)表面存在有凹痕 方面,看起來狀況均不錯。然而,氣泡測試及膠帶測試中 〇 顯示,對於實驗樣本9而言,CFX/金屬介面的黏著性不良。 應注意的是’儘管Si/CFX介面出現有明亮顆粒且銅(Cu) 表面出現有凹痕,所有經降低氟處理之實驗樣本在有關銅 (Cu)擴散進入砍(Si)基板層方面均有充分的阻隔特性。 (前退火基板) 在下文中,將說明依據本發明之用以製造半導體裝置 之製程的第三步驟。在對氟碳(CFx)絕緣層施予前處理製程 後,利用濺鍍裝置100而於基板上進行前加熱步驟。本加 熱處理可在介於約50。(:至約200 0C之基板溫度下而於阻 17 201044462 隔層濺鍍室106中執行《處理時間可設定為18〇秒或以下。 (主要由金屬元素所構成之阻隔層的形成製程) 在習知的阻隔層形成製程中,存在著CFx絕緣層與主 要包含金屬το素(例如鈦(Ti))之阻隔層之間黏著性不良的問 題。為改善黏著性,提出雙(多)阻隔層結構,其巾係於絕緣 層上形成氮化鈦(TiN)製成的第—層,並於面對銅導線的第 一層上开>成鈦(Τι)製成的第二層。然而,於阻隔層中使用氮 化鈦(TiN)會因其之高電阻而增加信號延遲。 本發明主要由金屬元素所構成的阻隔層係利用高溫濺 鍍法而予形成。在本製程中,在整個濺鍍製程係將基板溫 度保持在較高溫度。在較佳實施例中,係將基板溫度加熱 並保持介於約70。(:至約200。(:。藉由加熱來使基板達上 述溫度範圍,並於整個濺鍍製程中保持相同程度的基板溫 度’此係因為氟原子會因來自氟碳(CFx)絕緣層表面的高蒸 軋壓而被吹除(scavenged)成例如TiF4。 較佳溫度範圍的下限選擇為7〇。(:的原因,是因為氟碳 (CFx)絕緣層表面的濕氣於此溫度開始蒸發。另一方面,溫 度範圍的上限設定為200 〇C是因為當基板温度超過2〇〇。匚 時其下導電層的銅(Cu)會開始聚集。主要是因為暴露在 層(via)底部的銅(Cu)會直接受到基板溫度影響。因而,當 基板溫度超過上限範圍時,來自導電層之銅(Cu)便會開始 聚集。聚集的銅屬性並不均勻,而使其難以用於半導體裝 置。 八 接著參照圖7’圖7係顯示雙鑲嵌型銅導線結構之實施 201044462 例的製造過程示音R ^ 。雙鑲嵌技術包含下列步驟: (1)形成絕緣層 ⑺形成介層/導線圖形 (3) 蝕刻介層/導線槽 (4) 形成阻隔金屬/鋼種晶層 (5) 經由電解電鍵法來埋藏銅㈣ 阻隔金屬層的化學機械研磨(cmp) 請注意’係簡化省略前處理製程、前退火及後退火步 驟。 圖8係顯示利用雙鑲嵌技術之前半製造過程。圖8(a) 中顯不具多層結構80之CFX絕緣層的截面圖。如本圖中所 示’多層結構係包含有氟碳絕緣層82、覆層(cap layer)84(亦 稱為硬遮罩或餘刻停止層)、阻隔層86及銅(Cu)導線層88。 在本實施例令’覆層84可包含非晶碳或氮碳化矽(SiCN)。 而且,阻隔層86係由鈦(Ti)所形成。應注意的是,當形成 CFX絕緣層82時,底下多層結構的銅(Cu)導線層88並未露 出。然而,當形成阻隔金屬/銅種晶層時,在蝕刻介層/導線 溝槽之後,鋼(Cu)導線層88便暴露於大氣中,並直接受到 基板溫度的影響(請參照圖8(b))。 高溫濺鍍製程中用以降低氟濃度之機制如下:在濺鍍 製程初期階段’在CFX絕緣層表面上形成例如四氟化鈦 (TiF4)之金屬氟化物溶液《藉由將基板溫度保持在相同程 度,四氟化鈦(TiF4)會因其高度蒸氣壓而蒸發。其結果為, 緣層表面的氟濃度便會降低,同時碳濃度便會增加, 19 201044462 而使得CFX層表面形成富含碳表面。 富含碳表面會有效地於氟碳(CFx)絕緣層與鈦(Ti)阻隔 層之間的介面產生複數金屬-碳鍵’例如Ti_c鍵。由於鈦(Ti) 阻隔層係形成於Ti-C鍵的形成之後,故阻隔層非常穩定; 藉此CFX層與阻隔層之間的黏著性亦非常牢固。因而,鈦(Ti) 阻Pwj層與CFX絕緣層之間不需置入具高電阻率的氮化鈇 (ΤιΝ)層來穩定其黏著性。藉此,本發明之高溫濺鍍製程相 較於習知阻隔層形成製程可提供具低電阻率及低損耗之阻 隔層》 在刺餘氟(F)仍出現於CFX絕緣屬表面的例子中,因為 金屬-氟鍵相較於金屬-碳鍵具有較低的共價能量鍵,所以極 不可能形成金屬-碳鍵。因而,氟碳(CFx)絕緣層與阻隔金屬 層之間的介面會形成金屬-氟鍵。 主要由金屬元素所構成的阻隔層係使用濺鍍裝置1〇〇 而在預設條件下形成。阻隔層可於約2〇〇 〇c的基板溫度下 而於阻隔層濺鑛室1〇6中而形成。處理時間可設定為5〇秒 以形成厚度為18nm之鈦(Ti)阻隔層。流速為約7〇sccm之 氣氣(Ar)亦用於輸入功率為約3〇〇w之阻隔層錢鍍室1〇6内 部。 在本實施例中,主要由金屬元素所構成的阻隔層係由 鈦(Τι)所構成。此主要係因為:第一,鈦(Ti)為當與氟原子 結合以形成四氟化鈦溶液時具備高蒸氣壓之材料;及第 二,鈦(Τι)相對於銅(Cu)具有良好的阻隔特性。在其他的實 施例中可使用其他的金屬元素而以高溫濺鍍製程來形成阻 20 201044462 隔層。具有上述相同屬性的其他金屬元素可包括組(Ta)、釕 (Ru)、錳(Μη)或鈷(Co)。圖9係顯示氟化物(F)的蒸汽壓力 與溫度的函數。如本圖中所示,鈕(Ta)及釕(Ru)代表具有高 蒸氣壓之材料。請參照圖9中的氟化鈕(TaF5)及氟化釕(RuF5) 曲線。另一方面,鎢(W)為具有高蒸氣壓之材料,然而圖9 中的\\^6曲線並未被選作本發明之金屬阻隔層的選項。此 係因為鷂(W)相對於銅(Cu)不具良好阻隔特性的緣故。 〇 在本實施例中,主要由金屬元素所構成的阻隔層係具 有單層結構。因而,可直接在例如鈦(Ti)之阻隔層頂部形成 鋼(Cu)連結體。其他實施例可形成具多層結構之阻隔層。 具多層結構之阻隔層可包括主要由第一金屬元素(例如鈦 (Τι))所構成的第一層,以及主要由第一金屬元素以外之金 屬元素所構成的第二層。除了鈦(Ti)以外之金屬元素的範例 亦可包括鈕(Ta)、釕(Ru)、鈷(C〇)、鎳(Ni)及錳(Μη)。在替 代實施例中,多層結構之第二層可由第一金屬元素的氮化 ❹ 物(例如氮化鈦(丁沉)),或第一金屬元素以外的金屬元素氮 化物(例如氮化组(TaN;))等所構成。 如以下將討論者,本發明係提供在例如鈦(Ti)層之第一 f的頂部形成乡層結構的第二層之機會,其直接置於銅連 f體下方。如此一來’經由形成具多層結構之阻隔層,則 ;私選擇之自由度便會增加。這是因為可於鈦㈤阻隔層的 頂部形成任意層,而無習知製程之限制,其中㈣阻隔層 與CFX,緣層的不良附著屬性,而將第二層置於二者之間。 接著參,¼圖10,以各種濺鍍溫度及錢鍍時間來顯示用 21 201044462 於測量鈦(τ_隔層厚叙實驗樣料 每—實驗樣本之阻_厚度的聊強 =的=所示’對以相_溫度所形成之相 言’濺鍍期間愈長則鈦(Ti)阻隔層的厚 度便愈厚。然而,對相賴鍍期_以不同㈣鐘溫度所 =成之相同結構的實驗樣本而言,依目標結構而觀察到兩 種不同的傾向。若鈦㈤阻隔廣係直接形成於石夕⑻基板 上’則阻隔層厚度便不會隨著麟溫度函數而改變。咖 分析顯不了實驗樣本i及3 (請參照表中XRF厚度列的第一 及第三行)的厚度值(3nn^2 7nm)幾乎相同。 若鈦(Ti)阻隔層係形成於氟碳(CFx)阻隔層上,則阻隔層 的厚度便不會隨著濺鍍溫度函數而改變,例如,實驗樣本4 及6之XRF厚度分別為2.811111及丨lnm。厚度值的丨7nm 差距被認為係因首先會形成四氟化鈦(Tij^溶液,但接著在 咼溫濺鍍製程期間會蒸發。在本實施例中,濺鑛時間係設 定為9秒。實驗樣本5及7之XRF厚度分別測量為以下值: 6,0nm及4.8nm。同樣地,厚度值的unm差距係歸因於四 I化缺(TiF4)溶液的蒸發。在本實施例中,減鍍時間係設定 為18秒。實驗樣本8之XRF厚度測量為約17.7nm。在本 實施例中,濺鍍溫度係設定為200 0C,同時濺鍍時間係設 定為50秒。應理解的是’在上述所有的測量中,xrf分析 是在約350 °C溫度下退火基板達12小時之後執行。 依據該些結果,於例如200。(:之較高濺鍍溫度下所形 22 201044462 成的鈦(Ti)阻隔層厚度,會較於室溫下所形成的鈦(Ti)阻隔 層厚度要小約1.2〜1·7ηπι。圖11係顯示針對兩錢鍍溫度: 200 °C及室溫(R.T.)而言’實驗樣本4至8之XRF強度與 濺鍍時間的函數。 由於在最初階段鈦(Ti)會被消耗以移除氟碳(cfx)絕緣 層表面之氟(F) ’所以首先形成四氟化鈦(TiF4)溶液並使其蒸 發,則經由將阻隔層厚度設定為較習知製程所獲得的阻隔 〇 層厚度值要大上約1.2〜1.7nm之值,可獲得阻隔層厚度之 目標值。 (後退火基板) 在使用高溫濺鍍製程形成阻隔層後,利用同一濺鍍裝 置100來對基板實施後退火步驟。本加熱處理可以介於5〇 °C至約200 °C之基板溫度而於阻隔層濺鍍室1〇6中執行。 處理時間可設定為180秒或更少。 (形成銅(Cu)種晶層之製程) 〇 下列將說明本發明之半導體裝置製造過程的第五步 驟。在形成主要由金屬元素所構成的阻隔層後,利用同一 濺鍍裝置100而於阻隔層上形成銅(Cu)種晶層。鋼(Cu)種晶 層可在室溫下形成於銅濺鍍室1〇8中,其不同於阻隔層濺 鍍室106。在本實施例中’銅(Cu)種晶層係經由物理氣相沈 積(PVD)製程而予形成。只要有足夠空間將銅導線埋藏於介 層或導線溝槽内,則任何厚度的銅種晶層均可。所需的銅 (Cu)種晶層厚度為約5nm。 (形成銅導線之製程) 23 201044462 在製造過程的最後步驟中,於形成厚度約為4〜5聰的 銅(Cu)種晶層之後,利用習知電解電鐵製程來 為120〜130nm的銅導線。 實驗樣本: 為評估經由高溫濺鍍製程而於經前處理之氟碳你)絕 緣層上所形成之阻隔層的阻隔特性及附著性,而利用上述 製造過程來製造許多f驗樣本。為評估實驗樣本,便 以下列設定條件而於銅濺鍍室1〇8中在阻隔層上形成厚度 約為150nm之銅層:流速為1〇〇sccm之氬氣(Ar) 5〇〇w之 輸入功率及100秒之處理時間。下列將詳細說明該些評估 的結果。 ,(貫驗樣本ι〇):本實驗樣本係依據本發明之製程而予 製造,以評估阻隔層之阻隔特性及其對於CFx絕緣層之附 著。在本實驗樣本中,係對CFx絕緣層表面上施予氬退火 處理。此氬(Ar)退火處理係用做本發明之半導體製造過程中 之步驟(2)的前處理製程。 接著參照圖12,圖12係顯示實施氬退火處理後之實驗 樣本製造過程的示意圖。其中亦顯示使用透射電子顯微鏡 (ΤΕΜ)影像之實驗樣本的截面圖及平面圖。如本圖所示,對 CFx絕緣層表面施予約200 ec溫度之前退火處理。接著, 使用高溫濺鍍製程形成厚度約為3nm之鈦(Ti)阻隔層。鈦⑺) 阻隔層係於約200°C的基板溫度下,從濺鍍裝置1〇〇形成 於阻隔層濺鍍室106中。接著在200 X於包含有鈦(Ti)阻 隔層之基板上實施後退火步驟。前退火及後退火步驟亦於 24 201044462 阻隔層濺魅1G6 f執行。在最後步射,在室溫下使用 習知減鍍製程而於銅濺鍍室⑽中形成厚度15Gnm的銅㈣ 層。在銅層上形成厚度2〇nm之附加欽(Ti)層,以避免鋼氧 在約35〇Χ溫度下執行前評估退火達12小時之後,接 著評估實驗樣本。該前評估退火係於雜裝置之退火室⑽ 中執行。 如圖12中所示,實施膠帶測試以評估鈦阻隔層對於 〇 CFx絕緣層之附著。其結果為,本樣本中並未發現阻隔層之 分層(delamination)或剝落(peeling)。此外,本實驗樣本之截 面圖及平面圖有關鈦(Ti)層之阻隔特性是極佳的。如截面圖 之放大部分所示,CFX層與卵〇基板之介面未出現明亮顆 粒。這表示銅未從連結體渗透浸入氣碳(CFx)絕緣層。實驗 樣士之平面圖可確認實驗樣本表面未觀察到凹痕及突起。 本實驗樣賴示鈦㈤卩福層與CFx絕緣狀㈣佳的阻隔 特性及強力畴鍵。此與先前從實驗樣本獲得的結果相 &’其巾糾對具有在室溫下以習知濺鍍製程所形成之阻 隔層的祕(CFX)絕緣層表面上僅施予前處理製程。請回頭 參照圖5-6,特別是實驗樣本5。 (實驗樣本1M2):下列評估實驗樣本之阻隔特性,其 中形成有具約18nm之高厚度值的鈦(Ti)阻隔層。圖13係顯 示用於製造實驗樣本11之目標結構及製成流程。如該圖所 不,係對CFX絕緣層表面上施予氫(Ho退火處理,以降低 CFX絕緣層表面之氟濃度。接著,以氫氣㈣實施前退火處 理達180秒。在前退火步驟之後,在約2〇〇 χ之基板溫度 25 201044462 下使用咼溫濺鍍製程形成鈦(Ti)阻隔層。高溫濺鍍製程之濺 鍍時間設定為50秒,會導致形成厚度18nm的鈦阻隔層。 在200 C下以氫(HO對基板實施後退火處理達18〇秒後進 行銅(Cu)層形成步驟’而於室溫下形成具15〇11111厚度之銅 (Cu)層。本實驗樣本u接著實施氣泡測試及膠帶測試。圖 13中亦顯示將透明膠帶黏貼於表面後之結構的平面圖。在 評估整個晶圓之後,發現在附著膠帶周圍並未觀察到變 色。再者,本實驗樣本通過了氣泡測試及膠帶測試。此外, 圖13係顯示實驗樣本u之截面圖及上表面圖。如圖所示, 未觀察到銅(Cu)擴散,結果造成鈦(Ti)阻隔層的高厚度值。 圖14中顯示用於製造實驗樣本12之目標結構及製作 流程。使用圖14中所示之製作流程來形成兩實驗樣本:在 第一樣本中,對CFx絕緣層表面上施予氫(H2)退火處理,以 降低氟濃度’但在第二樣本中,针對CFx絕緣層未施予氫(氏) 退火。此外,針對該些兩實驗樣本均未實施前退火步驟。 在約200 C的基板溫度下形成厚度18nm之鈦(Ti)阻隔層 後,分別對基板施予軟蝕刻(s〇ft etch)處理及氬冷卻處理。 軟餘刻處理為移除鈦⑺)阻隔層表面之氧化物膜的製程,其 係將獅kHz之射頻(RF)施予基板以吸引氬㈤離子。軟敍 刻製程係以200。(:溫度於氬氣中執行達36〇秒期間。由於 本製程係在2GG °C溫度下執行,可視為後退火及氧化物膜 移除處理。再者’氬(Ar)冷卻處理為冷卻基板之製程,其係 將基板置於維持在20Τ至3〇 τ之冷卻板上達_秒。執 行氬㈤冷卻處理係為了在室溫下沈積銅(c u)層 。請注意, 26 201044462 ^製程^具體指明之實驗樣本中並未執行。在最後步驟 ’f室溫了在鈦(Ti)阻隔,上形成厚I 150mn之銅(Cu) 曰亥些兩貫驗樣本均歷經氣泡測試及膠帶測試。圖14中 二,·《二在’、表面黏貼透明膠帶後的二結構之平面圖。對於 “ ^^促2)退火處理之第—實驗樣本而纟’在銅(CU)表面附 ^四周觀察到-些變色。如圖14中所示,類似於實驗 Ο
:、Π的例子,二實驗樣本12通過了氣泡測試及膠帶測 试。^ 14亦顯示了二實驗樣本之截面圖及上表面圖。類似 於先則的例子(樣本u),由於鈦⑽阻隔層之厚度,並未觀 察到鋼(CU)擴散。氣泡須m、膠帶測試及SEM影像係於以 35〇$ c退火基板達π小時之後拍攝。實驗樣本u_12之製 作係為了確認在例如18nm之高厚度值狀況下鈦阻隔層的 阻特J·生。然而,金屬阻隔層厚度必需要薄以避免導線寬 度縮短。例如,為了形成所需之穿透寬度20nm的介層之銅 ^線,則必需形成厚度約為3nm的阻隔層。如此一來,則 旎提供所需之寬度14mn的鋼(Cu)導線。 (貝驗樣本13-15):圖15係顯示用於製造實驗樣本 13-15之目標結構及製作流程。如本圖中所示,於所有三件 實驗樣本中,針對CFx絕緣層表面均施予氫(h2)退火處理, 以降低CFx絕緣層表面之氟濃度。該氫(H2)退火處理係於約 30〇 C溫度下實施達9〇〇秒期間。接著,在氫氣(H2)中以約 20〇 C溫度實施達18〇秒期間。在前退火步驟之後,使用 =溫濺鍍製程以约200 T之基板溫度形成鈦(Ti)阻隔層。 實驗樣本13-15之濺鍍時間分別設定為9、17及25秒。上 27 201044462 述濺鍵時間係分卿成厚度lnm、4nm& 7nm之欽阻隔層。 對基板+施予200 C下以氫汨2)退火達18〇秒的後退火處理 後’接著進行在室溫下形成厚度丨5〇nm之銅(Cu)層的銅(Cu) 層形成步驟。 a驗樣本13-15在約350。(:溫度退火基板達12小時之 後,便進行氣泡測試及膠帶測試。圖15中亦顯示在表面黏 貼透明膠帶後之三結構的平面圖。如本圖解,厚度僅Μ =鈦(Τι)阻隔層的實驗樣本15通過了氣泡測試及膠帶測 試。關於另兩件樣本,厚度4nm之鈦(Ti)阻隔層的實驗樣本 14僅通過氣泡測試,同時厚度lnm之鈦(Ti)阻隔層的實驗 樣本13僅通過膠帶測試。 參照圖16’使用SEM影像顯示實驗樣本13_15之截面 圖及上表面圖。SEM影像係於以約35〇 τ溫度退火基板達 12小時之後拍攝。如本圖所示,在所有三件樣本(13-15)中’ CFX絕緣層與矽(Si)基板之介面均出現了明亮顆粒。然而, 居度較厚之阻隔層的實驗樣本則顯示較少的銅(Cu)滲透。 在阻隔層厚度(7nm)較厚之實驗樣本15的例子中,在銅表 面亦觀察到較少的凹痕。 以下5周查有關氟碳(CFX)絕緣層相對於阻隔層之阻隔特 陡及附著性。為此目的而製造了三套實驗樣本。在每一套 樣本中則形成具不同氟碳(CFX及cry絕緣層的兩件實驗 樣本CFX及CFX2 —絕緣層均係以兩不同設定條件而使用 RLSA裝置所形成。表總結每—的設定條 28 201044462 Ο
表I :用於形成CFX& CP - CP 德:波功率(w) 壓力(mTorr) c5F8流速 (seem) 氬(Ar)流速 (seem) 玟絕緣層之設定條件 Χ2^ 3〇〇〇 CFx2 1500 56 250 2〇〇 28 130 120 絕緣層。於,層之狀況下所形成之氟碳(CF) Ο 如微波功率、壓力及氣胃^形成CFx2層之設定條件,例 使用的-半。然而,形成t迷成習知%層所 狀況要長三倍(則少4=2層的處理時關較%層的 當形成CF银缝Μ技 2〇秒)。類似於CFX層的例子, 因而,在二二::咖 前CFX層的發展方向接近^關脫氟處理的相同動作。目 、cfx2的發展方向。 參照圖17,圖17儀麵+ m 標結構及流心每—套實^每-套實驗樣本的目 、j^你、p ^ 驗樣本中均使用氫(¾)退火、俞$ =退火處理。用以降錄濃度之氫退火係在200沱溫 又下執仃達9GG秒期間。鈦㈤阻隔層係在· τ之基 溫度下使用高溫濺鍍製程而形成。在第—套實驗樣本$, 29 201044462 後退火處理之處理時間係設定為360秒’而在第二及第三 套實驗樣本中處理時間為180秒。在第一套實驗樣本中, 基板未施予其他後處理,然而,在第二及第三套實驗樣本 中,則對基板分別施予氧(〇2)退火達300秒,及氬(Ar)退火 達600秒。 在以約350 °C之溫度退火基板達12小時之後,接著對 每套實驗樣本實施氣泡測試及膠帶測試。圖17亦顯示於表 面黏貼透明膠帶後,每套實驗樣本的平面圖。具CFx2絕緣 層的所有樣本均通過了氣泡測試及膠帶測試。此顯示cfx2 絕緣層相較於CFX絕緣層針對阻隔層剝落具有較高抗性。 接著參照圖18,使用SEM影像顯示每套實驗樣本之截 面圖及上表面圖。SEM影像係於以約350 X溫度退火基板 達12小時之後拍攝。如本圖所示,相較於CFX絕緣層與矽 (Si)基板之介面’ CFX2絕緣層與矽(Si)基板之介面則出現較 少的明壳顆粗。此外,具(^^絕緣層之實驗樣本的銅(Cu) 表面上凹痕較少。 以下將詳細說明每一製造步驟(例如做為溫度函數之 前退火步驟、後退火步驟及阻隔層形成步驟)對於阻隔層與 CFX絕緣層之間的阻隔特性及黏著性之效果。為此目的,針 對具有以不同的基板溫度及製程步驟所形成之氮化鈦(TiN) 阻隔層的各實驗樣本進行評估。 圖19係顯示用以製造實驗樣本之目標結構及製作流 程。用以製造實驗樣本之製程如了:⑴於邦〇基板上形成 CFXA緣層,(2)於約2Q(rc之溫度下進行前退火處理,⑶ 30 201044462 於室溫下使用習知濺鍍法形成氮化鈦(TiN)層,(句於室溫下 使用習知濺鍍法形成銅(Cu)層,及(5)形成鈦覆層以避免銅 (Cu)氧化。請注意’相較於鈦(Ti)阻隔層,氮化鈦(TiN)阻隔 層的阻隔特性較低’此係因為氮(N)原子的存在而使得其包 合較低量的鈦。以下將進一步討論於形成阻隔層(例如氮化 鈦(TiN)層)後,追加實施後退火步驟有助於進一步降低cFx 絕緣層(包括CFx絕緣層表面附近)上的氟(F)濃度,並改善 〇 氮化鈦(TiN)層的阻隔特性。 在以約350 C溫度執行前評估退火達12小時之後,對 貫驗樣本實施膠帶測試及SEM分析。圖19中亦顯示黏貼 透明膠帶後的實驗樣本之平面圖,以及實驗樣本的截面圖 及上表面圖。依據該些結果,本樣本十未觀察到阻隔層剝 落。然而’於CFe絕緣層與矽(Si)基板之間的介面則顯示 有銅(Cu)滲透。未出現阻隔層剝落被認為係直接與本實驗 樣本中發生許多銅(Cu)滲透有關。 ❹在下一步驟t,以個別製造過程來形成二個實驗樣 本。用以製造第一實驗樣本之製程如下:(〗)於矽(Si)基板上 形成CFX2絕緣層’(2)於約1〇〇 X之基板溫度下使用高溫濺 鍍製程形成氮化鈦(TiN)層,(3)於室溫下使用習知濺鍍法形 成銅(Cu)層’及(4)形成鈥覆層。 用以製造第二實驗樣本之製程如下:(丨)於矽(Si)基板上 形成CFu絕緣層,(2)於约200 °C溫度下進行前退火處理, (3)以約200 °C之基板溫度使用高溫濺鍍製程形成氮化鈦 (TiN)層’(4)於室溫下使用習知濺鍍法形成銅(Cu)層,及(5) 31 201044462 形成鈦覆層以避免銅(Cu)氧化。本製程不同於在形成阻隔 層前執行前退火步驟且該阻隔層係在較高溫度(2〇〇。〇下 所升》成之第一實驗樣本的製程。在上述二實驗樣本中,於 形成氮化鈦(TiN)阻隔層後均未執行後退火處理。 圖20中顯示用以製造二實驗樣本之目標結構及製程。 以約350。(:溫度執行前評估退火達12小時。接著,對二實 驗樣本實施膠帶測試及SEM分析。圖20中亦顯示黏貼透 明膠帶後的實驗樣本之平面圖,以及二實驗樣本的截面圖 及上表面圖。發現具有以100 所形成之阻隔層的第一實 驗樣本顯示了阻隔層剝落及銅滲透。阻隔層形成溫度(2〇〇 C)較南的第一實驗樣本則未觀察到脫落。然而,在cfx2 絕緣層與石夕(Si)基板之間的介面顯示有些微銅(cu)渗透。 參照圖21,顯示用以製造另一實驗樣本的目標結構及 製作流程。用以製造本實驗樣本之製程如下:⑴於石夕(Si) 基板上形成CFn絕緣層,(2)於約200 °C溫度下進行前退火 處理,(3)於約200 °C之基板溫度下使用高溫濺鍍製程形成 氮化鈦(TiN)層,(4)於約200 T溫度下進行後退火處理,(5) 於室溫下使用習知濺鍍法形成銅(Cu)層,及(6)形成鈦覆層 以避免銅(Cu)氧化。為求方便,本圖中亦顯示以下所說明 之用以製造弟一貫驗樣本之目標結構及製程。本製程不同 於在以高溫濺鑛製程形成阻隔層後執行後退火步驟之第二 實驗樣本的製程。 類似於先前的例子,以約350 °C溫度執行前評估退火 達12小時。接著對實驗樣本實施膠帶測試及SEM分析。 32 201044462 Ο 圖21中亦顯示黏貼透明膠帶後之實驗樣本的平面圖,以及 實驗樣本的截面圖及上表面圖。為求方便,本圖中亦顯示 第二實驗樣本之結果。如本圖所示,具有追加後退火步驟 之貫驗樣本顯示CFx;2絕緣層與石夕(Si)基板之介面並無銅(cu) /參透。此係由於後退火步驟降低了 CFX絕緣層中的氟(ρ)濃 度。如此一來,改善了氮化鈦(TiN)層的阻隔特性,而使得 矽(Si)基板與CFX2絕緣層之介面未觀察到銅滲透。此外本 樣本中亦未觀察到阻隔層剝落。 總之,在整個製造過程期間,亦即在CFx形成製程、 前處理表面製程、前退火製程、阻隔層形成製程及後退火 製程期間,係將本發明之基板溫度調整並維持在約200 之溫度。換言之’在整個製造過程期間均對CFX絕緣層執
雖然上述已結合特定裝置及方法來描述所揭露 明之=較轉較其__制,㈣麵限本發 理 【圖式簡單說明] 前處理製程的實施 前處理製程的替代 圖1(a)〜(d)係顯示使用氫電漿之CFx 例示意圖。 圖2(a)〜(d)係顯示使用氫電漿之CFx 實施例示意圖。 圖3係顯示濺鍍裝置的實施例示意圖。 圖4係顯示在表面使轉帶之後,實驗樣本之平面圖, 33 201044462 及其氣泡和膠帶測試結果。 圖5係顯示圖4中所示實驗樣本之上半部的 表面圖。 圖及 圖6係顯示圖4中所示實驗樣本之下半部的截面圖及 表面圖。 圖7係顯示雙鑲敌型銅連結結構之實施例的製造過程 不意圖。 圖8(a)、(b)係顯示具多層結構之CFX絕緣層的截面圖。❺ 圖9係顯示做為溫度函數之氟化物的蒸氣壓曲線。 圖10係顯示各式實驗樣本的目標結構及其用於測量?且 隔層厚度之XRF強度。 圖11係顯示做為濺鍍時間之函數的實驗樣本的XRF 強度。 u 圖12係顯示實驗樣本之範例的截面圖和表面圖及^ 作流程。 圖13係顯示替代實驗樣本之範例的截面圖和表面圖 〇 其製作流程、氣泡測試結果及膠帶測試結果。 圖14係顯示替代實驗樣本之範例的戴面圖和表面圖 其製作流程、氣泡測試結果及膠帶測試結果。 圖15係顯示實驗樣本之另一實施例的目楳結構和製 流程及其氣泡結果、膠帶測試結果。 圖16係顯示圖15中所示實驗樣本的截面圖及表面圖 圖17係顯示實驗樣本之另一實施例的目標結構和雇作 流程,及其使用朦帶後之平面圖、其氣泡測試結果和膠帶 34 201044462 測試結果。 圖18係顯示圖17中所示實驗樣本的截面圖及表面圖。 圖19係顯示實驗樣本之實施例的截面圖和表面圖及其 製作流程。 圖20係顯示實驗樣本之另一實施例的截面圖和表面圖 及其製作流程。 圖21係顯示實驗樣本之又另一實施例的截面圖和表面 〇 圖及其製作流程。 【主要元件符號說明】 10 基板 20、30 絕緣層 21 開口 30a 第一層 30b 第二層 80 多層結構 82 氟碳絕緣層 84 覆層 86 阻隔層 88 銅(Cu)導線層 100 濺鍍裝置 102 真空轉換室 104 退火室 106 阻隔層濺鍍室 108 銅濺鍍室 110 加載互鎖室 112 轉換室 300 金屬元素礙化物 35

Claims (1)

  1. 201044462 七 申請專利範圍: 1· 一種製造半導體裝置之方法,該方法包含下列步驟: 退火絕緣層,其中該絕緣層包含氟碳(CFx)膜; 於5亥絕緣層上形成包含有金屬元素之阻隔層,其中該 阻隔層係於該退火步驟之後經由高溫濺鍍製程而= 2. 如申請專利範圍第1項之方法,其中該退火步驟係在 預設條件下執行’同時㈣輯層的表面暴露於 氣體。 3. 如申請專利範圍第2項之方法,其中該退火步驟進— 步包含移除職緣層表_減之㈣,輯除 鍵之氟的濃度。 八 4. T睛寻利範圍第 、 友 π丨极了只汉1丨來1干巴相 ";0 C至220 Τ之溫度,其應用介於3至 之期間。 如申請專利範圍第2項之方法 氬氣(Ar)。 ’其中該惰性氣體包含 6. 如申請專利範圍第 預設條件下執行, 氣體。 1項之方法,其中該退火步驟係在 同時將該絕緣層的表面暴露於活性 ’其中該退火步驟具有 時因而增加碳濃度之效 如申請專利範圍第6項之方法 降低該絕緣層表面的氟*濃度同 果。
    如申請專利範圍第6項之方法 其中該活性氣體包含 36 201044462 9· 10. 11. 〇 12. 13. 14. Q 15. 16. 17. 氣氣(Η2)。 如申請專利範圍第8項之方法,其中該氟濃度係經由 形成從該絕緣層表面分離之氟化氫(HF)而予降低。 如申請專利範圍第8項之方法,其中該預設條件包括 至少100 °C之溫度應用於達不少於5分鐘之期間。 如申請專利範圍第1項之方法,其中基板溫度於該高 溫濺鍍製程期間係保持介於約70 X至200 X。 如申請專利範圍第11項之方法,其中該高溫濺鍍製程 具有從該絕緣層表面移除氟同時因而形成富含碳的表 面之效果。 如申請專利範圍第12項之方法,其中該移除步驟進一 步包含下列步驟: 形成金屬敗化物溶液,及 使s亥金屬氟化物溶液從該絕緣層表面蒸發。 如申請專利範圍第1項之方法,其中該金屬元素包含 鈇(Ti)、鈕(Ta)、釕(Ru)、錳(Mn)或鈷(Co)。 如申請專利範圍第14項之方法,其中該阻隔層是在約 200 °c基板溫度、約300W功率位準及約50秒處理時 間下於約70 seem流速的鼠氣(Ar)中而形成。 如申請專利範圍第丨項之方法,其中該阻隔層具有多 層結構。 如申請專利範圍第1項之方法,在該形成步驟之後進 ' —步包含執行後退火處理之步驟’其中該後退火處理 係在介於約50 X至200 °C之溫度下執行達不超過180 37 201044462 秒的期間。 18. 如申請專利範圍第1項之方法,其中該絕緣層係使用 具預設條件之輻射狀槽孔天線(RL S A)微波電漿處理裝 置而形成。 19. 如申請專利範圍第18項之方法,其中該預設條件包括 微波功率的範圍為1500W至2000W,壓力的範圍為25 mTorr 30mTorr ° 20. —種製造半導體裝置之方法,該方法包含下列步驟: 於絕緣層上實施前處理製程,以形成碳對氟(C/F)成分 比例為大於1之富含碳表面,其中該絕緣層在實施前 處理製程前包含有碳對I為任意成分比例之氟碳 (CFX)。 21. 如申請專利範圍第20項之方法,其中實施該前處理製 程之步驟包含在預設條件下退火該絕緣層,同時使該 絕緣層表面暴露於惰性氣體。 22. 如申請專利範圍第21項之方法,其中該退火步驟具有 使該絕緣層表面的濕氣蒸發之效果,以排除具弱鍵之 氟的濃度。 23. 如申請專利範圍第21項之方法,其中該預設條件包括 介於180 °C至220 °C之溫度,其應用介於3至5分鐘 之期間。 24. 如申請專利範圍第21項之方法,其中該惰性氣體包含 氬氣(Ar)。 25. 如申請專利範圍第20項之方法,其中該實施前處理製 38 201044462 程之步驟包含在預設條件下退火該絕緣層,同時使該 絕緣層的表面暴露於活性氣體之步驟。 26. 如申請專利範圍第25項之方法,其中該退火步驟具有 降低該絕緣層表面之氟濃度同時因而增加碳濃度之效 果。 27. 如申請專利範圍第25項之方法,其中該活性氣體包含 氫氣(H2)。
    28. 如申請專利範圍第27項之方法,其中該氟濃度係經由 形成從該絕緣層表面分離之氟化氫(HF)而予降低。 29. 如申請專利範圍第27項之方法,其中該預設條件包括 至少100 °C之溫度應用於達不少於5分鐘之期間。 30. 如申請專利範圍第20項之方法,其中實施該前處理製 程之步驟包含藉由使該絕緣層表面暴露於電漿來對該 絕緣層進行電漿處理之步驟,該電漿係經由在預設條 件下激發包含有氫原子或碳原子之氣體而予產生。 31. 如申請專利範圍第30項之方法,其中該電漿處理步驟 具有降低該絕緣層表面的氟濃度同時因而增加碳濃度 之效果。 32. 如申請專利範圍第30項之方法,其中該含有氫原子之 氣體包括氫氣(H2)、曱烷氣體(CH4)或矽烷氣體(SiH4), 且其中該氟濃度係經由形成從該絕緣層表面脫附之氟 化氫(HF)而予降低。 33. 如申請專利範圍第30項之方法,其中該含有碳原子之 氣體包括一氧化碳氣體(CO)或甲烷氣體(CH4),且其中 39 201044462 該氟濃度係經由使氟(F)從該絕緣層表面脫附而形成 C-F鍵而予降低。 34. 如申請專利範圍第30項之方法,其中該電漿係使用輻 射狀槽孔天線(RLSA)微波電漿處理裝置而予產生。 35. 如申請專利範圍第30項之方法,其中實施該前處理製 程之步驟包含下列步驟: 將該絕緣層浸入包含有金屬元素氫氧化物之溶液; 在該浸入步驟之後,以純水清洗該絕緣層;及 在該清洗步驟之後’將該絕緣層乾燥。 36. 如申請專利範圍第35項之方法,其中該金屬元素係選 自包含鈣(Ca)、锶(Sr)、鋇(Ba)、鈉(Na)、鉀(K)及鎂(Mg) 之群組。 . 37. —種製造半導體裝置之方法,包含下列步驟: 在預設條件下退火絕緣層’同時將該絕緣層表面暴露 於惰性氣體; 在該退火步驟之後執行高溫濺鍍製程,以便形成包含 有金屬元素之阻隔層’而於該絕緣層與該阻隔層之間 的介面形成金屬-C鍵’其中於該高溫濺鍍製程期間維 持介於約70 °C至200 °C之基板溫度;且其中: S玄退火步驟進一步包含移除該絕緣層表面的濕氣之步 驟,且該絕緣層包含加氟碳(CFx)膜。 38·如申請專利範圍第37項之方法,其中該預設條件包括 介於180。(:至220 °C之溫度,其應用介於3至5分鐘 之期間。 201044462 39, ^申請專利範圍第37項之方法,其中該惰性氣體包含 氬氣(Ar)。 4〇·如申請專利範圍第37項之方法,其中該阻隔層是在約 200 °c基板溫度、約30〇w功率位準及約50秒處理時 間下於約70 seem流速的氬氣(Ar)中而形成。 41.如申請專利範圍第37項之方法,其中該金屬元素包含 鈦(Ti)、鈕(Ta)、釕(ru)、錳(Μη)或鈷(c〇)。 Ο 42.如申請專利範圍第37項之方法,其中該高溫濺鍍製程 具有從該絕緣層表面移除氟同時因而形成富含碳的表 面之效果。 43·如申請專利範圍第42項之方法,其中該移除步驟進一 步包含下列步驟·· 於該高溫濺鍍製程初期階段形成金屬氟化物溶液;及 於該初期階段之後,使該金屬氟化物溶液從該絕緣層 表面蒸發。 〇 44.如申請專利範圍第37項之方法,在該形成步驟之後進 一步包含執行後退火處理之步驟,其中該後退火處理 係在介於約50 X至200 T之溫度下執行達不超過18〇 秒的期間。 45·如申請專利範圍第37項之方法,其中該絕緣層係使用 具預設條件之輻射狀槽孔天線(RLSA)微波電漿處理裝 置而形成。 46.如申凊專利範圍第45項之方法,其中該預設條件包括 微波功率的範圍為15〇〇w至2000W,壓力的範圍為25 41 201044462 mTorr 至 30mTorr 〇 42
TW099101690A 2009-01-22 2010-01-21 A method for manufacturing semiconductor devices TW201044462A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US20575209P 2009-01-22 2009-01-22
US20797109P 2009-02-17 2009-02-17

Publications (1)

Publication Number Publication Date
TW201044462A true TW201044462A (en) 2010-12-16

Family

ID=42355815

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099101690A TW201044462A (en) 2009-01-22 2010-01-21 A method for manufacturing semiconductor devices

Country Status (6)

Country Link
US (1) US8765605B2 (zh)
JP (1) JP5271426B2 (zh)
KR (1) KR101269925B1 (zh)
CN (1) CN102292798A (zh)
TW (1) TW201044462A (zh)
WO (1) WO2010084759A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI503871B (zh) * 2011-10-27 2015-10-11 Tokyo Electron Ltd 膜形成設備及其操作方法

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8557714B2 (en) * 2009-06-26 2013-10-15 Tokyo Electron Limited Adhesiveness of fluorocarbon (CFX) film by doping of amorphous carbon
EP2683251B1 (en) 2011-03-11 2021-08-25 Intercontinental Great Brands LLC Method of forming multilayer confectionery
US11122815B2 (en) 2011-07-21 2021-09-21 Intercontinental Great Brands Llc System and method for forming and cooling chewing gum
US8691709B2 (en) * 2011-09-24 2014-04-08 Tokyo Electron Limited Method of forming metal carbide barrier layers for fluorocarbon films
KR101319929B1 (ko) * 2011-11-03 2013-10-18 주식회사 우신산업 차량용 사이드 리피터의 제조방법
US9111939B2 (en) * 2012-07-27 2015-08-18 Intel Corporation Metallization of fluorocarbon-based dielectric for interconnects
JP2014103165A (ja) * 2012-11-16 2014-06-05 Tokyo Electron Ltd 半導体素子の製造方法、および半導体素子の製造装置
US9865501B2 (en) * 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
BR112016016620A2 (pt) 2014-03-03 2017-10-03 Intercontinental Great Brands Llc Método para fabricação de um produto comestível
CN104078344B (zh) * 2014-07-11 2017-04-05 上海华力微电子有限公司 减少自对准硅化镍尖峰缺陷和管道缺陷的方法
KR102378538B1 (ko) * 2015-08-11 2022-03-25 삼성디스플레이 주식회사 표시 장치의 제조 방법
KR102361083B1 (ko) * 2015-09-01 2022-02-11 한국화학연구원 탄화불소 박막의 제조방법 및 이의 제조장치
WO2017039339A1 (ko) * 2015-09-01 2017-03-09 한국화학연구원 탄화불소 박막의 제조방법
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
US11527413B2 (en) * 2021-01-29 2022-12-13 Tokyo Electron Limited Cyclic plasma etch process

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100379308B1 (ko) * 1998-01-10 2003-04-10 동경 엘렉트론 주식회사 불소 첨가 탄소막으로 이루어지는 절연막을 구비하는반도체 디바이스 및 그 제조 방법
JP3189781B2 (ja) * 1998-04-08 2001-07-16 日本電気株式会社 半導体装置の製造方法
JP4005295B2 (ja) * 2000-03-31 2007-11-07 富士通株式会社 半導体装置の製造方法
US20050064701A1 (en) * 2003-09-19 2005-03-24 International Business Machines Corporation Formation of low resistance via contacts in interconnect structures
JP4555143B2 (ja) * 2004-05-11 2010-09-29 東京エレクトロン株式会社 基板の処理方法
US7776736B2 (en) 2004-05-11 2010-08-17 Tokyo Electron Limited Substrate for electronic device capable of suppressing fluorine atoms exposed at the surface of insulating film from reacting with water and method for processing same
KR101185757B1 (ko) * 2005-06-20 2012-09-25 고에키자이단호진 고쿠사이카가쿠 신고우자이단 층간 절연막 및 배선 구조와 그것들의 제조 방법
JP2007067336A (ja) * 2005-09-02 2007-03-15 Matsushita Electric Ind Co Ltd 半導体装置の製造方法及び半導体装置
US7902641B2 (en) * 2008-07-24 2011-03-08 Tokyo Electron Limited Semiconductor device and manufacturing method therefor

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI503871B (zh) * 2011-10-27 2015-10-11 Tokyo Electron Ltd 膜形成設備及其操作方法

Also Published As

Publication number Publication date
JP2012516065A (ja) 2012-07-12
CN102292798A (zh) 2011-12-21
KR20110105847A (ko) 2011-09-27
JP5271426B2 (ja) 2013-08-21
KR101269925B1 (ko) 2013-05-31
US20110318919A1 (en) 2011-12-29
WO2010084759A1 (en) 2010-07-29
US8765605B2 (en) 2014-07-01

Similar Documents

Publication Publication Date Title
TW201044462A (en) A method for manufacturing semiconductor devices
US7425506B1 (en) Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
TWI739730B (zh) 用於自晶種層表面移除污染的系統及方法
JP5103914B2 (ja) 半導体装置の製造方法及び半導体装置
TWI374482B (zh)
TW201034153A (en) Copper interconnection structure and method for forming copper interconnections
TW201140795A (en) Interlayer insulation film and wiring structure, and method of producing the same
TW200908219A (en) Fabrication method of a semiconductor device and a semiconductor device
JP2008124275A (ja) 半導体装置の製造方法
JP2010525159A (ja) 電気メッキによるコンタクト用ロジウム構造の製造および電気メッキ用組成物
JP4790162B2 (ja) 半導体素子の金属配線形成方法
JPWO2012173067A1 (ja) 半導体装置の製造方法、半導体装置、半導体装置の製造装置及び記憶媒体
US9806018B1 (en) Copper interconnect structures
TW200937526A (en) Semiconductor device and method of manufacturing same
JP5194393B2 (ja) 半導体装置の製造方法
JP2000269334A (ja) 配線膜の形成方法
KR100407681B1 (ko) 반도체 소자의 금속배선 형성방법
KR100488223B1 (ko) 무전해 도금 방법, 매입형 배선, 및 매입형 배선 형성 방법
TW200901320A (en) Interlayer insulating film and wiring structure, and methods of producing the same
KR100407682B1 (ko) 반도체 소자의 금속배선 형성방법
EP1320123A1 (fr) Procédé de fabrication d'un composant électronique incorporant un micro-composant inductif
TW201025451A (en) Semiconductor device and method for manufacturing the same
JP2011124472A (ja) 半導体装置の製造方法
KR100919378B1 (ko) 반도체 소자의 금속 배선 및 이의 형성 방법
KR100612548B1 (ko) 반도체 소자의 금속 배선 형성 방법